CN114551219A - 用于填充间隙的方法以及相关的系统和装置 - Google Patents

用于填充间隙的方法以及相关的系统和装置 Download PDF

Info

Publication number
CN114551219A
CN114551219A CN202111375818.8A CN202111375818A CN114551219A CN 114551219 A CN114551219 A CN 114551219A CN 202111375818 A CN202111375818 A CN 202111375818A CN 114551219 A CN114551219 A CN 114551219A
Authority
CN
China
Prior art keywords
plasma
substrate
silicon
reaction chamber
silicon precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202111375818.8A
Other languages
English (en)
Inventor
刘泽铖
金仙子
V.波雷
J.L.姚
R.博鲁德
B.穆克吉
R.H.J.沃乌尔特
堤隆嘉
小林伸好
堀胜
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of CN114551219A publication Critical patent/CN114551219A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0254Physical treatment to alter the texture of the surface, e.g. scratching or polishing
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

公开了用于填充包括在衬底中的间隙特征的方法和相关系统。该方法包括将具有一个或多个间隙特征的衬底提供到反应室中的步骤。一个或多个间隙特征包括具有上表面的上部和具有下表面的下部。该方法还包括使衬底经受等离子体处理的步骤。因此,上表面受到抑制,而下表面基本不受影响。然后,该方法包括在下表面上选择性地沉积含硅材料的步骤。

Description

用于填充间隙的方法以及相关的系统和装置
技术领域
本公开总体涉及适于形成电子器件的方法和系统。更具体地,本公开涉及可用于在间隙、沟槽等中沉积材料的方法和系统。
背景技术
半导体器件的规模已经导致集成电路的速度和密度的显著提高。然而,随着大规模集成器件的布线间距的小型化,由于现有沉积过程的限制,高纵横比间隙或沟槽(例如纵横比为3或更高的沟槽)的无空隙填充变得越来越多。因此,例如在逻辑和/或存储器件的情况下,需要有效填充高纵横比特征的过程,例如半导体衬底上的诸如沟槽的间隙。特别需要用能够充分经受后续的化学机械抛光(CMP)步骤和蚀刻过程的含硅材料比如氧化硅有效填充高纵横比特征的过程。
在本部分中阐述的任何讨论(包括问题和解决方案的讨论)已经包括在本公开中,仅仅是为了提供本公开的背景。这种讨论不应被视为承认任何或所有信息在本发明制造时是已知的或者构成现有技术。
发明内容
本公开的各种实施例涉及间隙填充方法、使用这种方法形成的结构和器件以及用于执行该方法和/或用于形成该结构和/或器件的设备。下面更详细地讨论本公开的各种实施例解决现有方法和系统的缺点的方式。
本文描述了一种用于填充衬底表面上的间隙特征的方法。该方法以给定的顺序包括将衬底定位在反应室中的衬底支撑件上的步骤。衬底包括一个或多个间隙特征。一个或多个间隙特征包括具有上表面的上部和具有下表面的下部。该方法还包括使衬底经受等离子体预处理的步骤。等离子体处理导致上表面受到抑制,而下表面基本不受影响。该方法还包括在下表面上选择性地沉积含硅材料的步骤。在下表面上选择性地沉积含硅材料的步骤包括循环过程。该循环过程包括多个子循环。子循环以给定的顺序包括:将衬底暴露于包含胺基和两个或更多个硅原子的硅前体的步骤。衬底特别暴露于以硅前体脉冲的硅前体,以在下表面上形成化学吸附的硅前体。子循环还包括将衬底暴露于后硅前体脉冲等离子体处理的步骤,从而允许包括在等离子体中的一个或多个活性物种与下表面上的化学吸附的硅前体反应。
在一些实施例中,胺基选自NH2,NHRi和NRiRii,其中Ri和Rii中的至少一个是C1至C4烷基。
在一些实施例中,硅前体包括选自氨基硅烷、甲硅烷基胺和环硅氧烷的化合物。
在一些实施例中,硅前体包括烷基氨基硅烷。
在一些实施例中,硅前体包括选自SiR1R3R4-SiR2R5R6, SiR1R3R4-SiR5R6-SiR2R7R8和SiR1R3R4-SiR2R5-SiR6R7R8的化合物,其中,R1和R2是NR9R10,其中R3,R4,R5,R6 R7,R8 R9和R10独立地选自H和C1至C4烷基。
在一些实施例中,硅前体包括选自R1-SiH2-SiH3,R1-SiH2-SiH2-SiH2-R2和R1-SiH2-SiHR2-SiH3的化合物,其中,R1和R2独立地选自NH2,NHR9和 NR9R10,并且R9和R10独立地选自H和C1至C4烷基。
在一些实施例中,R3和R4中的至少一个是C1至C4烷基。
在一些实施例中,硅前体包括甲硅烷基胺。
在一些实施例中,硅前体包括可由根据式(1)的化学结构表示的化合物:
Figure BDA0003363762250000021
其中,R11和R12独立地选自H和C1至C4烷基。
在一些实施例中,硅前体包括具有至少一个胺基的环硅氧烷。
在一些实施例中,环硅氧烷还包括一个或多个C1至C4烷基取代基。
在一些实施例中,胺基选自NH2,NHR13和NR13R14,其中R13和R14独立地选自H和C1至C4烷基。
在一些实施例中,等离子体预处理包括使衬底经受稀有气体等离子体。
在一些实施例中,等离子体预处理包括使衬底经受含氮等离子体。
在一些实施例中,等离子体预处理包括使衬底经受第一等离子体处理和使衬底经受第二等离子体处理。
在一些实施例中,第一等离子体处理包括在反应室中产生含氮等离子体。
在一些实施例中,含氮等离子体是含N2等离子体。
在一些实施例中,第二等离子体处理包括在反应室中产生含稀有气体等离子体。
在一些实施例中,含稀有气体等离子体是含氩等离子体。
在一些实施例中,衬底保持在至少100℃到至多500℃的温度。
在一些实施例中,反应室保持在至少1托到至多20托的压力。
在一些实施例中,该方法包括执行多个超级循环。超级循环包括:使衬底经受等离子体预处理的步骤;以及在下表面上选择性地沉积含硅材料的步骤。
在一些实施例中,后硅前体脉冲等离子体处理包括在反应室中产生含氧等离子体,并且含硅材料包括氧化硅。
在一些实施例中,含氧等离子体是含O2等离子体。
在一些实施例中,在下表面上选择性地沉积材料的步骤是以每子循环至少
Figure BDA0003363762250000031
到每子循环至多
Figure BDA0003363762250000032
的生长速率完成的。
本文进一步描述了一种半导体处理设备。它包括反应室,该反应室又包括用于支撑衬底的衬底支撑件。衬底包括一个或多个间隙特征。半导体处理设备还包括加热器,该加热器构造和布置成加热反应室中的衬底。半导体处理设备还包括第一等离子体气体源,其经由第一等离子体气体阀与反应室流体连通。半导体处理设备还包括第二等离子体气体源,其经由第二等离子体气体阀与反应室流体连接。半导体处理设备还包括第三等离子体气体源,其经由第三等离子体气体阀与反应室流体连接。半导体处理设备还包括等离子体模块,其包括射频电源,该射频电源构造和布置成在反应室中产生等离子体。半导体处理设备还包括一个或多个硅前体源,其经由一个或多个前体阀与反应室流体连接。半导体处理设备还包括控制器,其配置用于使所述设备执行如本文所述的方法。
参考附图,通过下面对某些实施例的详细描述,这些及其他实施例对于本领域技术人员来说将变得显而易见。本发明不限于所公开的任何特定实施例。
附图说明
当结合以下说明性附图考虑时,通过参考详细描述和权利要求,可以获得对本公开实施例的更完整理解。
图1是根据本公开至少一个实施例的适于沉积结构和/或执行方法的等离子体增强原子层沉积(PEALD)设备的示意图。
图2示出了包括间隙特征(210)的衬底(200)的示意图。
图3示出了本文描述的方法的实施例的示意图。
图4示出了本文描述的方法的实施例的示意图。
图5示出了用于在衬底中包含的间隙特征的下表面上选择性地沉积含硅材料的方法的一部分的实施例的示意图。
图6示出了通过本文所述方法的实施例沉积在间隙特征中的氧化硅的透射电子显微镜(TEM)显微图。
图7示出了等离子体增强原子层沉积(PEALD)设备(700)的实施例的示意图。
在所有附图中,遵循以下编号:1–衬底;2–下平台/导电平板电极;3–反应室;4–上电极/导电平板电极;5–转移室;6–排气管线;11–反应室内部;12–电气接地侧;13–圆形管道;14–分离板;16–转移室内部;7–排气管线;21–气体管线;22–气体管线;24–气体密封管线;25–电源; 200–衬底;210–间隙特征;211–上部;212–下部;311–将衬底定位在衬底支撑件上的步骤;312–使衬底经受等离子体处理的步骤;315–后等离子体吹扫;316–在下表面上沉积材料的步骤;317–后沉积吹扫;318–方法结束;319–重复;411–将衬底定位在衬底支撑件上的步骤;412–使衬底经受第一等离子体处理的步骤;413–等离子体间吹扫;414–使衬底经受第二等离子体处理的步骤;415–后等离子体吹扫;416–在下表面上沉积含硅材料的步骤;417–后沉积吹扫;418–方法结束;419–重复;511–方法开始;512–将衬底暴露于硅前体;513–吹扫;514–将衬底暴露于后前体暴露等离子体处理的步骤;515–吹扫;516–方法结束;517–重复。
应当理解,附图中的元件是为了简单和清楚而示出的,并不一定按比例绘制。例如,图中一些元件的尺寸可能相对于其他元件被放大,以帮助提高对本公开的所示实施例的理解。
具体实施方式
下面提供的方法、结构、器件和系统的示例性实施例的描述仅仅是示例性的,并且仅是为了说明的目的;以下描述不旨在限制本公开或权利要求的范围。此外,具有所述特征的多个实施例的叙述并不旨在排除具有附加特征的其他实施例或包含所述特征的不同组合的其他实施例。例如,各种实施例被阐述为示例性实施例,并且可以在从属权利要求中陈述。除非另有说明,示例性实施例或其部件可以组合或者可以彼此分开应用。
在本公开中,“气体”可以包括在常温常压(NTP)下为气体的材料、蒸发的固体和/或蒸发的液体,并且可以由单一气体或气体混合物构成,这取决于情况。除了处理气体之外的气体,即不经过气体分配组件、多端口注射系统、其他气体分配装置等而引入的气体,可以用于例如密封反应空间,并且可以包括密封气体,例如稀有气体。本文使用的术语“惰性气体”和“稀有气体”可以互换使用。在一些情况下,术语“前体”可以指参与产生另一种化合物的化学反应的化合物,特别是指构成膜基质或膜主骨架的化合物,或作为膜的构成部分掺入膜中的化合物;术语“反应物”可以与术语前体互换使用。
如本文所用,术语“衬底”可以指可用于形成或者可在其上形成器件、电路或膜的任何一种或多种底层材料。衬底可以包括块体材料,比如硅(例如单晶硅)、其他第四族材料,比如锗,或者其他半导体材料,比如第二-第六族或第三-第五族半导体材料,并且可以包括在块体材料之上或之下的一个或多个层。
此外,在本公开中,变量的任何两个数字可以构成变量的可工作范围,并且指示的任何范围可以包括或排除端点。此外,指示的变量任何值(不管它们是否用“约”来指示)可以指精确值或近似值且包括等同物,并且可以指平均值、中值、代表性值、多数值等。此外,在本公开中,术语“包括”、“由…构成”和“具有”在一些实施例中独立地指“通常或广泛地包括”、“由…构成”、“基本由…构成”。在本公开中,任何定义的含义在一些实施例中不一定排除普通和习惯含义。
如本文所用,术语“包括”表示包括某些特征,但不排除其他特征的存在,只要它们不使权利要求或实施例不可行。在一些实施例中,术语“包括”包含“由…构成”。如本文所用,术语“由…构成”表示除了所述措辞之后的特征之外在设备/方法/产品中不存在其他特征。当术语“由…构成”用于指化学化合物时,它表示该化学化合物仅包含列出的成分。
如本文所用,术语“吹扫”是指从反应室中除去前体和/或活性物种的处理步骤。在吹扫过程中,可以向反应室提供惰性或基本惰性气体。另外或可替代地,反应室可以在吹扫期间被排空。
本文描述了一种用于填充间隙特征的方法。间隙特征包含在衬底中,并且可以位于衬底表面处或附近。可选地,衬底可以包括多个间隙特征,例如多个间隙特征。间隙特征包括具有上表面的上部和具有下表面的下部。合适的衬底包括半导体晶片,例如硅晶片。本方法可以在各种半导体器件的制造过程中使用,并且对于填充具有高纵横比和特别小的宽度的间隙特征特别有用,例如宽度小于10nm并且纵横比大于2、5、10或20。该方法包括将衬底定位在反应室中的衬底支撑件上的步骤。该方法还包括使衬底经受等离子体预处理的步骤。等离子体预处理导致上表面受到抑制,而下表面基本不受影响。在等离子体预处理之后,该方法还包括在下表面上选择性地沉积含硅材料的步骤。可选地,在下表面上选择性地沉积材料的步骤之前进行吹扫。应当理解,在吹扫过程中,反应室中不产生等离子体。此外,应该理解的是,在下表面上选择性地沉积含硅材料的步骤包括循环过程,该循环过程包括可以连续重复的子步骤,直到在下表面上沉积了期望厚度的含硅材料。示例性含硅材料包括氧化硅和碳氧化硅。循环过程包括多个循环,也称为子循环。子循环以下列顺序包括:将衬底暴露于以硅前体脉冲的硅前体的步骤,以及将衬底暴露于后硅前体脉冲等离子体处理的步骤。可选地,在将衬底暴露于硅前体的步骤之前进行吹扫。可选地,将衬底暴露于硅前体的步骤之后进行吹扫。应当理解,在吹扫过程中,反应室中不产生等离子体。将衬底暴露于硅前体的步骤导致硅前体在下表面上的化学吸附。换句话说,通过将衬底暴露于硅前体,与等离子钝化的上表面相比,更多的硅前体被化学吸附在未钝化的下表面上。将衬底暴露于后硅前体脉冲等离子体处理的步骤导致等离子体中包含的一个或多个活性物种与硅前体之间的反应,该硅前体在将衬底暴露于硅前体的步骤期间化学吸附在下表面上。在一些实施例中,该方法包括用含硅材料完全填充间隙特征。这可以通过例如重复循环过程直到整个间隙特征被含硅材料填充来实现。
有利地,这种方法提供了非常短的循环时间。事实上,获得特定膜厚度所需的沉积时间可以缩短50%或更多,因此可以产生更高的产量和对下层更少的处理引起损伤。处理引起损伤的示例是等离子体引起损伤。
在一些实施例中,循环过程包括从至少2个子循环到至多20000个子循环。例如,循环沉积过程可以包括2个子循环、3个子循环、5个子循环、 10个子循环、20个子循环、30个子循环、60个子循环、100个子循环、200 个子循环、500个子循环、1000个子循环、2000个子循环、5000个子循环、 10000个子循环或更多。
在一些实施例中,后硅前体脉冲等离子体处理包括在反应室中产生含氧等离子体,并且含硅材料包括氧化硅。在一些实施例中,含氧等离子体是含 O2等离子体。因此,本文公开的方法可用于各种应用,例如为了浅沟槽绝缘的目的,用无接缝SiO2填充间隙特征。相对于化学机械抛光过程,这种无接缝浅沟槽绝缘可以有利地降低漏电流、抗蚀刻性并提高弹性。此外,这种 SiO2膜可以具有非常低的碳含量。此外,这种SiO2膜可以具有非常低的氮含量。
在一些实施例中,后硅前体脉冲等离子体处理包括将衬底暴露于氧等离子体,并且O2用作等离子体气体。在一些实施例中,以以下向反应室提供 O2:至少100sccm到至多10000sccm的流量,或至少200sccm到至多5000sccm 的流量,或至少500sccm到至多1000sccm的流量。在一些实施例中,氧等离子体借助于在至少50W到至多200W的等离子体功率下操作的RF发生器产生。在一些实施例中,氧等离子体在氧等离子体脉冲期间产生至少0.1s 到至多10s,或至少0.2s到至多5s,或至少0.5s到至多2s。在一些实施例中,将衬底暴露于氧等离子体之后进行吹扫,吹扫持续至少0.2s到至多10s,或至少1s到至多5s。应当理解,在吹扫期间反应室中没有等离子体产生。
在一些实施例中,在下表面上选择性地沉积材料的步骤以至少
Figure BDA0003363762250000071
/循环到至多
Figure BDA0003363762250000072
/循环的生长速率进行,例如至少
Figure BDA0003363762250000073
/循环到至多
Figure BDA0003363762250000074
/循环或至少
Figure BDA0003363762250000075
/循环到至多
Figure BDA0003363762250000076
/循环的生长速率。例如,材料以
Figure BDA0003363762250000077
/循环的生长速度沉积在下表面上。在一些实施例中,上表面上的生长速率比上表面上慢至少2到至多20倍。在一些实施例中,上表面上的生长速率比上表面上慢至少2到至多5倍。在一些实施例中,上表面上的生长速率比上表面上慢至少5到至多10倍。在一些实施例中,上表面上的生长速率比上表面上慢至少10到至多20倍。在一些实施例中,上表面上的生长速率小于
Figure BDA0003363762250000078
/循环。在一些实施例中,上表面的生长速率为
Figure BDA0003363762250000079
/循环。
令人惊讶地发现,使用特定的硅前体,可以获得高生长速率和自下而上的生长。因此,在一些实施例中,硅前体包含胺基和两个或更多个硅原子。
在一些实施例中,硅前体包含选自氨基硅烷、甲硅烷基胺和环硅氧烷的化合物。示例性硅前体及其制备方法公开在例如WO2015048237A2、 WO2015047914A1、US10192734B2中。
在一些实施例中,胺基选自NH2,NHRi和NRiRii。在一些实施例中,Ri和Rii是烃基。在一些实施例中,Ri和Rii是相同的。在一些实施例中,Ri和 Rii是不同的。在一些实施例中,Ri和Rii独立地选自C1至C4烷基或烯基。在一些实施例中,Ri和Rii中的至少一个是C1至C4烷基。
在一些实施例中,硅前体包括烷基氨基硅烷。在一些实施例中,硅前体是包含一个或多个胺基的乙硅烷或三硅烷。
在一些实施例中,硅前体选自SiR1R3R4-SiR2R5R6, SiR1R3R4-SiR5R6-SiR2R7R8和SiR1R3R4-SiR2R5-SiR6R7R8。应当理解,R1和R2是NR9R10,其中R3,R4,R5,R6 R7,R8 R9和R10独立地选自H和烃基。在一些实施例中,R3,R4,R5,R6 R7,R8 R9和R10独立地选自H,C1至C4烷基,C1至C4烯基和C4至C8芳基。在一些实施例中,R3,R4,R5,R6 R7,R8 R9和R10独立地选自H和C1至C4烷基。在一些实施例中,R3,R4,R5,R6 R7,R8 R9和R10中的至少一个是H。在一些实施例中,R3,R4,R5,R6 R7,R8 R9和R10中的至少一个是甲基。在一些实施例中,R3,R4,R5,R6 R7,R8 R9和R10中的至少一个是乙基。在一些实施例中,R3,R4,R5,R6 R7,R8 R9和R10中的至少一个是丙基。在一些实施例中,R3,R4,R5,R6 R7,R8 R9和R10中的至少一个是异丙基。在一些实施例中,R3,R4,R5,R6R7,R8 R9和R10全都是甲基。在一些实施例中,硅前体包括二甲氨基五甲基二硅烷。
在一些实施例中,硅前体选自R1-SiH2-SiH3,R1-SiH2-SiH2-SiH2-R2和 R1-SiH2-SiHR2-SiH3,其中R1和R2独立地选自NH2,NHR9和NR9R10,并且其中R9和R10独立地选自H和C1至C4烃基。在一些实施例中,R9和R10独立地选自H、C1至C4烷基、C1至C4烯基和C1至C4芳基。在一些实施例中, R9和R10独立地选自H和C1至C4烷基。在一些实施例中,R9和R10中的至少一个是H。在一些实施例中,R9和R10中的至少一个是甲基。在一些实施例中,R9和R10中的至少一个是乙基。在一些实施例中,R9和R10中的至少一个是丙基。在一些实施例中,R9和R10中的至少一个是异丙基。在一些实施例中R9和R10是甲基。在一些实施例中,R3和R4中的至少一个是C1至 C4烷基。在一些实施例中,硅前体包含选自二异丙基氨基二硅烷和二正丁基氨基二硅烷的烷基氨基硅烷。
在一些实施例中,硅前体包括甲硅烷基胺。
在一些实施例中,硅前体可以由根据式(i)的化学式表示:
Figure BDA0003363762250000091
其中,R11和R12独立地选自H和C1至C4烷基。在一些实施例中,R11和R12中的至少一个是H。在一些实施例中,R11和R12中的至少一个是甲基。在一些实施例中,R11和R12中的至少一个是乙基。在一些实施例中,R11和 R12中的至少一个是丙基。在一些实施例中,R11和R12中的至少一个是丁基。在一些实施例中,R11和R12中的至少一个是异丙基。在一些实施例中,R11和R12是异丙基。
在一些实施例中,硅前体包括具有至少一个胺基的环硅氧烷。在一些实施例中,胺基选自NH2,NHRi和NRiRii。在一些实施例中,Ri和Rii是烃基。在一些实施例中,Ri和Rii是相同的。在一些实施例中,Ri和Rii是不同的。在一些实施例中,Ri和Rii独立地选自C1至C4烷基或烯基。在一些实施例中, Ri和Rii中的至少一个是C1至C4烷基。在一些实施例中,胺基选自NH2,NHR13和NR13R14,R13和R14独立地选自H和C1至C4烷基。
在一些实施例中,环硅氧烷还包括一个或多个C1至C4烷基取代基。在一些实施例中,C1至C4烷基取代基中的至少一个是甲基。在一些实施例中, C1至C4烷基取代基中的至少一个是乙基。在一些实施例中,C1至C4烷基取代基中的至少一个是丙基。在一些实施例中,C1至C4烷基取代基中的至少一个是丁基。一种合适的硅氧烷包括2-二乙基氨基-2,4,6,8-四甲基环四硅氧烷。
应当理解,等离子体预处理的应用导致上表面受到抑制,而下表面基本不受影响,或者至少比上表面受影响小。换句话说,可以适当地使上表面对可以随后提供给反应室的硅前体的反应性降低。换句话说,使衬底经受等离子体预处理会导致间隙特征中的抑制梯度。特别是,间隙上部中的抑制比间隙下部中更强。换句话说,抑制从间隙的上部到间隙的下部逐渐减小。本发明不受任何特定理论或操作模式的限制,据信上表面处的抑制是由表面顶部附近的反应性表面基团比如羟基和胺基的耗尽引起的,而下表面附近即沟槽底部附近的反应性表面基团被认为较少或不受等离子体预处理的影响。
采用不超过一种等离子体的等离子体预处理有利地导致短的循环时间。此外,当该等离子体仅保持短时间时,例如至少5秒到至多15秒的时间,可以获得具有有利特性比如低泄漏、高击穿电压的介电层比如SiO2。当介电层沉积在晶体管(例如硅晶体管或薄膜晶体管)中的沟道区上或附近时,可以有利地获得该晶体管沟道中的高电子迁移率。
在一些实施例中,等离子体预处理导致从上表面朝向下表面的钝化梯度,即钝化强度逐渐变化。在这种情况下,将衬底暴露于前体的步骤会导致每单位面积化学吸附前体的密度从下表面到上表面逐渐变化。后硅前体脉冲等离子体处理然后允许等离子体中包含的一个或多个活性物种与化学吸附前体反应以形成材料。由于与上表面相比,更多前体化学吸附在下表面上,因此与上表面相比,更多材料形成在下表面上。换句话说,材料以自下而上的方式选择性生长。
在一些实施例中,等离子体预处理包括使衬底经受稀有气体等离子体。在一些实施例中,稀有气体等离子体是氩等离子体。
在一些实施例中,等离子体预处理包括使衬底经受含氮等离子体。在一些实施例中,含氮等离子体是N2等离子体。在一些实施例中,含氮等离子体是NH3等离子体。
在一些实施例中,等离子体预处理包括将衬底暴露于H2-N2等离子体。应当理解,H2-N2等离子体是指采用包括H2和N2的等离子体气体的等离子体。H2-N2等离子体可以有利地用于用使用烷基氨基硅烷比如双(二乙基氨基) 硅烷的含硅材料填充间隙。
在一些实施例中,等离子体预处理包括使衬底经受含氢等离子体。在一些实施例中,含氢等离子体是H2等离子体。
在一些实施例中,等离子体预处理包括使衬底经受第一等离子体处理和使衬底经受第二等离子体处理。应当理解,第一和第二等离子体处理是不同的,即不相同。可选地,第一和第二等离子体处理通过吹扫分离。应当理解,在吹扫过程中,反应室中不产生等离子体。
在一些实施例中,第一等离子体处理包括在反应室中产生含氮等离子体。在一些实施例中,含氮等离子体是含N2等离子体。换句话说,在一些实施例中,包括N2的等离子体气体用于产生含氮等离子体。
在一些实施例中,第二等离子体处理包括在反应室中产生含稀有气体等离子体。在一些实施例中,含稀有气体等离子体是含氩等离子体。
在一些实施例中,第一等离子体处理包括在反应室中产生氮等离子体,第二等离子体处理包括在反应室中产生稀有气体等离子体。在这样的实施例中,在第一和第二等离子体处理之后选择性地沉积的诸如氧化硅浅沟槽隔离的材料可以有利地具有低到可以忽略的C残留物和高质量膜的特征。此外,当衬底包括Si鳍片时,这些等离子体处理有利地限制或避免硅鳍片的氧化。此外,在氮等离子体之后使用稀有气体等离子体可以有利地减少或消除衬底上的含N表面基团(也称为氮残留物)的量。
在一些实施例中,第一等离子体处理包括将衬底暴露于氮等离子体,并且等离子体气体包括N2。在一些实施例中,以以下向反应室提供N2:至少 200sccm到至多2000sccm的流量,或至少400sccm到至多1200sccm的流量,或至少600sccm到至多1000sccm的流量。在一些实施例中,氮等离子体在至少100W到至多1000W的等离子体功率下例如在至少200W到至多500W 的等离子体功率下通过RF发生器产生。在一些实施例中,N2等离子体产生持续至少5s到至多50s,例如持续至少10s到至多20s。在一些实施例中, N2等离子体产生持续至少0.01s到至多50s,例如持续至少0.2s到至多20s,例如持续至少0.5s到至多10s,例如持续至少1s到至多5s。在一些实施例中,N2等离子体之后进行持续至少1s到至多5s的吹扫。在一些实施例中, N2等离子体之后进行持续至少0.1s到至多10s的吹扫。应理解,在吹扫期间,反应室中不产生等离子体。此外,应理解,当等离子体气体在各个处理步骤间改变时,至少1s的吹扫时间可能是特别有利的,如当衬底首先经受第一等离子体处理且然后经受第二等离子体处理时可能的情况。
在一些实施例中,第二等离子体处理包括将衬底暴露于氩等离子体,并且等离子体气体包括Ar。在一些实施例中,以至少1slm到至多10slm的流量或以至少2slm到至多5slm的流量向反应室提供Ar。在一些实施例中,氩等离子体在至少100W到至多1000W的等离子体功率下例如在至少200W到至多500W的等离子体功率下通过RF发生器产生。在一些实施例中,氩等离子体产生持续至少5s到至多50s,例如持续至少10s到至多20s。在一些实施例中,氩等离子体之后进行吹扫,其持续至少0.1s到至多2s,例如至少0.2s到至多1s。应当理解,在吹扫期间,反应室中不产生等离子体。注意,上述值是在300mm晶片用作衬底时给出的,并且如果需要,这些值可以容易地适用于不同的衬底尺寸。
在一些实施例中,衬底保持在至少100℃到至多500℃的温度,或者至少100℃到至多200℃的温度,或者至少200℃到至多300℃的温度,或者至少300℃到至多400℃的温度,或者至少400℃到至多500℃的温度。
在一些实施例中,反应室保持在以下压力:至少0.1托到至多200托,或至少0.2托到至多100托,或至少0.5托到至多50托,或至少1托到至多 20托,或至少2托到至多10托,例如反应室可以保持在5托的压力。
在一些实施例中,硅前体脉冲持续至少1s到至多20s,或至少2s到至多10s,或至少3s到至多5s。在一些实施例中,硅前体脉冲持续至少0.1s 到至多20s,或至少0.2s到至多10s,或至少0.5s到至多5s,或至少1s到至多2s。在一些实施例中,硅前体脉冲之后进行吹扫,吹扫持续至少0.2s到至多20s,或至少0.5s到至多10s,或至少1s到至多5s。应当理解,在吹扫过程中,反应室中不产生等离子体。
在一些实施例中,该方法包括连续执行多个超级循环。超级循环包括使衬底经受等离子体预处理的步骤;以及在下表面上选择性地沉积含硅材料的步骤。在一些实施例中,该方法包括执行超级循环至少5次到至多10000次,或至少10次到至多5000次,或至少20次到至多2000次,或至少50次到至多1000次,或至少100次到至多500次。在一些实施例中,该方法包括执行至少5次到至多50次或至少10次到至多20次的超级循环。在一些实施例中,连续超级循环通过吹扫彼此分离。应当理解,在吹扫过程中,反应室中不产生等离子体。
本文进一步描述了一种半导体处理设备。该设备包括反应室、加热器、第一等离子体气体源、第二等离子体气体源、等离子体模块、一个或多个前体源和控制器。可选地,该设备还包括第三等离子体气体源。衬底支撑件适当地允许支撑包括一个或多个间隙特征的衬底。加热器构造和布置成加热反应室中的衬底。第一等离子体气体源经由第一等离子体气体阀与反应室流体连通。第一等离子体气体源可以布置成例如向反应室提供含氮气体和/或含稀有气体的气体。第二等离子体气体源经由第二等离子体气体阀与反应室流体连接。第二等离子体气体源可以布置成例如向反应室提供含氧气体。当存在时,第三等离子体气体源可以经由第三等离子体气体阀与反应室流体连接。第三等离子体气体源可以布置成例如向反应室提供含氮气体和/或含稀有气体的气体。等离子体模块包括射频电源,其构造和布置成在反应室中产生等离子体。一个或多个前体源经由一个或多个前体阀与反应室流体连通。合适地,半导体处理设备可以进一步包括用于排出反应产物、载气以及未使用的前体和共反应物的排气装置。控制器包括处理器和一个或多个存储器模块,并且被编程或以其他方式配置用于使设备执行如本文所述的方法。
可选地,该系统配置用于通过载气向反应室提供至少一种前体。合适的载气包括稀有气体。换句话说,在一些实施例中,半导体处理系统包括气体注射系统,其包括前体输送系统,该前体输送系统采用载气将前体运送到一个或多个反应室。
当前提供的方法可以在任何合适的设备中执行,包括在如图1所示的半导体处理系统的实施例中。图1是可用于本发明一些实施例的等离子体增强原子层沉积(PEALD)设备的示意图。在该图中,通过在反应室(3)的内部 (11)(反应区)中提供一对平行且彼此面对的导电平板电极(2、4),从电源(25) 向一侧施加RF功率(例如以13.56MHz和/或27MHz),并将另一侧(12)电接地,可以在电极之间产生等离子体。当然,在向反应室提供前体的步骤期间,或者在后续处理步骤之间的吹扫期间,半导体处理设备不需要产生等离子体,并且在这些步骤或吹扫期间,不需要向任何一个电极施加RF功率。温度调节器可以设置在下平台(2)即下电极中。衬底(1)放置在其上,并且其温度在给定温度下保持恒定。上电极(4)也可以用作喷淋板,并且各种气体比如等离子体气体、反应物气体和/或稀释气体(如果有的话)以及前体气体可以分别通过气体管线(21)和气体管线(22)并通过喷淋板(4)引入反应室(3)。此外,在反应室(3)中,设置带有排气管线(7)的圆形管道(13),反应室(3)的内部(11)中的气体通过该管道排出。此外,转移室(5)设置在反应室(3)下方,并设置有气体密封管线(24),以经由转移室(5)的内部(16)将密封气体引入反应室(3)的内部(11),其中设置有用于分离反应区和转移区的分离板(14)。
注意,从该图中省略了闸阀,晶片可以通过该闸阀转移到转移室(5)中或从其转移。转移室还设置有排气管线(6)。在一些实施例中,氧化硅的沉积和表面处理在相同的反应空间中进行,使得所有步骤都可以连续进行,而不需要排空反应室、抽空反应室或将衬底暴露于大气中的任何中间步骤。
图2示出了包括间隙特征(210)的衬底(200)的示意图。间隙特征(210)包括上部(211)和下部(212)。上部(211)包括上表面,下部(212)包括下表面。通过使衬底(200)进行第一等离子体处理和第二等离子体处理,可以基本抑制上表面。换句话说,与下表面相比,第一和第二等离子体处理可以适当地使上表面对前体相对不起反应。
图3示出了本文描述的方法的实施例的示意图。该方法包括将衬底定位在衬底支撑件上的步骤(311)。衬底包括间隙特征。然后,使衬底经受等离子体处理(312),如本文所述。可选地,然后使用后等离子体吹扫来吹扫反应室 (315)。例如,可以通过基本惰性气体比如稀有气体进行吹扫。示例性稀有气体包括He,Ne,Ar,Xe和Kr。该方法还包括通过本文所述的技术在间隙特征的下表面上沉积含硅材料的步骤(316)。可选地,然后使用后沉积吹扫来吹扫反应室(317)。应当理解,在吹扫过程中,反应室中不产生等离子体。从使衬底经受等离子体处理的步骤(312)到在间隙特征的下表面上沉积含硅材料的步骤(316)可以可选地重复(319)一次或多次,从而产生多个超级循环,其包括随后的等离子体处理以及后面的沉积步骤。可选地,随后的超级循环通过吹扫分离。因此,含硅材料沉积在间隙特征中。当所需量的材料已经沉积在间隙中时,该方法结束(318)。
图4示出了本文描述的方法的实施例的示意图。该方法包括将衬底定位在衬底支撑件上的步骤(411)。衬底包括间隙特征。然后,使衬底经受第一等离子体处理(412),如本文所述。可选地,然后使用等离子体间吹扫来吹扫反应室(413)。例如,可以通过基本惰性气体比如稀有气体进行吹扫。示例性稀有气体包括He,Ne,Ar,Xe和Kr。该方法还包括使衬底经受第二等离子体处理的步骤(414),如本文所述。可选地,然后使用后等离子体吹扫来吹扫反应室(415)。该方法还包括通过本文所述的技术在间隙特征的下表面上沉积含硅材料的步骤(416)。可选地,然后使用后沉积吹扫来吹扫反应室(417)。应当理解,在吹扫过程中,反应室中不产生等离子体。从使衬底经受第一等离子体处理的步骤(412)到在间隙特征的下表面上沉积含硅材料的步骤可以可选地重复(419)一次或多次,从而产生多个超级循环,其包括随后的第一和第二等离子体处理以及后面的沉积步骤。因此,含硅材料沉积在间隙特征中。当所需量的含硅材料已经沉积在间隙中时,该方法结束(418)。
图5示出了用于在衬底中包含的间隙特征的下表面上选择性地沉积含硅材料的方法的一部分的实施例的示意图。图5所示的方法的部分对应于如图 3所示的在下表面上沉积含硅材料的步骤(316),和/或如图4所示的在下表面上沉积含硅材料的步骤(416),并且在使衬底经受等离子体处理的步骤之后,或者在使衬底经受第一等离子体处理和使衬底经受第二等离子体处理的步骤之后,或者可选地在任何这种步骤之后的吹扫之后开始(511)。如本文所述,衬底暴露于化学吸附在下表面上的硅前体(512)。可选地,然后吹扫反应室(513)。然后,该方法包括使衬底经受第三等离子体处理的步骤(514)。可选地,然后吹扫反应室(515)。应当理解,在吹扫过程中,反应室中不产生等离子体。从将衬底暴露于硅前体的步骤(512)到使衬底经受第三等离子体处理的步骤(514)可以可选地重复(517)一次或多次,从而产生多个子循环。因此,含硅材料沉积在间隙特征中。当所需量的含硅材料已经沉积在间隙中时,该方法结束(516)。
图6示出了通过本文所述方法的实施例沉积在间隙特征中的氧化硅的透射电子显微镜(TEM)显微图。特别是,使用了多个超级循环,其包括顺序的等离子体预处理和在下表面上选择性地沉积氧化硅的步骤。等离子体预处理包括将衬底暴露于氮等离子体的步骤,以及将衬底暴露于氩等离子体的后续步骤。在氮等离子体期间,使用800sccm的N2流量,使用300W的等离子体功率(即射频(RF)功率),保持N2等离子体8秒,并且使用2秒的等离子体间吹扫时间。在氩等离子体期间,使用3.7slm的Ar流量,使用500W的等离子体功率(RF功率),使用氩等离子体8秒,并且使用5秒的后等离子体吹扫时间。二异丙基氨基三异丙基胺用作硅前体。后硅前体脉冲等离子体处理包括将衬底暴露于O2等离子体。在O2等离子体期间,使用800sccm的O2流量,使用100W的等离子体功率(RF功率)。O2等离子体保持1秒。在O2等离子体完成后,吹扫反应室2秒。上述过程重复100个超级循环。反应室保持在5托的压力,衬底保持在300℃的温度。使用这种过程,在间隙特征的未钝化下部获得了
Figure BDA0003363762250000151
/循环的每循环生长,以及
Figure BDA0003363762250000152
/循环的每循环生长。因此,可以惊人的高生长速率获得间隙特征的自下而上生长。
在图6的过程中,在包括硅前体-后硅前体脉冲等离子体处理的每个子循环之后,使用等离子体预处理,即等离子体抑制。开替代地,等离子体预处理可以仅每隔几个子循环重复,例如每隔3个子循环、每隔5个子循环、每隔10个子循环、每隔20个子循环或每隔50个子循环。这种替代处理流程可以有利地提高产量,减少等离子体引起损伤,例如Si或Ge氧化,尽管这是以牺牲顶部-底部选择性为代价的。
图7示出了等离子体增强原子层沉积(PEALD)设备(700)的实施例的选定部件,该设备可用于执行本文所述的方法。设备(700)包括气体管线(710)、旁通阀(720)、旁通管线(730)、反应器(740)和排气管线(750)。包括旁通阀(720) 的这种设备可以适当地增加本文所述方法的产量。特别地,旁通阀(720)可用于允许连续气体流动,即使当反应室中不需要特定的处理气体时。因此,旁通阀(720)的使用可以允许避免在处理多个晶片期间使用气体稳定步骤,并且可以使处理步骤更容易地切换到不同气体成分,从而提高产量。
此外,旁通阀允许某些气体的连续流动,因此可以减少颗粒污染,因为避免了通过管线的压力脉冲。此外,流动可以在旁路模式下开始,因此可以防止初始颗粒在启动时爆发到室中(第一晶片效应、预配方要求等)。
气体管线(710)可以是承载本文所述的任何气体的管线,比如硅前体气体管线或稀有气体管线或氮气管线或氧气管线。有利地,设备(700)包括多条气体管线,比如硅前体气体管线或稀有气体管线或氮气管线和氧气管线。
因此,在一些实施例中,在使衬底经受等离子体预处理的步骤期间,硅前体通过硅前体旁路管道被转移。
另外或可替代地,在将衬底暴露于硅前体的步骤期间,等离子体气体通过等离子体气体旁路管道被转移。
在一些实施例中,在沉积含硅材料的步骤期间,使用旁通阀转移等离子体预处理期间使用的等离子体气体。
在一些实施例中,在等离子体预处理期间和在将衬底暴露于后硅前体脉冲等离子体处理的步骤期间,使用旁通阀转移硅前体。
在一些实施例中,在使衬底经受等离子体预处理的步骤中以及在将衬底暴露于硅前体的步骤中使用旁通阀转移在将衬底暴露于后硅前体脉冲等离子体处理的步骤中使用的等离子体气体。
本文描述的公开的示例性实施例不限制本发明的范围,因为这些实施例仅仅是本发明的实施例的示例,本发明由所附权利要求及其合法等同物限定。任何等同的实施例都在本发明的范围内。实际上,除了在此示出和描述的那些之外,本公开的各种修改比如所描述的元件的替代有用组合对于本领域技术人员来说从描述中变得显而易见。这种修改和实施例也旨在落入所附权利要求的范围内。
在本公开中,在条件和/或结构未被指定的情况下,鉴于本公开,作为常规实验的事项,本领域技术人员可以容易地提供这样的条件和/或结构。

Claims (20)

1.一种用于填充衬底表面上的间隙特征的方法,该方法以给定的顺序包括:
-将衬底定位在反应室中的衬底支撑件上的步骤,衬底包括一个或多个间隙特征,一个或多个间隙特征包括具有上表面的上部和具有下表面的下部;
-使衬底经受等离子体预处理的步骤,从而抑制上表面,而下表面基本不受影响;以及
-在下表面上选择性地沉积含硅材料的步骤;
其中,在下表面上选择性地沉积含硅材料的步骤包括循环过程,该循环过程包括多个子循环,子循环以给定的顺序包括:
-将衬底暴露于以硅前体脉冲的硅前体的步骤,从而在下表面上形成化学吸附的硅前体;以及
-将衬底暴露于后硅前体脉冲等离子体处理的步骤,从而允许包括在等离子体中的一个或多个活性物种与下表面上的化学吸附的硅前体反应;
其中,所述硅前体包括胺基和两个或更多个硅原子。
2.根据权利要求1所述的方法,其中,所述胺基选自NH2,NHRi和NRiRii,其中Ri和Rii中的至少一个是C1至C4烷基。
3.根据权利要求1或2所述的方法,其中,所述硅前体包括选自氨基硅烷、甲硅烷基胺和环硅氧烷的化合物。
4.根据权利要求1所述的方法,其中,所述硅前体包括烷基氨基硅烷。
5.根据权利要求1所述的方法,其中,所述硅前体包括选自SiR1R3R4-SiR2R5R6,SiR1R3R4-SiR5R6-SiR2R7R8和SiR1R3R4-SiR2R5-SiR6R7R8的化合物,其中,R1和R2是NR9R10,其中R3,R4,R5,R6 R7,R8 R9和R10独立地选自H和C1至C4烷基。
6.根据权利要求1或2所述的方法,其中,所述硅前体包括甲硅烷基胺。
7.根据权利要求6所述的方法,其中,所述硅前体包括可由根据式(1)的化学结构表示的化合物:
Figure FDA0003363762240000011
其中,R11和R12独立地选自H和C1至C4烷基。
8.根据权利要求1所述的方法,其中,所述硅前体包括具有至少一个胺基的环硅氧烷。
9.根据权利要求1至8中任一项所述的方法,其中,所述等离子体预处理包括使衬底经受稀有气体等离子体。
10.根据权利要求1至8中任一项所述的方法,其中,所述等离子体预处理包括使衬底经受含氮等离子体。
11.根据权利要求1至8中任一项所述的方法,其中,所述等离子体预处理包括使衬底经受含氮和氢等离子体。
12.根据权利要求1至8中任一项所述的方法,其中,所述等离子体预处理包括使衬底经受第一等离子体处理和使衬底经受第二等离子体处理。
13.根据权利要求12所述的方法,其中,所述第一等离子体处理包括在所述反应室中产生含氮等离子体。
14.根据权利要求13所述的方法,其中,所述含氮等离子体是含N2等离子体。
15.根据权利要求12至14中任一项所述的方法,其中,所述第二等离子体处理包括在所述反应室中产生含稀有气体等离子体。
16.根据权利要求1至15中任一项所述的方法,包括执行多个超级循环,超级循环包括:
-使衬底经受等离子体预处理的步骤;以及
-在所述下表面上选择性地沉积含硅材料的步骤。
17.根据权利要求1至16中任一项所述的方法,其中,所述后硅前体脉冲等离子体处理包括在所述反应室中产生含氧等离子体,并且其中,所述含硅材料包括氧化硅。
18.根据权利要求1至17中任一项所述的方法,其中,在使衬底经受等离子体预处理的步骤期间,所述硅前体通过硅前体旁路管道被转移。
19.根据权利要求1至18中任一项所述的方法,其中,在将衬底暴露于硅前体的步骤期间,等离子体气体通过等离子体气体旁路管道被转移。
20.一种半导体处理设备,包括:
-反应室,其包括用于支撑具有一个或多个间隙特征的衬底的衬底支撑件;
-加热器,其构造和布置成加热反应室中的衬底;
-第一等离子体气体源,其经由第一等离子体气体阀与反应室流体连通;
-第二等离子体气体源,其经由第二等离子体气体阀与反应室流体连接;
-第三等离子体气体源,其经由第三等离子体气体阀与反应室流体连接;
-等离子体模块,其包括射频电源,该射频电源构造和布置成在反应室中产生等离子体;
-一个或多个硅前体源,其经由一个或多个前体阀与反应室流体连接;以及
-控制器,其配置用于使所述设备执行根据权利要求1至19中任一项所述的方法。
CN202111375818.8A 2020-11-24 2021-11-19 用于填充间隙的方法以及相关的系统和装置 Pending CN114551219A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202063117683P 2020-11-24 2020-11-24
US63/117,683 2020-11-24

Publications (1)

Publication Number Publication Date
CN114551219A true CN114551219A (zh) 2022-05-27

Family

ID=81657303

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202111375818.8A Pending CN114551219A (zh) 2020-11-24 2021-11-19 用于填充间隙的方法以及相关的系统和装置

Country Status (4)

Country Link
US (1) US20220165569A1 (zh)
KR (1) KR20220071918A (zh)
CN (1) CN114551219A (zh)
TW (1) TW202235649A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20240035195A1 (en) * 2022-07-29 2024-02-01 Applied Materials, Inc. Methods, systems, and apparatus for forming layers having single crystalline structures

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI717260B (zh) * 2015-05-01 2021-01-21 美商應用材料股份有限公司 使用表面封端化學性質的薄膜介電質之選擇性沉積
WO2019204121A1 (en) * 2018-04-19 2019-10-24 Applied Materials, Inc. Methods of treating a substrate to form a layer thereon for application in selective deposition processes

Also Published As

Publication number Publication date
KR20220071918A (ko) 2022-05-31
US20220165569A1 (en) 2022-05-26
TW202235649A (zh) 2022-09-16

Similar Documents

Publication Publication Date Title
KR102588666B1 (ko) 기판 상의 구조물 형성 방법
KR102663011B1 (ko) 기판 상에 실리콘 질화막을 형성하는 방법 및 관련 반도체 소자 구조체
US11894227B2 (en) Conformal deposition of silicon carbide films
KR102357418B1 (ko) 유기금속 공-반응물들과의 교차-복분해 반응들을 통해 sic 및 sicn 막들을 증착시키기 위한 장치들 및 방법들
US11355338B2 (en) Method of depositing material onto a surface and structure formed according to the method
KR102646467B1 (ko) 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US20200266057A1 (en) Cyclical deposition method including treatment step and apparatus for same
CN102265383B (zh) 用于沉积具有降低电阻率及改良表面形态的钨膜的方法
KR101427142B1 (ko) 금속 규산염 막의 원자층 증착
KR20190130046A (ko) 몰리브덴을 함유하는 저 저항률 막들
WO2017070192A1 (en) METHODS OF DEPOSITING FLOWABLE FILMS COMPRISING SiO and SiN
US20130217243A1 (en) Doping of dielectric layers
JP2004047996A (ja) 窒素がドープされたシリコンカーバイド膜の蒸着方法
US20140273524A1 (en) Plasma Doping Of Silicon-Containing Films
JP7487189B2 (ja) 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露
CN104752165A (zh) 用于形成薄膜的循环沉积法、半导体制造方法和半导体器件
CN114551219A (zh) 用于填充间隙的方法以及相关的系统和装置
US20230139917A1 (en) Selective deposition using thermal and plasma-enhanced process
US20220165615A1 (en) Methods for filling a gap and related systems and devices
US20230140367A1 (en) Selective deposition of material comprising silicon and oxygen using plasma
US20080050928A1 (en) Semiconductor constructions, and methods of forming dielectric materials
TW202414513A (zh) 用於形成包含氧化矽之層的方法及系統
CN114606481A (zh) 形成包括硅碳材料的结构的方法和使用该方法形成的结构
KR20220124631A (ko) 바나듐 및 질소를 포함한 층을 증착하기 위한 방법 및 시스템
CN115369376A (zh) 用于形貌选择性沉积的方法和系统

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination