JP3208376B2 - 成膜方法及び半導体装置の製造方法 - Google Patents

成膜方法及び半導体装置の製造方法

Info

Publication number
JP3208376B2
JP3208376B2 JP13804098A JP13804098A JP3208376B2 JP 3208376 B2 JP3208376 B2 JP 3208376B2 JP 13804098 A JP13804098 A JP 13804098A JP 13804098 A JP13804098 A JP 13804098A JP 3208376 B2 JP3208376 B2 JP 3208376B2
Authority
JP
Japan
Prior art keywords
film
phosphorus
insulating film
silicon
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP13804098A
Other languages
English (en)
Other versions
JPH11330068A (ja
Inventor
和夫 前田
裕子 西本
Original Assignee
株式会社半導体プロセス研究所
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 株式会社半導体プロセス研究所 filed Critical 株式会社半導体プロセス研究所
Priority to JP13804098A priority Critical patent/JP3208376B2/ja
Priority to US09/157,936 priority patent/US6352943B2/en
Priority to EP98118024A priority patent/EP0959493A3/en
Publication of JPH11330068A publication Critical patent/JPH11330068A/ja
Application granted granted Critical
Publication of JP3208376B2 publication Critical patent/JP3208376B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31625Deposition of boron or phosphorus doped silicon oxide, e.g. BSG, PSG, BPSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、成膜方法及び半導
体装置の製造方法に関し、より詳しくは、TEOSとオ
ゾンとを含む混合ガスを用いたCVD法により凹部を有
する被成膜基板上にシリコン酸化膜(NSG膜:Non-dop
ed Silicate Glass )を形成する際に、被成膜基板の表
面依存性を消去してその表面の凹部内にシリコン酸化膜
を埋め込む成膜方法及び半導体装置の製造方法に関す
る。
【0002】
【従来の技術】近年、半導体デバイスにおいては、微細
化の進展に伴い、配線間の凹部や絶縁素子分離のための
絶縁物を埋め込む凹部等、幅が狭く、かつより深い凹部
が半導体基板の表面に形成されるようになっている。こ
のような半導体装置では、凹部に絶縁膜を埋め込む必要
がある。そのために、O2 中のO3 濃度が1%以上の高
濃度のO3 を含むオゾン含有ガスとTEOS(テトラエ
チルオルソシリケート)を含む混合ガスを用いたCVD
法により、膜質の優れたシリコン酸化膜(以下、High O
3/TEOS SiO2 膜と称する。)を形成して狭い凹部に隙間
なく埋め込む必要がある。
【0003】しかし、High O3/TEOS SiO2 膜の成膜は、
被成膜基板の表面の性質に敏感であり、成膜の膜質が左
右されやすい。表面依存性による影響は、成膜速度の低
下、成膜の表面荒れ、膜質の低下という形で現れる。従
って、シリコン基板上に成膜するときと同じ成膜速度、
成膜の表面状態及び成膜の膜質等を有するようなHigh O
3/TEOS SiO2 膜を成膜するためには、被成膜基板の表面
に対してHigh O3/TEOS SiO2 膜の成膜が表面依存性の影
響を受けないようにすることが要求される。そのような
表面依存性を消去するために、従来以下のような対策を
施している。
【0004】被成膜基板の表面に下地層としてプラズ
マCVD法によりシリコン酸化膜を形成する。これは、
特開平7−211712号公報に開示されている。 被成膜基板の表面をプラズマガスに曝す。これは、特
開平4−94539号公報に開示されている。 被成膜基板の表面に下地層としてO2 中のO3 濃度が
1%以下の低濃度のO 3 を含むオゾン含有ガスとTEO
Sとを含む反応ガスを用いたCVD法によりシリコン酸
化膜(以下、Low O3/TEOS SiO2膜と称する。)を形成す
る。これは、特開平3−198340号公報に開示され
ている。
【0005】さらに、上記の方法を組み合わせたもの、
即ち、 被成膜基板の表面に下地層としてO2 中のO3 濃度が
1%以下の低濃度のO 3 を含むオゾン含有ガスとTEO
Sとを含む反応ガスを用いたCVD法によりLow O3/TEO
S SiO2膜を形成し、次いでそのLow O3/TEOS SiO2膜をプ
ラズマガスに曝す。
【0006】被成膜基板の表面に下地層としてO2
のO3 濃度が1%以上の高濃度のO 3 を含むオゾン含有
ガスとTEOSとを含む反応ガスを用いたCVD法によ
りHigh O3/TEOS SiO2 膜を形成し、そのHigh O3/TEOS S
iO2 膜をTEOS蒸気に曝す。 その他、被成膜基板の表面依存性を消去する方法は、特
開平7−66131号公報等に開示されている。
【0007】このような方法により、成膜が被成膜基板
の表面に依存せず、十分な流動性を有するO3/TEOS SiO2
膜を形成することができる。
【0008】
【発明が解決しようとする課題】しかしながら、上記の
表面依存性の消去方法では、以下のような問題がある。
即ち、上記項の被成膜基板の表面に下地層としてプラ
ズマCVD法によりシリコン酸化膜を形成する方法で
は、プラズマCVD法によるシリコン酸化膜はステップ
カバレージがあまりよくないため、幅が狭く、かつ深い
凹部を埋めるためには適当でない。
【0009】また、上記項の被成膜基板の表面をプラ
ズマガスに曝す方法では、プラズマ装置が必要であるた
め装置が大がかりになり、またコスト高を招くこと、プ
ラズマによって幅が狭く、深い凹部の底まで改質できる
か否か疑問があること等がある。さらに、項の方法で
は、Low O3/TEOS SiO2膜の膜厚が少なくとも50nm以
上必要であり、幅が狭く、かつ深い凹部への埋め込みに
は適していない。
【0010】また、項及び項についてもそれぞれ
項及び項と同じような問題がある。本発明は、上記の
従来例の問題点に鑑みて創作されたものであり、被成膜
基板に対する成膜の表面依存性を確実に消去して膜質の
優れた絶縁膜を形成するとともに、幅が狭く、かつ深い
凹部を有する被成膜基板の凹部内に隙間なく絶縁膜を埋
め込むことが可能な成膜方法及び半導体装置の製造方法
を提供するものである。
【0011】
【課題を解決するための手段】上記課題を解決するた
め、請求項1に係る発明は、成膜方法に係り、被成膜基
板の表面に下地層としてリン含有絶縁膜を化学気相成長
法により形成する工程と、前記リン含有絶縁膜の表面を
大気に曝すこと、又は前記リン含有絶縁膜を加熱しつ
つ、前記リン含有絶縁膜の表面を水蒸気に曝すことの何
れか一方を行う工程と、オゾン含有ガスとシリコン含有
ガスを含む混合ガスを用いた化学気相成長法により前記
リン含有絶縁膜上にシリコン含有絶縁膜を形成する工程
とを有することを特徴としている。
【0012】請求項2記載の発明は、請求項1記載の成
膜方法に係り、前記リン含有絶縁膜を形成する際に第1
のガス放出手段によりオゾン含有ガスとシリコン含有ガ
スとリン含有ガスとを放出し、前記シリコン含有絶縁膜
を形成する際に前記第1のガス放出手段と異なる第2の
ガス放出手段によりオゾン含有ガスとシリコン含有ガス
とを放出することを特徴としている。
【0013】請求項3記載の発明は、請求項1又は2記
載の成膜方法に係り、成膜された前記リン含有絶縁膜の
膜厚は10nm以上、100nm以下であることを特徴
としている。
【0014】請求項4記載の発明は、請求項1乃至3の
何れか一に記載の成膜方法に係り、前記オゾン含有ガス
は酸素中に10%以下の濃度のオゾンを含むガスである
ことを特徴としている。請求項5記載の発明は、請求項
1乃至4の何れか一に記載の成膜方法に係り、前記シリ
コン含有ガスはテトラエチルオルソシリケート(TEO
S)を含むガスであることを特徴としている。
【0015】請求項6に係る発明は、請求項1乃至5の
何れか一に記載の成膜方法に係り、前記シリコン含有絶
縁膜を形成するときの成膜温度は350℃以上、550
℃以下であることを特徴としている。請求項7に係る発
明は、請求項6記載の成膜方法に係り、前記シリコン含
有絶縁膜を形成するときの成膜温度は375℃以上、4
25℃以下であることを特徴としている。
【0016】請求項8記載の発明は、請求項1乃至請求
項7の何れか一に記載の成膜方法に係り、前記リン含有
絶縁膜はリンガラス膜(PSG膜)又はボロンリンガラ
ス膜(BPSG膜)のうち何れか一であることを特徴と
している。請求項9記載の発明は、請求項8記載の成膜
方法に係り、前記リンガラス膜中のリン濃度は10モル
%以下であることを特徴としている。
【0017】請求項10記載の発明は、半導体装置の製
造方法に係り、請求項1乃至請求項9の何れか一に記載
の成膜方法を用いて、凹部を有する被成膜基板の表面
に、下地層として前記凹部を被覆するリン含有絶縁膜を
形成し、その後前記リン含有絶縁膜上にシリコン含有絶
縁膜を形成して該シリコン含有絶縁膜により前記凹部を
埋めることを特徴としている。
【0018】ところで、高濃度のオゾン(酸素中のオゾ
ン濃度が1%以上とする。)を含むオゾン含有ガスとシ
リコン含有ガスとを用いた化学気相成長法によりシリコ
ン酸化膜(High O3/TEOS SiO2 膜) を成膜するとき、被
成膜基板において成膜の表面依存性が顕著に現れるとさ
れる。
【0019】本願発明者の実験によれば、リンガラス膜
(PSG膜)又はボロンリンガラス膜(BPSG膜)等
のリン含有絶縁膜により被成膜基板の表面を被覆するこ
とにより、オゾン含有ガスとシリコン含有ガスとを含む
混合ガスを用いた化学気相成長法により成膜されるシリ
コン含有絶縁膜を被成膜基板上に成膜する際に、被成膜
基板における成膜の表面依存性を消去することができ
る。更に、シリコン含有絶縁膜を形成する前にリン含有
絶縁膜の表面を大気に曝すこと、又は加熱しつつ、水蒸
気に曝すことの何れか一方を行うことにより、図4に示
すように、リン含有絶縁膜の表面における水滴の接触角
が45°を超える角度となること、かつ被成膜表面にお
ける水滴の接触角が45°を超える角度となれば、オゾ
ン含有ガスとシリコン含有ガスを含む混合ガスを用いた
化学気相成長法によるシリコン含有絶縁膜の成膜におけ
る表面依存性が抑制されて成膜レートの均一性が得られ
ることが確かめられている。特に、表面依存性の影響を
受けやすいHigh O3/TEOS SiO2 膜を成膜する場合にその
ような表面処理をすることが望ましい。
【0020】この発明においては、被成膜基板の表面に
下地層としてリンガラス膜等のリン含有絶縁膜を成膜し
た後、シリコン含有絶縁膜を成膜する前に、リン含有絶
縁膜の表面を大気に曝すか、或いは水蒸気に曝してい
る。これにより、成膜の表面依存性を消去することがで
きるため、表面依存性の影響を受けやすいHigh O3/TEOS
SiO2 膜を成膜するときでも十分な流動性を得ることが
できる。
【0021】また、膜厚10nm程度の薄い膜厚のリン
含有絶縁膜により成膜の表面依存性を十分に消去するこ
とができる。このため、少なくとも凡そ20nm以上の
幅の狭い凹部の内面でも十分に被覆することができる。
なお、リン含有絶縁膜の膜厚の上限については、下地層
としてのリン含有絶縁膜とその上のシリコン含有絶縁膜
からなる層間絶縁膜の全膜厚中、シリコン含有絶縁膜の
膜厚に比べてリン含有絶縁膜の膜厚が十分に薄くなるよ
うな膜厚とすることが望ましい。通常、それが100n
m程度であれば十分に薄いといえる。
【0022】これにより、被成膜基板の表面に幅の狭い
凹部を有している場合、凹部内に成膜されたシリコン酸
化膜にボイドやシームを生じさせることなく、シリコン
酸化膜により凹部を隙間なく埋めることができる。この
場合、第1のガス放出手段によりオゾン含有ガスとシリ
コン含有ガスとリン含有ガスとを放出してリン含有絶縁
膜を形成し、その後リン含有絶縁膜の表面を水蒸気に曝
す等した後、第2のガス放出手段によりオゾン含有ガス
とシリコン含有ガスとを放出してシリコン酸化膜を形成
している。ところで、成膜されたリン含有絶縁膜の表面
での表面依存性を消去するためには、実験により、リン
含有絶縁膜上に次のシリコン含有絶縁膜を形成するとき
に反応ガス中にリン含有絶縁膜を形成したときのガス放
出手段と異なるガス放出手段を用いてシリコン含有絶縁
膜を形成すれば、リン含有絶縁膜の表面での表面依存性
を消去することができる。リン含有絶縁膜の成膜チャン
バとシリコン含有絶縁膜の成膜チャンバを変えてもよい
し、成膜のときにそれぞれ別のガス放出手段に切り換え
てもよい。
【0023】さらに、シリコン含有絶縁膜の成膜に用い
られるオゾン含有ガスは酸素中に10%以下の濃度のオ
ゾンを含むガスである。上記したように、酸素中のオゾ
ン濃度が1%以上である高濃度のオゾンを含むオゾン含
有ガスを用いて、表面依存性の影響を受けやすいHigh O
3/TEOS SiO2 膜を成膜するときに特に有効であるが、勿
論、酸素中のオゾン濃度が1%以下である低濃度のオゾ
ンを含むオゾン含有ガスを用いて、表面依存性の影響を
受けにくいシリコン酸化膜(Low O3/TEOS SiO2膜)を形
成する場合も、リン含有絶縁膜を下地層として用いるこ
とが有効である。これにより、Low O3/TEOS SiO2膜から
被成膜基板への水分の透過防止やアルカリイオンの移動
等を防止することができるからである。
【0024】また、シリコン含有絶縁膜を形成するとき
の成膜温度を350℃〜550℃の範囲とすることによ
り、O3/TEOS の混合ガスを用いたCVD法によりシリコ
ン含有絶縁膜を成膜する際に成膜の流動性を増すことが
できる。上記成膜温度の範囲のうちでも、特に、十分な
成膜の流動性を得るには、成膜温度は375℃〜425
℃の範囲が好ましい。
【0025】
【発明の実施の形態】以下に、図面を参照しながらこの
発明の実施の形態について説明する。 (1)この発明の基礎データ この発明は、以下の(i)〜(iv)項に説明するような
化学気相成長法(CVD法)を用いた場合のO3/TEOS Si
O2膜の成膜反応における知見を基礎としている。
【0026】(i)O3/TEOS SiO2膜を成膜する際の流動
性は、375〜425℃の範囲の成膜温度で最も顕著に
現れる。一方、成膜温度が450℃を越えると等方的成
長を示す。特に500℃以上では、O3+TEOSの混合ガス
(以下、O3/TEOS のように記す。)を用いたCVD法に
よるシリコン含有絶縁膜の成膜は完全に等方的な成膜で
ある。
【0027】(ii)図4は、下地層表面に付着した水滴
の接触角(θ)と、下地層上に形成される各種の膜の成
膜レート比との間の関係を示す図である。ここで、接触
角(θ)とは、図4に示すように、下地層表面に付着し
た水が表面張力により凝集して水玉ができたとき、下地
層表面との接触面における水玉への接線と下地表面との
なす角度のことをいう。成膜レート比とは、各種の膜を
シリコン表面に直接成膜したときのレートを基準として
同じ膜を種々の下地層上に成膜したときの成膜レート比
をいう。成膜レートが1に近いほど、表面依存性が抑制
されていることを示している。
【0028】なお、図4中、「Th.SiO2 」とは、熱酸化
により形成されたシリコン酸化膜のことをいう。「A
D」とは、「as deposited」、即ち成膜直後のことをい
う。「EX」とは、「after exposure」、即ち大気中に
放置した後のことをいう。成膜レート比の比較データに
おいて、各種の膜のうち、リンガラス(PSG)(A
D),不純物非含有シリコン酸化膜(NSG),ボロン
リンガラス(BPSG),及びPSG(EX)の成膜に
用いた酸化性ガスとして酸素中のオゾン濃度が5%であ
るオゾン含有ガスを用いた。
【0029】図4に示すように、下地層に対するO3/TEO
S SiO2膜の表面依存性は、下地層の表面に付着した水滴
の接触角(θ)によって左右される。即ち、下地層の表
面における水滴の接触角(θ)が45°以下であれば成
膜の表面依存性は大きく、45°を超える角度であれば
成膜の表面依存性は小さい。なお、図4において、NS
Gは水滴の接触角(θ)が45°以下であるにもかかわ
らず、下地層に対する成膜の表面依存性が小さい理由
は、現状では不明である。
【0030】(iii )図5は、下地層上に堆積したNS
G膜の成膜に用いたオゾン含有ガス中のオゾン濃度とN
SG膜の成膜レート比との関係を示すグラフである。下
地層としての各種の膜をパラメータとしている。縦軸
は、線形目盛りで表した成膜レート比を示し、横軸は線
形目盛りで表した酸素中のオゾン濃度(%)を示す。
【0031】また、下地層としての各種の膜の表示にお
いて、「NSG4%AD」の表示は、オゾン濃度4%の
オゾン含有ガスを用いて形成したNSG膜であって、そ
の成膜直後にその上に成膜したことを表している。「P
SG2mol %EX」の表示は、リン含有量2mol %のP
SG膜であって、大気中に放置後にその上に成膜したこ
とを表している。「PSG4〜6mol %EX」の表示
は、リン含有量4乃至6mol %のPSG膜のことであ
る。「BPSG8-8mol%AD」の表示は、ボロン含有量
8mol %、リン含有量8mol %のBPSG膜であって、
その成膜直後にその上に成膜したことを表している。そ
の他の表示は、上記表示に準ずる。また、PSG膜、及
びBPSG膜の成膜に用いたオゾン含有ガス中のオゾン
濃度はいずれも4%としている。
【0032】図5に示すように、シリコン含有絶縁膜の
形成に用いられるオゾン含有ガス中のオゾン濃度と表面
依存性との間には著しい相関がある。また、下地層がP
SGの場合、下地層の表面依存性は下地層の成膜直後
(AD)では大きいが、空気中に放置する(EX)と、
下地層の表面が親水性から疎水性に変換されて成膜の表
面依存性は小さくなることがわかる。
【0033】次に、下地層の種類による表面依存性の程
度の比較を表1に示す。
【0034】
【表1】
【0035】表1は、下地層としての各種の膜の上にLo
w O3 NSG膜(Low O3/TEOS SiO2膜のこと)、High O3 NS
G 膜(High O3/TEOS SiO2 膜のこと)、BSG膜、PS
G膜、又はBPSG膜を成膜したときの表面依存性の程
度を比較した表である。表1によれば、High O3 NSG 膜
は、下地層としてのTh.SiO2 、HDPSG膜(AD)
(高濃度リン含有PSG膜(AD)のこと)又はSOG
(Spin-On-Glass)の上に成膜したとき表面依存性の影響
を強く受ける。即ち、成膜レート比(DR)で0.7以
下となる。
【0036】これに対して、下地層としてのBPSG、
BSG、PSG(EX)、又はP-SiO の上にHigh O3 NS
G 膜を成膜する場合、表面依存性の影響は中程度であ
る。即ち、成膜レート比(DR)で0.7以上、1以下
となる。また、P-SiO を下地層として成膜した場合には
表面依存性の影響を全く受けない。さらに、Low O3 NSG
膜をはじめとしてPSG膜やBPSG膜は、いかなる種
類の下地層上に成膜した場合であっても表面依存性の影
響を全く受けない。
【0037】なお、P-SiO とは、TEOSを含むガスのプラ
ズマを用いて成膜されたシリコン酸化膜である。また、
「SiN膜」はシリコン窒化膜を表す。その他の膜につ
いては上記説明に準じて解釈することができる。 (iv)下地層上にO3/TEOS SiO2膜を成膜する場合、下地
層とO3/TEOS SiO2膜の間にいわゆるバリア層或いはシー
ド層が必要である。バリア層或いはシード層はO3/TEOS
SiO2膜と下地層表面とを遮断し、水分の透過を防止し、
下地層に対する成膜の表面依存性を消去する等のために
必要である。また、何種類かの材料が露出した下地層表
面を均一化する必要もある。
【0038】本発明は以上の知見に基づいて創作された
ものであり、以下にその発明の実施の形態について詳細
に説明する。 (2)本発明の実施の形態 図1(a)〜(c)はこの発明の実施の形態について説
明する断面図である。まず、図示しない成膜チャンバ内
に図1(a)に示す被成膜基板11を置く。被成膜基板
11の表面には、段差(凹部)12や溝(凹部)13に
より凹凸が生じている。例えば、図3(a),(b)に
示すように、半導体基板21の表面に形成されたトレン
チ溝22や、下地絶縁層23上に形成された配線24
a、24bにより生じている凹凸である。
【0039】次いで、被成膜基板11を加熱し、温度3
50℃〜550℃の範囲に保つ。好ましくは温度375
℃〜425℃の範囲に保つ。この実施の形態では、例え
ば、400℃とする。次に、図1(b)に示すように、
CVD法により被成膜基板11の表面に下地層として薄
い膜厚のリンガラス膜(リン含有絶縁膜)14を成膜す
る。このため、酸素中のオゾン濃度が5%のオゾン含有
ガスと、TEOS(シリコン含有ガス)と、TMOP
(トリメチルフォスフェイト(PO(OCH3)3) :リン含有ガ
ス)を含む窒素ガスとを被成膜基板11上に導く。加熱
により上昇している被成膜基板11の温度により成膜ガ
スが反応し、被成膜基板11上にリンガラス膜14が堆
積する。
【0040】このとき、リンガラス膜14中のリンの含
有量が10%以下となるように、TMOPの流量を調整
しておく。また、リンガラス膜14の膜厚は、表面依存
性の消去に十分な厚さとなるように、かつ凹部12,1
3の幅や深さを考慮して決められる。即ち、表面依存性
の消去に十分な膜厚は実験により凡そ10nm以上であ
ることが分かっている。また、リンガラス膜14のみで
凹部13内を満たさないように、かつできるだけ薄くす
ることが望ましい。一般に、下地層としてのリンガラス
膜14とその上のシリコン酸化膜15とで構成される層
間絶縁膜の全膜厚中、シリコン酸化膜15の膜厚に比べ
てリンガラス膜14の膜厚が十分に薄くなるような膜厚
とすることが望ましく、通常、リンガラス膜14の膜厚
が100nm程度であれば十分に薄いといえる。この実
施の形態では、例えば、リンガラス膜14の膜厚を10
nm以上、100nm以下となるように成膜時間を設定
する。
【0041】上記により設定された成膜時間の経過のの
ち、膜厚10〜100nmの範囲のリンガラス膜14が
被成膜基板11上に形成される。リンガラス膜14の成
膜温度は375℃〜425℃の範囲であるので、被成膜
基板11に露出する材料の種類に関わらず、リンガラス
膜14は平滑であり、ほぼ等方的に成長する。また、成
膜の表面依存性はリンガラス膜14により消去される。
【0042】なお、リンガラス膜14の上にシリコン酸
化膜(シリコン含有絶縁膜)15を形成する前に、成膜
チャンバ内で成膜温度と同じ温度に加熱した状態で水蒸
気に曝す処理を行う。これにより、リンガラス膜14の
表面における水滴の接触角(θ)を45°以下から45
°を超える角度に変換することができる。次いで、TM
OPを停止し、かつTMOPを流したガス供給系とは別
のガス供給系に切り換えて、連続して同じ成膜チャンバ
内にリンガラス膜14を成膜したときと同じ濃度のオゾ
ンを酸素中に含むオゾン含有ガスと、TEOS(シリコ
ン含有ガス)とを流し続けるとともに、被成膜基板の温
度と同じように、温度350℃〜550℃の範囲、好ま
しくは温度375℃〜425℃の範囲に保つ。
【0043】図1(c)に示すように、この状態を保持
し、リンガラス膜14上にシリコン酸化膜(High O3/TE
OS SiO2 膜:シリコン含有絶縁膜)15を形成する。こ
のとき、下地層に対する成膜の表面依存性が消去されて
いるため、成膜されるHigh O 3/TEOS SiO2 膜15は著し
い流動性を示し、幅が狭い凹部13内に流入する。図2
(a),(b)はそれぞれ、被成膜基板11上、幅が広
い凹部12と幅が狭い凹部13に形成されたHigh O3/TE
OS SiO2 膜15の断面を示す写真である。なお、写真中
のHigh O3/TEOS SiO2 膜15に見られる縞模様は成膜が
どのように堆積していくかを観察しやすくするために、
所定の膜厚のHigh O3/TEOS SiO2 膜15の成膜ごとに異
なる層を形成したものである。
【0044】図2(a)に示すように、幅の広い凹部1
2では、流動性形状となっていることが確認され、ま
た、図2(b)に示すように、幅の狭い凹部13では、
凹部13内のHigh O3/TEOS SiO2 膜15にボイドやシー
ムが生じるのを抑制して、HighO3/TEOS SiO2膜15を凹
部13内に隙間なく埋め込むことができる。以上のよう
に、この発明の実施の形態によれば、被成膜基板11表
面をリンガラス膜14で被覆することにより成膜の表面
依存性を消去することができるため、表面依存性の影響
を受けやすいHigh O3/TEOS SiO2 膜15を成膜するとき
でも十分な流動性を得ることができる。
【0045】また、薄い膜厚のリンガラス膜14により
成膜の表面依存性を十分に消去することができるため、
幅の狭い凹部13の内面でも十分に被覆することができ
る。これにより、被成膜基板11の表面に幅の狭い凹部
13を有している場合、凹部13内のHigh O3/TEOS SiO
2 膜15にボイドやシームを生じさせることなく、High
O3/TEOS SiO2 膜15を凹部13内に隙間なく埋め込む
ことができる。
【0046】さらに、High O3/TEOS SiO2 膜15を形成
するときの成膜温度を、350℃〜550℃の範囲、好
ましくは375℃〜425℃の範囲としているので、O3
/TEOS の混合ガスを用いたCVD法によりHigh O3/TEOS
SiO2 膜15を成膜する際に成膜の流動性を増すことが
できる。なお、上記実施の形態では、PSG膜を下地層
14として用いているが、PSG膜の代わりにBPSG
膜を用いてもよい。
【0047】また、下地層14上のシリコン含有絶縁膜
15の成膜に用いられるオゾン含有ガスとして、酸素中
にオゾン濃度が1%以上、10%以下の高濃度のオゾン
を含むものを用いてHigh O3/TEOS SiO2 膜を形成してい
るが、酸素中のオゾン濃度が1%以下である低濃度のオ
ゾンを含むオゾン含有ガスを用いて、表面依存性の影響
を受けにくいシリコン酸化膜(Low O3/TEOS SiO2膜)を
成膜する場合にも適用することができる。この場合、リ
ンガラス膜等のリン含有絶縁膜14を用いることによ
り、成膜の表面依存性の消去の他に、特に、Low O3/TEO
S SiO2膜から被成膜基板への水分の透過防止やアルカリ
イオンの移動等を防止することができる。
【0048】さらに、上記では、リンガラス膜14を成
膜した後、シリコン酸化膜15を形成する前に、リンガ
ラス膜14の表面を水蒸気に曝してリンガラス膜14の
表面における水滴の接触角(θ)が45°を超える角度
となるように表面改質しているが、リンガラス膜14を
形成したときに用いたガス放出手段をリンの付着が全く
ない別のガス放出手段に切り換えてもよい。或いは、被
成膜基板11を成膜チャンバの外に取り出して大気に曝
してもよい。これにより、リンガラス膜14の表面での
表面依存性を消去することができる。
【0049】また、上記で、リンガラス膜(リン含有絶
縁膜)14を膜厚10〜100nmの範囲で形成してい
るが、これに限られるものではない。理論的にはリン含
有絶縁膜の膜厚は凹部の幅の1/2以下で有ればよい。
従って、リン含有絶縁膜の膜厚の上限については、凹部
の幅によって左右されることになる。
【0050】
【発明の効果】以上のように、この発明においては、被
成膜基板表面をリンガラス膜等のリン含有絶縁膜で被覆
し、かつ、リン含有絶縁膜上にシリコン含有絶縁膜を成
膜する前に、リン含有絶縁膜の表面を大気に曝すこと、
又は加熱しつつ、水蒸気に曝すことの何れか一方を行っ
ている。これにより、被成膜基板において成膜の表面依
存性を消去して成膜のときに十分な流動性を得ることが
できる。また、シリコン含有絶縁膜の成膜に用いられる
オゾン含有ガスとして酸素中に10%以下の濃度のオゾ
ンを含むガスを用いている。即ち、酸素中のオゾン濃度
が1%以上である高濃度のオゾンを含むオゾン含有ガス
を用いて成膜された、表面依存の影響を受けやすいHigh
O3/TEOS SiO2 膜、或いは酸素中のオゾン濃度が1%以
下である低濃度のオゾンを含むオゾン含有ガスを用いて
成膜された、表面依存性を受けにくいシリコン酸化膜
(Low O3/TEOS SiO2膜) に適用している。
【0051】High O3/TEOS SiO2 膜の成膜に適用する場
合には、表面依存性を消去するために有効であり、Low
O3/TEOS SiO2膜の成膜に適用する場合には、表面依存性
を消去するためよりも、Low O3/TEOS SiO2膜から被成膜
基板への水分の透過防止やアルカリイオンの移動等を防
止するために有効である。また、下地層としてリンガラ
ス膜等のリン含有絶縁膜を用いることで薄い膜厚でも成
膜の表面依存性を十分に消去することができるため、幅
の狭い凹部の内面でも十分に被覆することができる。
【0052】これにより、被成膜基板の表面に幅の狭い
凹部を有している場合、凹部内に成膜されたシリコン酸
化膜にボイドやシームを生じさせることなく、シリコン
酸化膜により凹部を隙間なく埋めることができる。ま
た、シリコン含有絶縁膜を形成するときの成膜温度を3
50℃〜550℃の範囲、好ましくは375℃〜425
℃の範囲とすることにより、O3/TEOS の混合ガスを用い
たCVD法によりシリコン含有絶縁膜を成膜する際に成
膜の流動性を一層増すことができる。
【図面の簡単な説明】
【図1】図1(a)〜(c)は、本発明の実施の形態に
係る成膜方法及び半導体装置の製造方法について示すフ
ローチャートである。
【図2】図2(a),(b)は、本発明の実施の形態に
係る成膜方法及び半導体装置の製造方法により段差及び
溝を有する被成膜基板に形成されたシリコン含有絶縁膜
の断面形状を示す写真である。
【図3】図3(a)は、本発明の実施の形態に係る成膜
方法及び半導体装置の製造方法に用いられる段差を有す
る被成膜基板について示す断面図である。図3(b)
は、本発明の実施の形態に係る成膜方法及び半導体装置
の製造方法に用いられるトレンチ溝を有する被成膜基板
について示す断面図である。
【図4】図4は、本発明の実施の形態に係る成膜方法に
より形成されるO3/TEOS NSG 膜の成膜レートの表面依存
性と接触角との相関を示す図である。
【図5】図5は、本発明の実施の形態に係る成膜方法に
より形成されるO3/TEOS NSG 膜の成膜レート比とオゾン
濃度との相関を、下地層の種類をパラメータとして調査
したグラフである。
【図6】図6(a),(b)は、第1の比較例に係る成
膜方法により段差や溝を有する被成膜基板上に形成され
たシリコン含有絶縁膜の断面形状について示す断面図で
ある。
【図7】図7(a),(b)は、第2の比較例に係る成
膜方法により段差や溝を有する被成膜基板上に形成され
たシリコン含有絶縁膜の断面形状について示す断面図で
ある。
【符号の説明】
11 被成膜基板、 12 段差(凹部)、 13 溝(凹部)、 14 リン含有絶縁膜(下地層)、 15 シリコン酸化膜(シリコン含有絶縁膜)、 21 シリコン基板(半導体基板)、 22 トレンチ溝(凹部)、 23 下地絶縁層、 24a,24b 配線、 25 凹部。
───────────────────────────────────────────────────── フロントページの続き (58)調査した分野(Int.Cl.7,DB名) H01L 21/316

Claims (10)

    (57)【特許請求の範囲】
  1. 【請求項1】 被成膜基板の表面に下地層としてリン含
    有絶縁膜を化学気相成長法により形成する工程と、 前記リン含有絶縁膜の表面を大気に曝すこと、又は前記
    リン含有絶縁膜を加熱しつつ、前記リン含有絶縁膜の表
    面を水蒸気に曝すことの何れか一方を行う工程と、 オゾン含有ガスとシリコン含有ガスを含む混合ガスを用
    いた化学気相成長法により前記リン含有絶縁膜上にシリ
    コン含有絶縁膜を形成する工程とを有することを特徴と
    する成膜方法。
  2. 【請求項2】 前記リン含有絶縁膜を形成する際に第1
    のガス放出手段によりオゾン含有ガスとシリコン含有ガ
    スとリン含有ガスとを放出し、前記シリコン含有絶縁膜
    を形成する際に前記第1のガス放出手段と異なる第2の
    ガス放出手段によりオゾン含有ガスとシリコン含有ガス
    とを放出することを特徴とする請求項1記載の成膜方
    法。
  3. 【請求項3】 成膜された前記リン含有絶縁膜の膜厚は
    10nm以上、100nm以下であることを特徴とする
    請求項1又は2記載の成膜方法。
  4. 【請求項4】 前記オゾン含有ガスは酸素中に10%以
    下の濃度のオゾンを含むガスであることを特徴とする請
    求項1乃至3の何れか一に記載の成膜方法。
  5. 【請求項5】 前記シリコン含有ガスはテトラエチルオ
    ルソシリケート(TEOS)を含むガスであることを特
    徴とする請求項1乃至4の何れか一に記載の成膜方法。
  6. 【請求項6】 前記シリコン含有絶縁膜を形成するとき
    の成膜温度は350℃以上、550℃以下であることを
    特徴とする請求項1乃至5の何れか一に記載の成膜方
    法。
  7. 【請求項7】 前記シリコン含有絶縁膜を形成するとき
    の成膜温度は375℃以上、425℃以下であることを
    特徴とする請求項6記載の成膜方法。
  8. 【請求項8】 前記リン含有絶縁膜はリンガラス膜(P
    SG膜)又はボロンリンガラス膜(BPSG膜)のうち
    何れか一であることを特徴とする請求項1乃至請求項7
    の何れか一に記載の成膜方法。
  9. 【請求項9】 前記リンガラス膜中のリン濃度は10モ
    ル%以下であることを特徴とする請求項8記載の成膜方
    法。
  10. 【請求項10】 請求項1乃至請求項9の何れか一に記
    載の成膜方法を用いて、凹部を有する被成膜基板の表面
    に、下地層として前記凹部を被覆するリン含有絶縁膜を
    形成し、その後前記リン含有絶縁膜上にシリコン含有絶
    縁膜を形成して該シリコン含有絶縁膜により前記凹部を
    埋めることを特徴とする半導体装置の製造方法。
JP13804098A 1998-05-20 1998-05-20 成膜方法及び半導体装置の製造方法 Expired - Fee Related JP3208376B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP13804098A JP3208376B2 (ja) 1998-05-20 1998-05-20 成膜方法及び半導体装置の製造方法
US09/157,936 US6352943B2 (en) 1998-05-20 1998-09-22 Method of film formation and method for manufacturing semiconductor device
EP98118024A EP0959493A3 (en) 1998-05-20 1998-09-23 Deposition of insulating films by CVD

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP13804098A JP3208376B2 (ja) 1998-05-20 1998-05-20 成膜方法及び半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JPH11330068A JPH11330068A (ja) 1999-11-30
JP3208376B2 true JP3208376B2 (ja) 2001-09-10

Family

ID=15212626

Family Applications (1)

Application Number Title Priority Date Filing Date
JP13804098A Expired - Fee Related JP3208376B2 (ja) 1998-05-20 1998-05-20 成膜方法及び半導体装置の製造方法

Country Status (3)

Country Link
US (1) US6352943B2 (ja)
EP (1) EP0959493A3 (ja)
JP (1) JP3208376B2 (ja)

Families Citing this family (353)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7157385B2 (en) 2003-09-05 2007-01-02 Micron Technology, Inc. Method of depositing a silicon dioxide-comprising layer in the fabrication of integrated circuitry
US6489254B1 (en) * 2000-08-29 2002-12-03 Atmel Corporation Method of forming pre-metal dielectric film on a semiconductor substrate including first layer of undoped oxide of high ozone:TEOS volume ratio and second layer of low ozone doped BPSG
US20070014801A1 (en) * 2001-01-24 2007-01-18 Gish Kurt C Methods of diagnosis of prostate cancer, compositions and methods of screening for modulators of prostate cancer
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7053010B2 (en) 2004-03-22 2006-05-30 Micron Technology, Inc. Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7097878B1 (en) 2004-06-22 2006-08-29 Novellus Systems, Inc. Mixed alkoxy precursors and methods of their use for rapid vapor deposition of SiO2 films
US7129189B1 (en) 2004-06-22 2006-10-31 Novellus Systems, Inc. Aluminum phosphate incorporation in silica thin films produced by rapid surface catalyzed vapor deposition (RVD)
US7202185B1 (en) 2004-06-22 2007-04-10 Novellus Systems, Inc. Silica thin films produced by rapid surface catalyzed vapor deposition (RVD) using a nucleation layer
US7235459B2 (en) 2004-08-31 2007-06-26 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry, methods of fabricating memory circuitry, integrated circuitry and memory integrated circuitry
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7294583B1 (en) 2004-12-23 2007-11-13 Novellus Systems, Inc. Methods for the use of alkoxysilanol precursors for vapor deposition of SiO2 films
US7223707B1 (en) 2004-12-30 2007-05-29 Novellus Systems, Inc. Dynamic rapid vapor deposition process for conformal silica laminates
US7271112B1 (en) 2004-12-30 2007-09-18 Novellus Systems, Inc. Methods for forming high density, conformal, silica nanolaminate films via pulsed deposition layer in structures of confined geometry
US7510966B2 (en) 2005-03-07 2009-03-31 Micron Technology, Inc. Electrically conductive line, method of forming an electrically conductive line, and method of reducing titanium silicide agglomeration in fabrication of titanium silicide over polysilicon transistor gate lines
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US7135418B1 (en) 2005-03-09 2006-11-14 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US8012847B2 (en) 2005-04-01 2011-09-06 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry and methods of fabricating integrated circuitry
US7589028B1 (en) 2005-11-15 2009-09-15 Novellus Systems, Inc. Hydroxyl bond removal and film densification method for oxide films using microwave post treatment
US7491653B1 (en) 2005-12-23 2009-02-17 Novellus Systems, Inc. Metal-free catalysts for pulsed deposition layer process for conformal silica laminates
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US7552280B1 (en) 2006-06-28 2009-06-23 Emc Corporation Asymmetrically interleaving access to redundant storage devices
US20080081114A1 (en) * 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8105956B2 (en) 2009-10-20 2012-01-31 Micron Technology, Inc. Methods of forming silicon oxides and methods of forming interlevel dielectrics
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US9117657B2 (en) * 2013-06-07 2015-08-25 Asm Ip Holding B.V. Method for filling recesses using pre-treatment with hydrocarbon-containing gas
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9570289B2 (en) * 2015-03-06 2017-02-14 Lam Research Corporation Method and apparatus to minimize seam effect during TEOS oxide film deposition
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01248528A (ja) 1988-03-30 1989-10-04 Ushio Inc Sog膜の硬化方法
JPH0222475A (ja) 1988-07-08 1990-01-25 Nec Corp 絶縁膜形成溶液および半導体装置の製造方法
JP2538722B2 (ja) 1991-06-20 1996-10-02 株式会社半導体プロセス研究所 半導体装置の製造方法
JPH0547758A (ja) 1991-08-16 1993-02-26 Fujitsu Ltd 半導体装置の層間絶縁膜の形成方法
JP2809018B2 (ja) 1992-11-26 1998-10-08 日本電気株式会社 半導体装置およびその製造方法
US5502006A (en) * 1993-11-02 1996-03-26 Nippon Steel Corporation Method for forming electrical contacts in a semiconductor device
JP3158835B2 (ja) 1994-02-01 2001-04-23 富士通株式会社 半導体装置とその製造方法
US5565384A (en) * 1994-04-28 1996-10-15 Texas Instruments Inc Self-aligned via using low permittivity dielectric
JPH088336A (ja) 1994-06-16 1996-01-12 Fujitsu Ltd 半導体装置及びその製造方法
US5716890A (en) * 1996-10-18 1998-02-10 Vanguard International Semiconductor Corporation Structure and method for fabricating an interlayer insulating film
US6114216A (en) * 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation

Also Published As

Publication number Publication date
US6352943B2 (en) 2002-03-05
EP0959493A3 (en) 2000-09-06
US20010049202A1 (en) 2001-12-06
EP0959493A2 (en) 1999-11-24
JPH11330068A (ja) 1999-11-30

Similar Documents

Publication Publication Date Title
JP3208376B2 (ja) 成膜方法及び半導体装置の製造方法
JP2983476B2 (ja) 成膜方法及び半導体装置の製造方法
JP2975919B2 (ja) 下地表面改質方法及び半導体装置の製造方法
KR100368504B1 (ko) 반도체장치제조방법
JP2968244B2 (ja) 流動性スピンオン絶縁体
JP2994616B2 (ja) 下地表面改質方法及び半導体装置の製造方法
US5950101A (en) Method for manufacturing a semiconductor device involving forming two silicon oxide layers by CVD and forming HMDS between the silicon oxide layers
US20060089002A1 (en) Method to form etch and/or CMP stop layers
US5567661A (en) Formation of planarized insulating film by plasma-enhanced CVD of organic silicon compound
JPH0661342A (ja) トレンチ素子分離膜製造方法
JP2005033189A (ja) 成膜方法、半導体装置の製造方法及び半導体装置
JP3616035B2 (ja) 絶縁膜およびその製造方法、ならびに半導体装置およびその製造方法
KR101162480B1 (ko) 절연막 형성방법 및 이를 이용한 반도체 장치 제조방법
JPH0945687A (ja) 基板表面の平坦化方法
JP3251554B2 (ja) 成膜方法及び半導体装置の製造方法
JP2953447B2 (ja) 溝分離型半導体装置の製造方法
US20120001265A1 (en) Method of manufacturing semiconductor device which a plurality of types of transistors are mounted
US11087973B2 (en) Method of selective deposition for BEOL dielectric etch
JPH05129280A (ja) 半導体装置の製造方法
JP3401322B2 (ja) 絶縁膜を有する半導体装置の製造方法
JP4160317B2 (ja) 絶縁膜の製造方法および半導体装置の製造方法
KR100531467B1 (ko) 반도체 소자의 층간절연막 형성 방법
JP3339150B2 (ja) 半導体装置の製造方法
US6169026B1 (en) Method for planarization of semiconductor device including pumping out dopants from planarization layer separately from flowing said layer
JP2001164184A (ja) 被覆形成用塗布液及び被覆膜及びそれを用いた半導体装置

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20010626

LAPS Cancellation because of no payment of annual fees