US10770336B2 - Substrate lift mechanism and reactor including same - Google Patents
Substrate lift mechanism and reactor including same Download PDFInfo
- Publication number
- US10770336B2 US10770336B2 US15/672,096 US201715672096A US10770336B2 US 10770336 B2 US10770336 B2 US 10770336B2 US 201715672096 A US201715672096 A US 201715672096A US 10770336 B2 US10770336 B2 US 10770336B2
- Authority
- US
- United States
- Prior art keywords
- susceptor
- support
- substrate
- lift pin
- reactor
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active, expires
Links
- 239000000758 substrates Substances 0.000 title claims abstract description 111
- 238000006243 chemical reactions Methods 0.000 claims description 43
- 238000000034 methods Methods 0.000 claims description 21
- 230000002093 peripheral Effects 0.000 claims description 11
- 229910010271 silicon carbide Inorganic materials 0.000 claims description 11
- HBMJWWWQQXIZIP-UHFFFAOYSA-N Silicon carbide Chemical compound data:image/svg+xml;base64,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 data:image/svg+xml;base64,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 [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 claims description 9
- OKTJSMMVPCPJKN-UHFFFAOYSA-N carbon Chemical compound data:image/svg+xml;base64,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 data:image/svg+xml;base64,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 [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 8
- 229910052904 quartz Inorganic materials 0.000 claims description 7
- 239000010453 quartz Substances 0.000 claims description 7
- 229910001885 silicon dioxide Inorganic materials 0.000 claims description 7
- 229910002804 graphite Inorganic materials 0.000 claims description 6
- 239000010439 graphite Substances 0.000 claims description 6
- 229910003465 moissanite Inorganic materials 0.000 claims 2
- 230000001808 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reactions Methods 0.000 description 2
- 239000007789 gases Substances 0.000 description 2
- 229910021397 glassy carbon Inorganic materials 0.000 description 2
- 238000009434 installation Methods 0.000 description 2
- 230000000717 retained Effects 0.000 description 2
- 235000012431 wafers Nutrition 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 239000000463 materials Substances 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000006011 modification reactions Methods 0.000 description 1
- 239000000376 reactants Substances 0.000 description 1
- 230000036633 rest Effects 0.000 description 1
- 239000004065 semiconductors Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—BASIC ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES; ELECTRIC SOLID STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68742—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
-
- H—ELECTRICITY
- H01—BASIC ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes, e.g. for surface treatment of objects such as coating, plating, etching, sterilising or bringing about chemical reactions
- H01J37/32431—Constructional details of the reactor
- H01J37/32715—Workpiece holder
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/458—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
- C23C16/4581—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/458—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
- C23C16/4582—Rigid and flat substrates, e.g. plates or discs
- C23C16/4583—Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
- C23C16/4584—Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/458—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
- C23C16/4582—Rigid and flat substrates, e.g. plates or discs
- C23C16/4583—Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
- C23C16/4586—Elements in the interior of the support, e.g. electrodes, heating or cooling devices
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL-GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B25/00—Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
- C30B25/02—Epitaxial-layer growth
- C30B25/08—Reaction chambers; Selection of materials therefor
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL-GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B25/00—Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
- C30B25/02—Epitaxial-layer growth
- C30B25/12—Substrate holders or susceptors
-
- H—ELECTRICITY
- H01—BASIC ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes, e.g. for surface treatment of objects such as coating, plating, etching, sterilising or bringing about chemical reactions
- H01J37/32431—Constructional details of the reactor
- H01J37/32733—Means for moving the material to be treated
-
- H—ELECTRICITY
- H01—BASIC ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES; ELECTRIC SOLID STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67028—Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
-
- H—ELECTRICITY
- H01—BASIC ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES; ELECTRIC SOLID STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- H—ELECTRICITY
- H01—BASIC ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES; ELECTRIC SOLID STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67248—Temperature monitoring
-
- H—ELECTRICITY
- H01—BASIC ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES; ELECTRIC SOLID STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68792—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
-
- H—ELECTRICITY
- H01—BASIC ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/332—Coating
-
- H—ELECTRICITY
- H01—BASIC ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES; ELECTRIC SOLID STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67126—Apparatus for sealing, encapsulating, glassing, decapsulating or the like
-
- H—ELECTRICITY
- H01—BASIC ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES; ELECTRIC SOLID STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68757—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
Abstract
Description
The disclosure generally relates to apparatus for gas-phase processes. More particularly, exemplary embodiments of the present disclosure relate to a reactor including a common substrate transfer and processing region and to a substrate lift mechanism suitable for use therein.
Gas-phase reactors for processing substrates, such as semiconductor wafers, often include a susceptor within a reaction chamber. During processing, one or more substrates are placed within the reaction chamber and onto the susceptor using a robotic arm. After processing, the substrate(s) are removed from the surface of the susceptor and through an opening in the reaction chamber using the robotic arm.
Often, it is desirable to maintain a relatively small reaction space or region within the reaction chamber. The relatively small reaction space allows for more-efficient substrate processing. For example, a smaller amount of reactants can be used when processing substrates in a relatively small reaction space—compared to a larger reaction space and/or an amount of time to process substrates using the relatively small reaction space can be less than the amount of time to process substrates in the larger reaction space. To allow for a relatively small reaction space within a reaction chamber, while allowing placement of substrates onto the susceptor and removal of the substrates from the susceptor, a reaction chamber often includes a separate wafer transfer region that includes the opening within the reaction chamber to allow placement on and removal of the substrates from the susceptor.
During the substrate transfer process, lift pins, which extend through a vertical width of the susceptor and beyond, are sometimes used to facilitate placement and removal of the substrate on and from the surface of the susceptor. In such cases, a substrate can be placed onto the susceptor by placing (lowering) the susceptor to be within the substrate transfer region of the reaction chamber, causing the lift pins to rise above the surface of the susceptor, placing the substrate onto the lift pins, and lowering the lift pins, such that the substrate rests on the susceptor. The susceptor and the substrate can then be moved (raised) to a processing position, such that the substrate is within the reaction region of the reaction chamber.
Although such techniques work relatively well to place substrates within and remove substrates from a reaction space within the reactor, mechanisms to move the susceptor and the lift pins are relatively complex. In addition, reactors employing such techniques can exhibit undesired gas flow between the reaction region and the substrate transfer region—especially during substrate processing. The undesired gas flow can lead to deposition and/or corrosion of the reactor within the substrate transfer region. Furthermore, the volumes of such reactors are relatively large to accommodate both the processing/reaction region and the substrate transfer region of the reaction chamber. In addition, the multi-step process of moving the susceptor to a transfer region and moving the lift pins is a relatively time consuming. Accordingly, improved mechanisms and techniques for transferring and processing substrates are desired.
Various embodiments of the present disclosure provide an improved method and apparatus for processing and transferring substrates. As set forth in more detail below, various systems and methods provide a reactor and/or use a method that can process substrates within a region and transfer substrates to/from the same region within a reactor. In other words, the reactor can include a reaction chamber including a common processing and transfer region. Accordingly, the overall reactor volume can be relatively small, the reactor can be less complex, more reliable, less expensive, and easier to maintain and/or process substrates in a reduced amount of time and/or in a less expensive manner.
In accordance with at least one exemplary embodiment of the disclosure, a reactor, which includes a common substrate processing and transfer region, includes a reaction chamber comprising a reaction region, a susceptor having a top surface within the reaction region, and a substrate lift mechanism. The substrate lift mechanism can include at least one lift pin, a lift pin support member that engages to (e.g., removably) couple to the at least one pin, and a movable shaft coupled to the lift pin support member. The substrate lift mechanism causes the at least one lift pin to extend above the susceptor surface. In accordance with various aspects of these embodiments, the moveable shaft moves in a vertical direction. The distance that the movable shaft and the lift pins move during a substrate transfer process can range from about 5 mm to about 25 mm, about 10 mm to about 20 mm, or be about 17 mm. In accordance with further aspects of these embodiments, the susceptor includes a center region and a peripheral region. A width of the center region can be greater than a width of the peripheral region. Such a design can facilitate forming the susceptor with a relatively small peripheral width, which in turn can facilitate use of the common region for both substrate processing and transfer. The reactor can further include a rotatable shaft and a susceptor support coupled to the rotatable shaft. The susceptor is coupled to the susceptor support, such that rotational movement of the rotatable shaft is translated to the susceptor. In accordance with various examples of these embodiments, an opening within the reaction chamber, to transfer substrates into and out of the reaction chamber, resides above a top surface of the susceptor when the susceptor is in a processing position.
In accordance with at least one other embodiment of the disclosure, a substrate support assembly includes a susceptor, a susceptor support coupled to the susceptor, a rotatable shaft coupled to the susceptor support, a lift pin support member, one or more lift pins coupled to the lift pin support member, a moveable shaft coupled to the lift pin support member, a lift pin mechanism to cause the moveable shaft to move in a vertical direction, and a susceptor rotation mechanism that causes the susceptor to rotate during substrate processing. The substrate support assembly can be configured, such that the susceptor does not move in a vertical direction during a substrate transfer process. In accordance with various aspects of these embodiments, the susceptor support includes a plurality of susceptor support arms and one or more susceptor support structures coupled to each susceptor support arm. The susceptor arm(s) can include an aperture to receive one of the one or more lift pins. The susceptor can be the same or similar to the susceptor described above and elsewhere in this specification.
In accordance with at least one further exemplary embodiment of the disclosure, a method of transferring and processing a substrate includes the steps of providing a reactor comprising a common region for substrate processing and substrate transfer, providing a substrate support assembly, such as the assembly described above and elsewhere in this specification, providing a substrate to the common region, moving the lift pins in a downward position to place the substrate in a processing position, processing the substrate, moving the lift pins in an upward position, and removing the substrate from the common region. The method can include removing the substrate from the common region through an opening that is located above a top surface of the susceptor—e.g., when the susceptor is in a processing position.
Both the foregoing summary and the following detailed description are exemplary and explanatory only and are not restrictive of the disclosure or the claimed invention.
A more complete understanding of the embodiments of the present disclosure may be derived by referring to the detailed description and claims when considered in connection with the following illustrative figures.
It will be appreciated that elements in the figures are illustrated for simplicity and clarity and have not necessarily been drawn to scale. For example, the dimensions of some of the elements in the figures may be exaggerated relative to other elements to help to improve understanding of illustrated embodiments of the present disclosure.
The description of exemplary embodiments of methods and apparatus provided below is merely exemplary and is intended for purposes of illustration only; the following description is not intended to limit the scope of the disclosure or the claims. Moreover, recitation of multiple embodiments having stated features is not intended to exclude other embodiments having additional features or other embodiments incorporating different combinations of the stated features.
Any ranges indicated in this disclosure may include or exclude the endpoints. Additionally, any values of variables indicated (regardless of whether they are indicated with “about” or not) may refer to precise values or approximate values and include equivalents, and may refer to average, median, representative, majority, or the like.
Turning now to
Reaction chamber 102 can be formed of, for example, quartz, and can be formed as a unitary piece, such as a tube. By way of example, reaction region 104 within reaction chamber 102 can have a rectangular cross section having a width of about 350 mm to about 450 mm (or be about ˜420 mm), a length of about 400 mm to about 800 mm (or be about ˜760 mm), and a height of about 20 mm to about 40 mm (or be about ˜30 mm). As noted above, reaction chamber 102 includes an opening 120 that resides above top surface 122 of susceptor 118 (e.g., when surface 122 is in a processing position).
Reaction chamber 102 can be suitable for a variety of applications, such as film (e.g., epitaxial) deposition processes, etch processes, cleaning processing, and the like. Further, reactor 100 can be a standalone reactor or form part of a cluster tool that may include similar or different reaction chambers.
Substrate lift mechanism 106 includes at least one lift pin 108, 110, a lift pin support member 112 that can engage with and couple to the at least one pin 108, 110, and a movable shaft 114 mechanically coupled to the lift pin support member. During a substrate transfer process, substrate lift mechanism 106 causes the at least one lift pin 108, 110 to be raised or lowered to allow placement of substrate 116 onto surface 122 and/or removal of substrate 116 from surface 122.
Lift pins 108, 110 can be formed of any suitable material. For example, lift pins 108, 110 can be formed of silicon carbide (SiC), SiC-coated graphite, quartz, or glassy carbon. Although two lift pins 108, 110 are shown in
In accordance with some embodiments of the disclosure, lift pins 108, 110 have a length L of about 20 to about 40 mm or about 30 mm. This is a significantly shorter length than typical lift pins and allows processing and substrate transfer within a common region, namely reaction region 104. Lift pins 108, 110 can include a beveled section 124 that is received within a portion of susceptor 118. Beveled section 124 allows lift pins 108, 110 to be received within a via 126 within susceptor 118 and to be retained at a desired level (e.g., a top surface of lift pins can be about planar with surface 122 or reside just (e.g., a few mm or less) below surface 122. This allows susceptor 118 to retain lift pins 108, 110 when, for example, lift pin support member 112 is not engaged with lift pins 108, 110. A top surface 128, 130 of lift pins 108, 110 can have a diameter of about 3 to about 6 mm, or about 4 mm. Top surface 128, 130 can be polished to a smooth finish (e.g., a roughness average of about 0.05 to 0.2 μm or less) to prevent or mitigate surface damage to substrate 116 during a transfer process.
Lift pin support member 112 engages with lift pins 108, 110 and moveable shaft 114. In the illustrated example, lift pin support member 112 removably engages with lift pins 108, 110 and is coupled to moveable shaft 114. This allows movable shaft 114 to move only in a vertical direction (and not rotate), while allowing susceptor 118 to rotate—e.g., during substrate processing, as described in more detail below. Lift pin support member 112 can be formed of, for example, SiC-coated graphite, quartz, or glassy carbon.
As illustrated in more detail in
Moveable shaft 114 is in the form of a hollow tube. Moveable shaft 114 can be formed of, for example, quartz. In accordance with exemplary embodiments of the disclosure, moveable shaft is configured to move a vertical distance of 5 to about 25 mm (or ˜17 mm). As a result, lift pins 108, 110 can move about 5 to about 25 mm (or ˜17 mm), and lift pins 108, can extend to a height of up to about 5, 10, or 20 mm above surface 122.
Susceptor 118 can be formed of, for example, SiC or SiC-coated graphite. In accordance with various examples of the disclosure, width W of susceptor 118 is relatively small to allow lift pin-assisted substrate transfer and processing in a single region—e.g., reaction region 104. In accordance with various embodiments of the disclosure, a width W of susceptor 118 at a peripheral region 222 is less than a width of susceptor 118 at a center region 224 of susceptor 118. This configuration can allow from a relatively thin susceptor—especially at the peripheral region—while allowing susceptor to rotate and perform other functions, such as protecting an end of a thermocouple and providing desired heat transfer to and/or from substrate 116. By way of examples, the width at peripheral region 222 ranges from about 3 to about 6.5 mm (or ˜3.8 mm). A width of center region 224 can range from about 6 to about 10 mm (or ˜6.4 mm).
As noted above, reactor 100 can be configured to cause substrate 116 to rotate during substrate processing. In this illustrated example, reactor 100 includes a rotatable shaft 132 and a susceptor support 134 to cause susceptor 118, and consequently substrate 116, to rotate during processing.
Rotatable shaft 132 can be formed of, for example, quartz. Rotatable shaft 132 can be configured to couple to susceptor support 134 to translate rotational movement of rotatable shaft 132 to susceptor support 134. By way of example, rotatable shaft 132 can be coupled to susceptor support 134 using a coupling 148.
As illustrated in
Reactor 100 can also include a thermocouple 144. Thermocouple 144 can be used to measure a temperature of susceptor 118—for example—during substrate processing. As illustrated in
In accordance with further exemplary embodiments of the disclosure, a substrate support assembly 230 includes components to cause lift pins 108, 110 to raise and lower and to cause susceptor 118 to rotate. In accordance with these embodiments, substrate support assembly 230 includes susceptor 118, susceptor support 134, rotatable shaft 132, lift pin support member 112, one or more lift pins 108, 110, moveable shaft 114, a lift pin mechanism to cause the moveable shaft to move in a vertical direction during a substrate transfer process, and a susceptor rotation mechanism that causes susceptor 118 to rotate during substrate processing. As noted above, in accordance with various examples of the disclosure, susceptor 118 does not move in a vertical direction during substrate transfer—i.e., susceptor 118 does not move in a vertical direction as lift pins are raised and/or lowered and/or during other steps of a substrate transfer process. As described below, the lift pin mechanism and the susceptor rotation mechanism can be combined.
With reference to
Susceptor rotary actuator 302 is used to provide rotational movement to a susceptor, such as susceptor 118. By way of example, susceptor rotary actuator 302 is configured to provide rotational movement to rotatable shaft 132 to cause susceptor 118 to rotate—e.g., during processing of a substrate—using rotational drive gear 512. Exemplary rotational speed can range from about 5 rpm to about 150 rpm, about 10 rpm to about 50 rpm, or be about 35 rpm.
Pin lift actuator 304 is configured to cause lift pins (e.g., lift pins 108, 110) to move in a vertical direction. By way of example, pin lift actuator 304 causes a pin lift carriage 502 to move vertically along a linear slide rail 504. Carriage 502 is mechanically coupled to moveable shaft 114 (e.g., using a pin lift shaft mounting sleeve 506) to cause lift pins (e.g., by way of lift pin support member 112) to move in a vertical direction. Pin lift shaft mounting sleeve 506 and moveable shaft 114 can be protected from the environment using an upper bellows 508 and a lower bellows 510.
Rotary signal junction box 306 can be used to facilitate provision of signals to and/or from susceptor rotary actuator 302, pin lift linear actuator 304, and/or one or more thermocouples, such as thermocouple 144.
Tubulation seal 308 and a tubulation seal support 310 are used to provide a seal about moveable shaft 114. As illustrated in
Although, in accordance with various embodiments of the disclosure, a susceptor does not move vertically during substrate processing, it may be desirable to move a susceptor for maintenance, installation, or the like. In such cases, susceptor manual actuator 312 can be used to manually move a susceptor (e.g., susceptor 118) in a vertical direction via a susceptor lift carriage 518.
In the illustrated example, lift/rotate mechanism 300 includes a relatively large feedthrough 520 (e.g., having a diameter of about 20 to about 50 mm or be about 34.5 mm), which allows installation of moveable shaft 114, through a rotary feedthrough 522 and a susceptor shaft mounting sleeve 524, from below. A configuration of lift/rotate mechanism 300 is relatively compact, compared to lift/rotate mechanism that cause a susceptor to move vertically during a substrate transfer process.
In accordance with additional embodiments of the disclosure, a method of transferring and processing a substrate is provided. The method can employ the reactor, substrate support assembly, and/or lift/rotate mechanism as described herein. An exemplary method includes the steps of providing a reactor comprising a common region for substrate processing and substrate transfer, providing a substrate support assembly, providing a substrate to the common region, moving the lift pins in a downward position to place the substrate in a processing position, processing the substrate, moving the lift pins in an upward position, and removing the substrate from the common region. The step of removing the substrate can include removing the substrate from the common region through an opening in a reaction or processing region that is above a top surface of the susceptor.
Although exemplary embodiments of the present disclosure are set forth herein, it should be appreciated that the disclosure is not so limited. For example, although the apparatus and methods are described in connection with various specific components, the disclosure is not necessarily limited to these configurations. Various modifications, variations, and enhancements of the apparatus and methods set forth herein can be made without departing from the spirit and scope of the present disclosure.
Claims (16)
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/672,096 US10770336B2 (en) | 2017-08-08 | 2017-08-08 | Substrate lift mechanism and reactor including same |
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/672,096 US10770336B2 (en) | 2017-08-08 | 2017-08-08 | Substrate lift mechanism and reactor including same |
CN201810696644.7A CN109390199A (en) | 2017-08-08 | 2018-06-28 | Lifting device for substrates and reactor comprising lifting device for substrates |
KR1020180074731A KR20190016433A (en) | 2017-08-08 | 2018-06-28 | Substrate lift mechanism and reactor including same |
JP2018134645A JP2019036717A (en) | 2017-08-08 | 2018-07-18 | Substrate lift mechanism and reactor including the same |
US16/944,271 US20200365444A1 (en) | 2017-08-08 | 2020-07-31 | Substrate lift mechanism and reactor including same |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US16/944,271 Division US20200365444A1 (en) | 2017-08-08 | 2020-07-31 | Substrate lift mechanism and reactor including same |
Publications (2)
Publication Number | Publication Date |
---|---|
US20190051555A1 US20190051555A1 (en) | 2019-02-14 |
US10770336B2 true US10770336B2 (en) | 2020-09-08 |
Family
ID=65275494
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US15/672,096 Active 2038-07-20 US10770336B2 (en) | 2017-08-08 | 2017-08-08 | Substrate lift mechanism and reactor including same |
US16/944,271 Pending US20200365444A1 (en) | 2017-08-08 | 2020-07-31 | Substrate lift mechanism and reactor including same |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US16/944,271 Pending US20200365444A1 (en) | 2017-08-08 | 2020-07-31 | Substrate lift mechanism and reactor including same |
Country Status (4)
Country | Link |
---|---|
US (2) | US10770336B2 (en) |
JP (1) | JP2019036717A (en) |
KR (1) | KR20190016433A (en) |
CN (1) | CN109390199A (en) |
Families Citing this family (56)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
USD876504S1 (en) | 2017-04-03 | 2020-02-25 | Asm Ip Holding B.V. | Exhaust flow control ring for semiconductor deposition apparatus |
KR20180119477A (en) | 2017-04-25 | 2018-11-02 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing a thin film and manufacturing a semiconductor device |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (en) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
KR20190056158A (en) | 2017-11-16 | 2019-05-24 | 에이에스엠 아이피 홀딩 비.브이. | Method of processing a substrate and a device manufactured by the same |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR20190113580A (en) | 2018-03-27 | 2019-10-08 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
KR20190114682A (en) | 2018-03-30 | 2019-10-10 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing method |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
Citations (3321)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US2059480A (en) | 1933-09-20 | 1936-11-03 | John A Obermaier | Thermocouple |
US2161626A (en) | 1937-09-25 | 1939-06-06 | Walworth Patents Inc | Locking device |
US2266416A (en) | 1939-01-14 | 1941-12-16 | Western Electric Co | Control apparatus |
US2280778A (en) | 1939-09-29 | 1942-04-28 | John C Andersen | Garden tool |
US2410420A (en) | 1944-01-01 | 1946-11-05 | Robert B Bennett | Scraper |
US2563931A (en) | 1946-04-02 | 1951-08-14 | Honeywell Regulator Co | Rate responsive thermocouple |
US2660061A (en) | 1949-03-05 | 1953-11-24 | Dominion Eng Works Ltd | Immersion type thermocouple temperature measuring device |
US2745640A (en) | 1953-09-24 | 1956-05-15 | American Viscose Corp | Heat exchanging apparatus |
GB752277A (en) | 1953-10-28 | 1956-07-11 | Canadian Ind 1954 Ltd | Improved thermocouple unit |
US2990045A (en) | 1959-09-18 | 1961-06-27 | Lipe Rollway Corp | Thermally responsive transmission for automobile fan |
US3038951A (en) | 1961-01-19 | 1962-06-12 | Leeds & Northrup Co | Fast acting totally expendable immersion thermocouple |
US3089507A (en) | 1963-05-14 | Air eject system control valve | ||
US3094396A (en) | 1959-07-07 | 1963-06-18 | Continental Can Co | Method of and apparatus for curing internal coatings on can bodies |
FR1408266A (en) | 1964-06-30 | 1965-08-13 | Realisations Electr Et Electro | Socket for thermocouples |
US3232437A (en) | 1963-03-13 | 1966-02-01 | Champlon Lab Inc | Spin-on filter cartridge |
US3263502A (en) | 1964-01-21 | 1966-08-02 | Redwood L Springfield | Multiple thermocouple support |
US3410349A (en) | 1964-01-02 | 1968-11-12 | Ted R. Troutman | Tubing scraper and method |
US3588192A (en) | 1969-06-02 | 1971-06-28 | Trw Inc | Hydraulic skid control system |
US3647387A (en) | 1970-03-19 | 1972-03-07 | Stanford Research Inst | Detection device |
US3647716A (en) | 1970-04-03 | 1972-03-07 | Westvaco Corp | Transport reactor with a venturi tube connection to a combustion chamber for producing activated carbon |
US3713899A (en) | 1970-11-12 | 1973-01-30 | Ford Motor Co | Thermocouple probe |
US3718429A (en) | 1971-03-15 | 1973-02-27 | Du Pont | No-no2 analyzer |
US3833492A (en) | 1971-09-22 | 1974-09-03 | Pollution Control Ind Inc | Method of producing ozone |
US3854443A (en) | 1973-12-19 | 1974-12-17 | Intel Corp | Gas reactor for depositing thin films |
FR2233614A1 (en) | 1973-06-13 | 1975-01-10 | Thermal Syndicate Ltd | |
US3862397A (en) | 1972-03-24 | 1975-01-21 | Applied Materials Tech | Cool wall radiantly heated reactor |
US3867205A (en) | 1972-04-20 | 1975-02-18 | Commissariat Energie Atomique | Refractory metal hot-junction thermocouple |
US3885504A (en) | 1971-01-09 | 1975-05-27 | Max Baermann | Magnetic stabilizing or suspension system |
US3887790A (en) | 1974-10-07 | 1975-06-03 | Vernon H Ferguson | Wrap-around electric resistance heater |
US3904371A (en) | 1974-03-04 | 1975-09-09 | Beckman Instruments Inc | Chemiluminescent ammonia detection |
US3913058A (en) | 1972-07-25 | 1975-10-14 | Ngk Spark Plug Co | Thermosensor |
US3913617A (en) | 1972-11-20 | 1975-10-21 | Hoogovens Ijmuiden Bv | Apparatus for mixing two gas flows |
SU494614A1 (en) | 1974-05-05 | 1975-12-05 | Специальное Проектно-Конструкторское Бюро "Главнефтеснабсбыта" Усср | Remote level measurement device |
US3947685A (en) | 1974-02-15 | 1976-03-30 | Deutsche Forschungs- Und Versuchsanstalt Fur Luft- Und Raumfahrt E.V. | Method and arrangement for determining nitric oxide concentration |
US3960559A (en) | 1972-10-19 | 1976-06-01 | Fuji Photo Film Co., Ltd. | Method of making a semiconductor device utilizing a light-sensitive etching agent |
US3997638A (en) | 1974-09-18 | 1976-12-14 | Celanese Corporation | Production of metal ion containing carbon fibers useful in electron shielding applications |
US4048110A (en) | 1976-05-12 | 1977-09-13 | Celanese Corporation | Rhenium catalyst composition |
US4054071A (en) | 1975-06-17 | 1977-10-18 | Aetna-Standard Engineering Company | Flying saw with movable work shifter |
US4058430A (en) | 1974-11-29 | 1977-11-15 | Tuomo Suntola | Method for producing compound thin films |
US4093491A (en) | 1971-06-24 | 1978-06-06 | Whelpton Hugh G | Fastener installation method |
USD249341S (en) | 1976-11-11 | 1978-09-12 | Umc Industries, Inc. | Electro-mechanical pulser |
US4126027A (en) | 1977-06-03 | 1978-11-21 | Westinghouse Electric Corp. | Method and apparatus for eccentricity correction in a rolling mill |
US4134425A (en) | 1976-03-12 | 1979-01-16 | Siemens Aktiengesellschaft | Device for distributing flowing media over a flow cross section |
US4145699A (en) | 1977-12-07 | 1979-03-20 | Bell Telephone Laboratories, Incorporated | Superconducting junctions utilizing a binary semiconductor barrier |
US4164959A (en) | 1977-04-15 | 1979-08-21 | The Salk Institute For Biological Studies | Metering valve |
US4176630A (en) | 1977-06-01 | 1979-12-04 | Dynair Limited | Automatic control valves |
US4181330A (en) | 1977-03-22 | 1980-01-01 | Noriatsu Kojima | Horn shaped multi-inlet pipe fitting |
US4194536A (en) | 1976-12-09 | 1980-03-25 | Eaton Corporation | Composite tubing product |
US4217463A (en) | 1978-03-13 | 1980-08-12 | National Distillers And Chemical Corporation | Fast responsive, high pressure thermocouple |
US4229064A (en) | 1978-10-25 | 1980-10-21 | Trw Inc. | Polarizing adapter sleeves for electrical connectors |
US4234449A (en) | 1979-05-30 | 1980-11-18 | The United States Of America As Represented By The United States Department Of Energy | Method of handling radioactive alkali metal waste |
US4322592A (en) | 1980-08-22 | 1982-03-30 | Rca Corporation | Susceptor for heating semiconductor substrates |
US4333735A (en) | 1981-03-16 | 1982-06-08 | Exxon Research & Engineering Co. | Process and apparatus for measuring gaseous fixed nitrogen species |
US4355912A (en) | 1980-09-12 | 1982-10-26 | Haak Raymond L | Spring loaded sensor fitting |
JPS5819462A (en) | 1981-07-24 | 1983-02-04 | Kawasaki Steel Corp | Electric welded steel pipe |
US4389973A (en) | 1980-03-18 | 1983-06-28 | Oy Lohja Ab | Apparatus for performing growth of compound thin films |
US4393013A (en) | 1970-05-20 | 1983-07-12 | J. C. Schumacher Company | Vapor mass flow control system |
USD269850S (en) | 1981-07-22 | 1983-07-26 | Drag Specialties, Inc. | Handlebar grip |
US4401507A (en) | 1982-07-14 | 1983-08-30 | Advanced Semiconductor Materials/Am. | Method and apparatus for achieving spatially uniform externally excited non-thermal chemical reactions |
US4414492A (en) | 1982-02-02 | 1983-11-08 | Intent Patent A.G. | Electronic ballast system |
US4436674A (en) | 1981-07-30 | 1984-03-13 | J.C. Schumacher Co. | Vapor mass flow control system |
US4444990A (en) | 1982-09-08 | 1984-04-24 | Servo Corporation Of America | Heat sensing device |
USD274122S (en) | 1983-06-20 | 1984-06-05 | Drag Specialties, Inc. | Motorcycle handlebar grip |
US4454370A (en) | 1982-09-07 | 1984-06-12 | Wahl Instruments, Inc. | Thermocouple surface probe |
US4455193A (en) | 1982-07-01 | 1984-06-19 | Commissariat A L'energie Atomique | Process for producing the field oxide of an integrated circuit |
US4466766A (en) | 1981-05-20 | 1984-08-21 | Ruska Instrument Corporation | Transfer apparatus |
US4479831A (en) | 1980-09-15 | 1984-10-30 | Burroughs Corporation | Method of making low resistance polysilicon gate transistors and low resistance interconnections therefor via gas deposited in-situ doped amorphous layer and heat-treatment |
JPS59211779A (en) | 1983-05-14 | 1984-11-30 | Toshiba Corp | Compressor |
US4499354A (en) | 1982-10-06 | 1985-02-12 | General Instrument Corp. | Susceptor for radiant absorption heater system |
US4512113A (en) | 1982-09-23 | 1985-04-23 | Budinger William D | Workpiece holder for polishing operation |
US4527005A (en) | 1984-03-13 | 1985-07-02 | The United States Of America As Represented By The United States Department Of Energy | Spring loaded thermocouple module |
US4537001A (en) | 1983-05-23 | 1985-08-27 | Uppstroem Leif R | Building elements |
US4548688A (en) | 1983-05-23 | 1985-10-22 | Fusion Semiconductor Systems | Hardening of photoresist |
US4570328A (en) | 1983-03-07 | 1986-02-18 | Motorola, Inc. | Method of producing titanium nitride MOS device gate electrode |
JPS6138863A (en) | 1984-07-30 | 1986-02-24 | Toshiba Corp | Polishing apparatus |
US4575636A (en) | 1984-04-30 | 1986-03-11 | Rca Corporation | Deep ultraviolet (DUV) flood exposure system |
US4578560A (en) | 1982-09-17 | 1986-03-25 | Sumitomo Electric Industries, Ltd. | High frequency induction coupled plasma torch with concentric pipes having flanges thereon |
US4579080A (en) * | 1983-12-09 | 1986-04-01 | Applied Materials, Inc. | Induction heated reactor system for chemical vapor deposition |
US4579378A (en) | 1984-10-31 | 1986-04-01 | Snyders Robert V | Mortar joint pointing guide |
US4579623A (en) | 1983-08-31 | 1986-04-01 | Hitachi, Ltd. | Method and apparatus for surface treatment by plasma |
US4590326A (en) | 1984-06-14 | 1986-05-20 | Texaco Inc. | Multi-element thermocouple |
US4611966A (en) | 1984-05-30 | 1986-09-16 | Johnson Lester R | Apparatus for transferring semiconductor wafers |
US4620998A (en) | 1985-02-05 | 1986-11-04 | Haresh Lalvani | Crescent-shaped polygonal tiles |
JPS624231B2 (en) | 1981-12-19 | 1987-01-29 | Takanobu Yamamoto | |
USD288556S (en) | 1984-02-21 | 1987-03-03 | Pace, Incorporated | Ornamental design for a frame of circuit elements utilized to replace damaged elements on printed circuit boards |
US4654226A (en) | 1986-03-03 | 1987-03-31 | The University Of Delaware | Apparatus and method for photochemical vapor deposition |
US4653541A (en) | 1985-06-26 | 1987-03-31 | Parker Hannifin Corporation | Dual wall safety tube |
US4664769A (en) | 1985-10-28 | 1987-05-12 | International Business Machines Corporation | Photoelectric enhanced plasma glow discharge system and method including radiation means |
US4681134A (en) | 1986-07-23 | 1987-07-21 | Paris Sr Raymond L | Valve lock |
US4718637A (en) | 1986-07-02 | 1988-01-12 | Mdc Vacuum Products Corporation | High vacuum gate valve having improved metal vacuum joint |
US4721533A (en) | 1986-08-01 | 1988-01-26 | System Planning Corporation | Protective structure for an immersion pyrometer |
US4722298A (en) | 1986-05-19 | 1988-02-02 | Machine Technology, Inc. | Modular processing apparatus for processing semiconductor wafers |
US4724272A (en) | 1984-04-17 | 1988-02-09 | Rockwell International Corporation | Method of controlling pyrolysis temperature |
US4735259A (en) | 1984-02-21 | 1988-04-05 | Hewlett-Packard Company | Heated transfer line for capillary tubing |
US4749416A (en) | 1986-08-01 | 1988-06-07 | System Planning Corporation | Immersion pyrometer with protective structure for sidewall use |
US4753856A (en) | 1987-01-02 | 1988-06-28 | Dow Corning Corporation | Multilayer ceramic coatings from silicate esters and metal oxides |
US4753192A (en) | 1987-01-08 | 1988-06-28 | Btu Engineering Corporation | Movable core fast cool-down furnace |
SU1408319A1 (en) | 1987-01-06 | 1988-07-07 | Всесоюзный научно-исследовательский институт аналитического приборостроения | Chemoluminescent gas analyzer for nitrogen oxides |
US4756794A (en) | 1987-08-31 | 1988-07-12 | The United States Of America As Represented By The Secretary Of The Navy | Atomic layer etching |
US4771015A (en) | 1985-12-28 | 1988-09-13 | Canon Kabushiki Kaisha | Method for producing an electronic device having a multi-layer structure |
US4780169A (en) | 1987-05-11 | 1988-10-25 | Tegal Corporation | Non-uniform gas inlet for dry etching apparatus |
US4789294A (en) | 1985-08-30 | 1988-12-06 | Canon Kabushiki Kaisha | Wafer handling apparatus and method |
US4812201A (en) | 1986-07-25 | 1989-03-14 | Tokyo Electron Limited | Method of ashing layers, and apparatus for ashing layers |
US4821674A (en) | 1987-03-31 | 1989-04-18 | Deboer Wiebe B | Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment |
US4827430A (en) | 1987-05-11 | 1989-05-02 | Baxter International Inc. | Flow measurement system |
US4828224A (en) | 1987-10-15 | 1989-05-09 | Epsilon Technology, Inc. | Chemical vapor deposition system |
US4830515A (en) | 1987-12-28 | 1989-05-16 | Omega Engineering, Inc. | Mounting clip for a thermocouple assembly |
US4837113A (en) | 1987-07-16 | 1989-06-06 | Texas Instruments Incorporated | Method for depositing compound from group II-VI |
US4837185A (en) | 1988-10-26 | 1989-06-06 | Intel Corporation | Pulsed dual radio frequency CVD process |
US4854266A (en) | 1987-11-02 | 1989-08-08 | Btu Engineering Corporation | Cross-flow diffusion furnace |
US4854263A (en) | 1987-08-14 | 1989-08-08 | Applied Materials, Inc. | Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films |
US4857137A (en) | 1986-01-31 | 1989-08-15 | Hitachi, Ltd. | Process for surface treatment |
US4857382A (en) | 1988-04-26 | 1989-08-15 | General Electric Company | Apparatus and method for photoetching of polyimides, polycarbonates and polyetherimides |
US4882199A (en) | 1986-08-15 | 1989-11-21 | Massachusetts Institute Of Technology | Method of forming a metal coating on a substrate |
JPH01296613A (en) | 1988-05-25 | 1989-11-30 | Nec Corp | Method of vapor growth of iii-v compound semiconductor |
DE3836696C1 (en) | 1988-10-28 | 1989-12-07 | Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung Ev, 8000 Muenchen, De | Lock for transporting material between clean rooms |
JPH0293071A (en) | 1988-09-29 | 1990-04-03 | Toshiba Corp | Thin film formation |
US4916091A (en) | 1987-11-05 | 1990-04-10 | Texas Instruments Incorporated | Plasma and plasma UV deposition of SiO2 |
US4934831A (en) | 1989-03-20 | 1990-06-19 | Claud S. Gordon Company | Temperature sensing device |
JPH02185038A (en) | 1989-01-11 | 1990-07-19 | Nec Corp | Thermal treatment equipment |
USD309702S (en) | 1986-06-25 | 1990-08-07 | Don Hall | Safety clamp attachment for a hammer |
US4949848A (en) | 1988-04-29 | 1990-08-21 | Fluoroware, Inc. | Wafer carrier |
USD311126S (en) | 1986-12-23 | 1990-10-09 | Joseph Crowley | Shelf extending mounting bracket for additional product display |
US4976996A (en) | 1987-02-17 | 1990-12-11 | Lam Research Corporation | Chemical vapor deposition reactor and method of use thereof |
US4978567A (en) | 1988-03-31 | 1990-12-18 | Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. | Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same |
US4985114A (en) | 1988-10-14 | 1991-01-15 | Hitachi, Ltd. | Dry etching by alternately etching and depositing |
US4984904A (en) | 1987-12-24 | 1991-01-15 | Kawaso Electric Industrial Co., Ltd. | Apparatus for continuously measuring temperature of molten metal and method for making same |
US4986215A (en) | 1988-09-01 | 1991-01-22 | Kyushu Electronic Metal Co., Ltd. | Susceptor for vapor-phase growth system |
US4987102A (en) | 1989-12-04 | 1991-01-22 | Motorola, Inc. | Process for forming high purity thin films |
US4987856A (en) | 1989-05-22 | 1991-01-29 | Advanced Semiconductor Materials America, Inc. | High throughput multi station processor for multiple single wafers |
US4989992A (en) | 1988-07-29 | 1991-02-05 | Pomini Farrel S.P.A. | Device for measuring the temperature of the material contained in a closed apparatus |
US4991614A (en) | 1987-06-25 | 1991-02-12 | Kvaerner Engineering A/S | Method and a plant for transport of hydrocarbons over a long distance from an offshore source of hydrocarbons |
JPH0344472A (en) | 1989-07-11 | 1991-02-26 | Seiko Epson Corp | Production of plasma thin film |
US5002632A (en) | 1989-11-22 | 1991-03-26 | Texas Instruments Incorporated | Method and apparatus for etching semiconductor materials |
US5013691A (en) | 1989-07-31 | 1991-05-07 | At&T Bell Laboratories | Anisotropic deposition of silicon dioxide |
US5028366A (en) | 1988-01-12 | 1991-07-02 | Air Products And Chemicals, Inc. | Water based mold release compositions for making molded polyurethane foam |
US5027746A (en) | 1988-03-22 | 1991-07-02 | U.S. Philips Corporation | Epitaxial reactor having a wall which is protected from deposits |
JPH03155625A (en) | 1989-11-14 | 1991-07-03 | Seiko Epson Corp | Manufacture of plasma cvd film |
US5049029A (en) | 1987-09-10 | 1991-09-17 | Tokyo Electron Limited | Handling apparatus for transferring a semiconductor wafer or LCD |
USD320148S (en) | 1988-12-30 | 1991-09-24 | Andrews Edward A | Drill socket |
US5053247A (en) | 1989-02-28 | 1991-10-01 | Moore Epitaxial, Inc. | Method for increasing the batch size of a barrel epitaxial reactor and reactor produced thereby |
US5057436A (en) | 1989-10-02 | 1991-10-15 | Agmaster, Inc. | Method and apparatus for detecting toxic gases |
US5061083A (en) | 1989-06-19 | 1991-10-29 | The United States Of America As Represented By The Department Of Energy | Temperature monitoring device and thermocouple assembly therefor |
US5060322A (en) | 1989-07-27 | 1991-10-29 | Delepine Jean C | Shower room and ceiling element, especially for a shower room |
US5062386A (en) | 1987-07-27 | 1991-11-05 | Epitaxy Systems, Inc. | Induction heated pancake epitaxial reactor |
JPH03248427A (en) | 1990-02-26 | 1991-11-06 | Nec Corp | Manufacture of semiconductor device |
US5065698A (en) | 1988-04-11 | 1991-11-19 | Canon Kabushiki Kaisha | Film forming apparatus capable of preventing adhesion of film deposits |
US5069591A (en) | 1988-03-24 | 1991-12-03 | Tel Sagami Limited | Semiconductor wafer-processing apparatus |
US5071258A (en) | 1991-02-01 | 1991-12-10 | Vesuvius Crucible Company | Thermocouple assembly |
US5074017A (en) | 1989-01-13 | 1991-12-24 | Toshiba Ceramics Co., Ltd. | Susceptor |
US5082517A (en) | 1990-08-23 | 1992-01-21 | Texas Instruments Incorporated | Plasma density controller for semiconductor device processing equipment |
US5084126A (en) | 1988-12-29 | 1992-01-28 | Texas Instruments Incorporated | Method and apparatus for uniform flow distribution in plasma reactors |
JPH0429313A (en) | 1990-05-24 | 1992-01-31 | Fujitsu Ltd | Device for producing semiconductor crystal |
US5098638A (en) | 1989-04-25 | 1992-03-24 | Matsushita Electric Industrial Co., Ltd. | Method of manufacturing a semiconductor device |
US5098865A (en) | 1989-11-02 | 1992-03-24 | Machado Jose R | High step coverage silicon oxide thin films |
US5104514A (en) | 1991-05-16 | 1992-04-14 | The United States Of America As Represented By The Secretary Of The Navy | Protective coating system for aluminum |
JPH04115531A (en) | 1990-09-05 | 1992-04-16 | Mitsubishi Electric Corp | Chemical vapor growth device |
US5108192A (en) | 1990-03-07 | 1992-04-28 | Paul Wurth S.A. | Probe for taking gas samples and heat measurements in a shaft furnace |
US5110407A (en) | 1990-03-07 | 1992-05-05 | Hitachi, Ltd. | Surface fabricating device |
US5116018A (en) | 1991-04-12 | 1992-05-26 | Automax, Inc. | Lockout modules |
US5119760A (en) | 1988-12-27 | 1992-06-09 | Symetrix Corporation | Methods and apparatus for material deposition |
USD327534S (en) | 1987-07-30 | 1992-06-30 | CLM Investments, Inc. | Floor drain strainer |
US5130003A (en) | 1990-06-14 | 1992-07-14 | Conrad Richard H | method of powering corona discharge in ozone generators |
US5137286A (en) | 1991-08-23 | 1992-08-11 | General Electric Company | Permanent magnet floating shaft seal |
US5151296A (en) | 1989-03-31 | 1992-09-29 | Canon Kk | Method for forming polycrystalline film by chemical vapor deposition process |
US5154301A (en) | 1991-09-12 | 1992-10-13 | Fluoroware, Inc. | Wafer carrier |
US5158128A (en) | 1988-09-01 | 1992-10-27 | Sumitec, Inc. | Thermocouple for a continuous casting machine |
USD330900S (en) | 1990-02-08 | 1992-11-10 | Wakegijig William M | Drill adapter |
US5167716A (en) | 1990-09-28 | 1992-12-01 | Gasonics, Inc. | Method and apparatus for batch processing a semiconductor wafer |
US5176451A (en) | 1990-07-02 | 1993-01-05 | Matsushita Electric Industrial Co., Ltd. | Temperature sensor |
US5178682A (en) | 1988-06-21 | 1993-01-12 | Mitsubishi Denki Kabushiki Kaisha | Method for forming a thin layer on a semiconductor substrate and apparatus therefor |
US5181779A (en) | 1989-11-22 | 1993-01-26 | Nippon Steel Corporation | Thermocouple temperature sensor and a method of measuring the temperature of molten iron |
US5183511A (en) | 1986-07-23 | 1993-02-02 | Semiconductor Energy Laboratory Co., Ltd. | Photo CVD apparatus with a glow discharge system |
US5192717A (en) | 1989-04-28 | 1993-03-09 | Canon Kabushiki Kaisha | Process for the formation of a polycrystalline semiconductor film by microwave plasma chemical vapor deposition method |
US5194401A (en) | 1989-04-18 | 1993-03-16 | Applied Materials, Inc. | Thermally processing semiconductor wafers at non-ambient pressures |
US5199603A (en) | 1991-11-26 | 1993-04-06 | Prescott Norman F | Delivery system for organometallic compounds |
JPH05118928A (en) | 1991-10-25 | 1993-05-14 | Tokyo Electron Ltd | Contact type temperature measuring method |
US5213650A (en) | 1989-08-25 | 1993-05-25 | Applied Materials, Inc. | Apparatus for removing deposits from backside and end edge of semiconductor wafer while preventing removal of materials from front surface of wafer |
US5221556A (en) | 1987-06-24 | 1993-06-22 | Epsilon Technology, Inc. | Gas injectors for reaction chambers in CVD systems |
US5225366A (en) | 1990-06-22 | 1993-07-06 | The United States Of America As Represented By The Secretary Of The Navy | Apparatus for and a method of growing thin films of elemental semiconductors |
JPH05171446A (en) | 1991-12-24 | 1993-07-09 | Furukawa Electric Co Ltd:The | Formation of thin film |
US5226383A (en) | 1992-03-12 | 1993-07-13 | Bell Communications Research, Inc. | Gas foil rotating substrate holder |
US5228114A (en) | 1990-10-30 | 1993-07-13 | Tokyo Electron Sagami Limited | Heat-treating apparatus with batch scheme having improved heat controlling capability |
JPH05230789A (en) | 1992-02-20 | 1993-09-07 | Fuji Xerox Co Ltd | Transfer paper for electrophotography |
US5243195A (en) | 1991-04-25 | 1993-09-07 | Nikon Corporation | Projection exposure apparatus having an off-axis alignment system and method of alignment therefor |
US5242539A (en) | 1991-04-04 | 1993-09-07 | Hitachi, Ltd. | Plasma treatment method and apparatus |
US5243202A (en) | 1990-04-25 | 1993-09-07 | Casio Computer Co., Ltd. | Thin-film transistor and a liquid crystal matrix display device using thin-film transistors of this type |
US5246500A (en) | 1991-09-05 | 1993-09-21 | Kabushiki Kaisha Toshiba | Vapor phase epitaxial growth apparatus |
US5246218A (en) | 1992-09-25 | 1993-09-21 | Intel Corporation | Apparatus for securing an automatically loaded wafer cassette on a wafer processing equipment |
US5259881A (en) | 1991-05-17 | 1993-11-09 | Materials Research Corporation | Wafer processing cluster tool batch preheating and degassing apparatus |
US5266526A (en) | 1991-03-19 | 1993-11-30 | Kabushiki Kaisha Toshiba | Method of forming trench buried wiring for semiconductor device |
US5271967A (en) | 1992-08-21 | 1993-12-21 | General Motors Corporation | Method and apparatus for application of thermal spray coatings to engine blocks |
US5273609A (en) | 1990-09-12 | 1993-12-28 | Texas Instruments Incorporated | Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment |
US5278494A (en) | 1991-02-19 | 1994-01-11 | Tokyo Electron Yamanashi Limited | Wafer probing test machine |
US5279886A (en) | 1990-01-25 | 1994-01-18 | Ngk Spark Plug Co., Ltd. | Alumina sintered body |
US5284519A (en) | 1990-05-16 | 1994-02-08 | Simon Fraser University | Inverted diffuser stagnation point flow reactor for vapor deposition of thin films |
US5288684A (en) | 1990-03-27 | 1994-02-22 | Semiconductor Energy Laboratory Co., Ltd. | Photochemical vapor phase reaction apparatus and method of causing a photochemical vapor phase reaction |
JPH0653210A (en) | 1992-07-28 | 1994-02-25 | Nec Corp | Semiconductor device |
US5294778A (en) | 1991-09-11 | 1994-03-15 | Lam Research Corporation | CVD platen heater system utilizing concentric electric heating elements |
JPH0684888A (en) | 1992-02-27 | 1994-03-25 | G T C:Kk | Formation of insulation film |
US5305417A (en) | 1993-03-26 | 1994-04-19 | Texas Instruments Incorporated | Apparatus and method for determining wafer temperature using pyrometry |
US5306666A (en) | 1992-07-24 | 1994-04-26 | Nippon Steel Corporation | Process for forming a thin metal film by chemical vapor deposition |
US5306946A (en) | 1990-10-15 | 1994-04-26 | Seiko Epson Corporation | Semiconductor device having a passivation layer with silicon nitride layers |
US5308650A (en) | 1991-07-06 | 1994-05-03 | Schott Glaswerke | Process and apparatus for the ignition of CVD plasmas |
US5310456A (en) | 1990-07-30 | 1994-05-10 | Sony Corporation | Dry etching method |
US5313061A (en) | 1989-06-06 | 1994-05-17 | Viking Instrument | Miniaturized mass spectrometer system |
US5314570A (en) | 1990-07-18 | 1994-05-24 | Sumitomo Electric Industries Ltd. | Process and apparatus for the production of diamond |
US5315092A (en) | 1990-10-11 | 1994-05-24 | Dainippon Screen Mfg. Co., Ltd. | Apparatus for heat-treating wafer by light-irradiation and device for measuring temperature of substrate used in such apparatus |
US5320218A (en) | 1992-04-07 | 1994-06-14 | Shinko Electric Co., Ltd. | Closed container to be used in a clean room |
US5326427A (en) | 1992-09-11 | 1994-07-05 | Lsi Logic Corporation | Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation |
US5328810A (en) | 1990-05-07 | 1994-07-12 | Micron Technology, Inc. | Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process |
US5336327A (en) | 1992-06-01 | 1994-08-09 | Motorola, Inc. | CVD reactor with uniform layer depositing ability |
US5338362A (en) | 1992-08-29 | 1994-08-16 | Tokyo Electron Limited | Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments |
US5346961A (en) | 1993-04-07 | 1994-09-13 | Union Carbide Chemicals & Plastics Technology Corporation | Process for crosslinking |
US5348774A (en) | 1993-08-11 | 1994-09-20 | Alliedsignal Inc. | Method of rapidly densifying a porous structure |
US5350480A (en) | 1993-07-23 | 1994-09-27 | Aspect International, Inc. | Surface cleaning and conditioning using hot neutral gas beam array |
US5354580A (en) | 1993-06-08 | 1994-10-11 | Cvd Incorporated | Triangular deposition chamber for a vapor deposition system |
US5356478A (en) | 1992-06-22 | 1994-10-18 | Lam Research Corporation | Plasma cleaning method for removing residues in a plasma treatment chamber |
US5356672A (en) | 1990-05-09 | 1994-10-18 | Jet Process Corporation | Method for microwave plasma assisted supersonic gas jet deposition of thin films |
US5360269A (en) | 1989-05-10 | 1994-11-01 | Tokyo Kogyo Kabushiki Kaisha | Immersion-type temperature measuring apparatus using thermocouple |
JPH06319177A (en) | 1993-02-24 | 1994-11-15 | Hewlett Packard Co <Hp> | Adaptive remote control system |
US5364667A (en) | 1992-01-17 | 1994-11-15 | Amtech Systems, Inc. | Photo-assisted chemical vapor deposition method |
JPH06338497A (en) | 1993-05-28 | 1994-12-06 | Nec Corp | Chemical vapor growth method |
USD353452S (en) | 1993-04-27 | 1994-12-13 | Groenhoff Larry C | Window adapter for portable box fans |
US5374315A (en) | 1987-03-31 | 1994-12-20 | Advanced Semiconductor Materials America, Inc. | Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment |
US5380367A (en) | 1992-12-04 | 1995-01-10 | Cselt - Centro Studi E Laboratori Telecomunicazioni S.P.A. | Vapour generator for chemical vapour deposition systems |
US5382311A (en) | 1992-12-17 | 1995-01-17 | Tokyo Electron Limited | Stage having electrostatic chuck and plasma processing apparatus using same |
USD354898S (en) | 1992-10-13 | 1995-01-31 | Verdel Innovations | Egg holder for use with a stand for decorating eggs |
JPH0729836A (en) | 1993-07-14 | 1995-01-31 | Sony Corp | Deposition of plasma silicon nitride |
JPH0734936A (en) | 1993-07-16 | 1995-02-03 | Hitachi Ltd | Diagnostic device of engine system |
US5388945A (en) | 1992-08-04 | 1995-02-14 | International Business Machines Corporation | Fully automated and computerized conveyor based manufacturing line architectures adapted to pressurized sealable transportable containers |
US5397395A (en) | 1990-10-29 | 1995-03-14 | Canon Kabushiki Kaisha | Method of continuously forming a large area functional deposited film by microwave PCVD and apparatus for the same |
US5403630A (en) | 1992-10-27 | 1995-04-04 | Kabushiki Kaisha Toshiba | Vapor-phase growth method for forming S2 O2 films |
US5404082A (en) | 1993-04-23 | 1995-04-04 | North American Philips Corporation | High frequency inverter with power-line-controlled frequency modulation |
US5407449A (en) | 1992-03-10 | 1995-04-18 | Asm International N.V. | Device for treating micro-circuit wafers |
JPH07109576A (en) | 1993-10-07 | 1995-04-25 | Shinko Seiki Co Ltd | Formation of film by plasma cvd |
US5414221A (en) | 1991-12-31 | 1995-05-09 | Intel Corporation | Embedded ground plane and shielding structures using sidewall insulators in high frequency circuits having vias |
US5413813A (en) | 1993-11-23 | 1995-05-09 | Enichem S.P.A. | CVD of silicon-based ceramic materials on internal surface of a reactor |
US5415753A (en) | 1993-07-22 | 1995-05-16 | Materials Research Corporation | Stationary aperture plate for reactive sputter deposition |
US5418382A (en) | 1993-09-23 | 1995-05-23 | Fsi International, Inc. | Substrate location and detection apparatus |
US5422139A (en) | 1990-04-12 | 1995-06-06 | Balzers Aktiengesellschaft | Method for a reactive surface treatment of a workpiece and a treatment chamber for practicing such method |
US5421893A (en) | 1993-02-26 | 1995-06-06 | Applied Materials, Inc. | Susceptor drive and wafer displacement mechanism |
US5423942A (en) | 1994-06-20 | 1995-06-13 | Texas Instruments Incorporated | Method and apparatus for reducing etching erosion in a plasma containment tube |
US5426137A (en) | 1993-01-05 | 1995-06-20 | Halliburton Company | Method for continuously mixing fluids |
US5430011A (en) | 1991-09-17 | 1995-07-04 | Sumitomi Electric Industries, Ltd. | Crystal compensated superconducting thin film formed of oxide superconductor material |
US5431734A (en) | 1994-04-28 | 1995-07-11 | International Business Machines Corporation | Aluminum oxide low pressure chemical vapor deposition (LPCVD) system-fourier transform infrared (FTIR) source chemical control |
JPH07209093A (en) | 1994-01-20 | 1995-08-11 | Tokyo Electron Ltd | Thermometer |
JPH07225214A (en) | 1994-02-14 | 1995-08-22 | Shimadzu Corp | Nox measuring apparatus |
US5444217A (en) | 1993-01-21 | 1995-08-22 | Moore Epitaxial Inc. | Rapid thermal processing apparatus for processing semiconductor wafers |
US5447294A (en) | 1993-01-21 | 1995-09-05 | Tokyo Electron Limited | Vertical type heat treatment system |
US5453124A (en) | 1992-12-30 | 1995-09-26 | Texas Instruments Incorporated | Programmable multizone gas injector for single-wafer semiconductor processing equipment |
JPH07272694A (en) | 1994-03-30 | 1995-10-20 | Ushio Inc | Dielectric barrier discharge fluorescent lamp |
USD363464S (en) | 1992-08-27 | 1995-10-24 | Tokyo Electron Yamanashi Limited | Electrode for a semiconductor processing apparatus |
JPH07283149A (en) | 1994-04-04 | 1995-10-27 | Nissin Electric Co Ltd | Thin film vapor growth device |
US5462899A (en) | 1992-11-30 | 1995-10-31 | Nec Corporation | Chemical vapor deposition method for forming SiO2 |
US5463176A (en) | 1994-01-03 | 1995-10-31 | Eckert; C. Edward | Liquid waste oxygenation |
JPH07297271A (en) | 1994-04-22 | 1995-11-10 | Shinko Electric Co Ltd | Support mechanism for supporting wafer cassettes with different sizes arbitrarily |
US5480818A (en) | 1992-02-10 | 1996-01-02 | Fujitsu Limited | Method for forming a film and method for manufacturing a thin film transistor |
US5482559A (en) | 1993-10-21 | 1996-01-09 | Tokyo Electron Kabushiki Kaisha | Heat treatment boat |
US5484484A (en) | 1993-07-03 | 1996-01-16 | Tokyo Electron Kabushiki | Thermal processing method and apparatus therefor |
US5494494A (en) | 1992-06-24 | 1996-02-27 | Anelva Corporation | Integrated module multi-chamber CVD processing system and its method for processing substrates |
US5496408A (en) | 1992-11-20 | 1996-03-05 | Mitsubishi Denki Kabushiki Kaisha | Apparatus for producing compound semiconductor devices |
US5501740A (en) | 1993-06-04 | 1996-03-26 | Applied Science And Technology, Inc. | Microwave plasma reactor |
US5504042A (en) | 1994-06-23 | 1996-04-02 | Texas Instruments Incorporated | Porous dielectric material with improved pore surface properties for electronics applications |
US5503875A (en) | 1993-03-18 | 1996-04-02 | Tokyo Electron Limited | Film forming method wherein a partial pressure of a reaction byproduct in a processing container is reduced temporarily |
US5510277A (en) | 1994-06-29 | 1996-04-23 | At&T Corp. | Surface treatment for silicon substrates |
US5514439A (en) | 1994-10-14 | 1996-05-07 | Sibley; Thomas | Wafer support fixtures for rapid thermal processing |
US5518549A (en) | 1995-04-18 | 1996-05-21 | Memc Electronic Materials, Inc. | Susceptor and baffle therefor |
US5523616A (en) | 1993-10-29 | 1996-06-04 | Nec Corporation | Semiconductor device having laminated tight and coarse insulating layers |
WO1996017107A1 (en) | 1994-11-28 | 1996-06-06 | Mikrokemia Oy | Method and apparatus for growing thin films |
US5527417A (en) | 1992-07-06 | 1996-06-18 | Kabushiki Kaisha Toshiba | Photo-assisted CVD apparatus |
US5527111A (en) | 1992-12-24 | 1996-06-18 | Pruftechnik Dieter Busch Ag | Contact temperature sensor |
US5531218A (en) | 1993-04-17 | 1996-07-02 | Messer Griesheim Gmbh | Apparatus for the monitored metering of no into patients' respiratory air |
US5531835A (en) * | 1994-05-18 | 1996-07-02 | Applied Materials, Inc. | Patterned susceptor to reduce electrostatic force in a CVD chamber |
JPH08181135A (en) | 1994-12-22 | 1996-07-12 | Sharp Corp | Manufacture of semiconductor device |
US5540898A (en) | 1995-05-26 | 1996-07-30 | Vasogen Inc. | Ozone generator with in-line ozone sensor |
US5559046A (en) | 1992-10-28 | 1996-09-24 | Matsushita Electronics Corporation | Semiconductor device having a hollow around a gate electrode and a method for producing the same |
US5558717A (en) | 1994-11-30 | 1996-09-24 | Applied Materials | CVD Processing chamber |
US5562947A (en) * | 1994-11-09 | 1996-10-08 | Sony Corporation | Method and apparatus for isolating a susceptor heating element from a chemical vapor deposition environment |
US5574247A (en) | 1993-06-21 | 1996-11-12 | Hitachi, Ltd. | CVD reactor apparatus |
US5576629A (en) | 1994-10-24 | 1996-11-19 | Fourth State Technology, Inc. | Plasma monitoring and control method and system |
US5577331A (en) | 1994-06-30 | 1996-11-26 | Nippon Precision Circuits Inc. | Downflow spin dryer |
US5583736A (en) | 1994-11-17 | 1996-12-10 | The United States Of America As Represented By The Department Of Energy | Micromachined silicon electrostatic chuck |
JPH08335558A (en) | 1995-06-08 | 1996-12-17 | Nissin Electric Co Ltd | Thin film vapor phase deposition apparatus |
US5586585A (en) | 1995-02-27 | 1996-12-24 | Asyst Technologies, Inc. | Direct loadlock interface |
US5589002A (en) | 1994-03-24 | 1996-12-31 | Applied Materials, Inc. | Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing |
US5595606A (en) | 1995-04-20 | 1997-01-21 | Tokyo Electron Limited | Shower head and film forming apparatus using the same |
WO1997003223A1 (en) | 1995-07-10 | 1997-01-30 | Watkins Johnson Company | Gas distribution apparatus |
US5602060A (en) | 1993-08-31 | 1997-02-11 | Fujitsu Limited | Process for the production of semiconductor devices |
US5601641A (en) | 1992-07-21 | 1997-02-11 | Tse Industries, Inc. | Mold release composition with polybutadiene and method of coating a mold core |
US5604410A (en) | 1993-04-05 | 1997-02-18 | Patent-Treuhand-Gesellschaft Fur Elektrische Gluhlampen Mbh | Method to operate an incoherently emitting radiation source having at least one dielectrically impeded electrode |
JPH0964149A (en) | 1995-08-29 | 1997-03-07 | Hitachi Electron Eng Co Ltd | Semiconductor production device |
US5616264A (en) | 1993-06-15 | 1997-04-01 | Tokyo Electron Limited | Method and apparatus for controlling temperature in rapid heat treatment system |
US5616947A (en) | 1994-02-01 | 1997-04-01 | Matsushita Electric Industrial Co., Ltd. | Semiconductor device having an MIS structure |
JPH0989676A (en) | 1995-09-21 | 1997-04-04 | Casio Comput Co Ltd | Electronic clinical thermometer |
US5621982A (en) | 1992-07-29 | 1997-04-22 | Shinko Electric Co., Ltd. | Electronic substrate processing system using portable closed containers and its equipments |
US5632919A (en) | 1996-01-25 | 1997-05-27 | T.G.M., Inc. | Temperature controlled insulation system |
JPH09148322A (en) | 1995-11-22 | 1997-06-06 | Sharp Corp | Method for forming silicon oxide film and plasma cvd film forming apparatus |
USD380527S (en) | 1996-03-19 | 1997-07-01 | Cherle Velez | Sink drain shield |
US5656093A (en) | 1996-03-08 | 1997-08-12 | Applied Materials, Inc. | Wafer spacing mask for a substrate support chuck and method of fabricating same |
US5663899A (en) | 1995-06-05 | 1997-09-02 | Advanced Micro Devices | Redundant thermocouple |
US5667592A (en) | 1996-04-16 | 1997-09-16 | Gasonics International | Process chamber sleeve with ring seals for isolating individual process modules in a common cluster |
US5679215A (en) | 1996-01-02 | 1997-10-21 | Lam Research Corporation | Method of in situ cleaning a vacuum plasma processing chamber |
US5681779A (en) | 1994-02-04 | 1997-10-28 | Lsi Logic Corporation | Method of doping metal layers for electromigration resistance |
US5683517A (en) | 1995-06-07 | 1997-11-04 | Applied Materials, Inc. | Plasma reactor with programmable reactant gas distribution |
USD386076S (en) | 1996-05-14 | 1997-11-11 | Camco Manufacturing, Inc. | Awning clamp |
US5685912A (en) | 1995-06-20 | 1997-11-11 | Sony Corporation | Pressure control system for semiconductor manufacturing equipment |
US5695567A (en) | 1996-02-26 | 1997-12-09 | Abb Research Ltd. | Susceptor for a device for epitaxially growing objects and such a device |
US5697706A (en) | 1995-12-26 | 1997-12-16 | Chrysler Corporation | Multi-point temperature probe |
US5700729A (en) | 1996-07-15 | 1997-12-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Masked-gate MOS S/D implantation |
US5708825A (en) | 1995-05-26 | 1998-01-13 | Iconovex Corporation | Automatic summary page creation and hyperlink generation |
US5709745A (en) | 1993-01-25 | 1998-01-20 | Ohio Aerospace Institute | Compound semi-conductors and controlled doping thereof |
US5711811A (en) | 1994-11-28 | 1998-01-27 | Mikrokemia Oy | Method and equipment for growing thin films |
US5716133A (en) | 1995-01-17 | 1998-02-10 | Applied Komatsu Technology, Inc. | Shielded heat sensor for measuring temperature |
JPH1041096A (en) | 1996-07-19 | 1998-02-13 | Tokyo Electron Ltd | Plasma treatment device |
US5718574A (en) | 1995-03-01 | 1998-02-17 | Tokyo Electron Limited | Heat treatment apparatus |
JPH1064696A (en) | 1996-08-23 | 1998-03-06 | Tokyo Electron Ltd | Plasma processing device |
US5724748A (en) | 1996-07-24 | 1998-03-10 | Brooks; Ray G. | Apparatus for packaging contaminant-sensitive articles and resulting package |
US5728425A (en) | 1992-03-18 | 1998-03-17 | Fujitsu Limited | Method for chemical vapor deposition of semiconductor films by separate feeding of source gases and growing of films |
US5728223A (en) | 1995-06-09 | 1998-03-17 | Ebara Corporation | Reactant gas ejector head and thin-film vapor deposition apparatus |
US5730802A (en) | 1994-05-20 | 1998-03-24 | Sharp Kabushiki Kaisha | Vapor growth apparatus and vapor growth method capable of growing good productivity |
US5730801A (en) | 1994-08-23 | 1998-03-24 | Applied Materials, Inc. | Compartnetalized substrate processing chamber |
USD392855S (en) | 1995-06-26 | 1998-03-31 | Pillow Daryl R | Floor protection template for use while spray-painting door frames |
US5732744A (en) | 1996-03-08 | 1998-03-31 | Control Systems, Inc. | Method and apparatus for aligning and supporting semiconductor process gas delivery and regulation components |
US5736314A (en) | 1995-11-16 | 1998-04-07 | Microfab Technologies, Inc. | Inline thermo-cycler |
US5753835A (en) | 1996-12-12 | 1998-05-19 | Caterpillar Inc. | Receptacle for holding a sensing device |
US5761328A (en) | 1995-05-22 | 1998-06-02 | Solberg Creations, Inc. | Computer automated system and method for converting source-documents bearing alphanumeric text relating to survey measurements |
JPH10153494A (en) | 1996-11-25 | 1998-06-09 | Yamari Sangyo Kk | Thermocouple |
US5766365A (en) | 1994-02-23 | 1998-06-16 | Applied Materials, Inc. | Removable ring for controlling edge deposition in substrate processing apparatus |
US5777838A (en) | 1995-12-19 | 1998-07-07 | Fujitsu Limited | Electrostatic chuck and method of attracting wafer |
US5779203A (en) | 1996-06-28 | 1998-07-14 | Edlinger; Erich | Adjustable wafer cassette stand |
US5781693A (en) | 1996-07-24 | 1998-07-14 | Applied Materials, Inc. | Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween |
KR19980026850A (en) | 1996-10-11 | 1998-07-15 | 김광호 | Rapid heat treatment equipment with the function of inspecting warpage of wafer |
US5782979A (en) | 1993-04-22 | 1998-07-21 | Mitsubishi Denki Kabushiki Kaisha | Substrate holder for MOCVD |
WO1998032893A2 (en) | 1997-01-23 | 1998-07-30 | Asm America, Inc. | Wafer support system |
US5792272A (en) | 1995-07-10 | 1998-08-11 | Watkins-Johnson Company | Plasma enhanced chemical processing reactor and method |
US5791782A (en) | 1995-09-21 | 1998-08-11 | Fusion Systems Corporation | Contact temperature probe with unrestrained orientation |
US5796074A (en) | 1995-11-28 | 1998-08-18 | Applied Materials, Inc. | Wafer heater assembly |
JPH10227703A (en) | 1997-02-13 | 1998-08-25 | Mitsubishi Heavy Ind Ltd | Heat flux meter |
US5801104A (en) | 1995-10-24 | 1998-09-01 | Micron Technology, Inc. | Uniform dielectric film deposition on textured surfaces |
US5801945A (en) | 1996-06-28 | 1998-09-01 | Lam Research Corporation | Scheduling method for robotic manufacturing processes |
US5806980A (en) | 1996-09-11 | 1998-09-15 | Novellus Systems, Inc. | Methods and apparatus for measuring temperatures at high potential |
JPH10261620A (en) | 1997-03-19 | 1998-09-29 | Hitachi Ltd | Surface treater |
US5813851A (en) | 1995-09-07 | 1998-09-29 | Tokyo Electron, Ltd. | Heat treatment method |
US5819092A (en) | 1994-11-08 | 1998-10-06 | Vermeer Technologies, Inc. | Online service development tool with fee setting capabilities |
US5819434A (en) | 1996-04-25 | 1998-10-13 | Applied Materials, Inc. | Etch enhancement using an improved gas distribution plate |
US5820685A (en) * | 1996-01-17 | 1998-10-13 | Applied Materials, Inc. | Wafer support device |
US5827757A (en) | 1996-07-16 | 1998-10-27 | Direct Radiography Corp. | Fabrication of large area x-ray image capturing element |
US5827420A (en) | 1997-07-29 | 1998-10-27 | World Precision Instruments, Inc. | Method and apparatus for the generation of nitric oxide |
US5827435A (en) | 1994-10-27 | 1998-10-27 | Nec Corporation | Plasma processing method and equipment used therefor |
US5837320A (en) | 1996-02-27 | 1998-11-17 | The University Of New Mexico | Chemical vapor deposition of metal sulfide films from metal thiocarboxylate complexes with monodenate or multidentate ligands |
US5837058A (en) | 1996-07-12 | 1998-11-17 | Applied Materials, Inc. | High temperature susceptor |
US5836483A (en) | 1997-02-05 | 1998-11-17 | Aerotech Dental Systems, Inc. | Self-regulating fluid dispensing cap with safety pressure relief valve for dental/medical unit fluid bottles |
US5844683A (en) | 1996-05-22 | 1998-12-01 | Applied Materials, Inc. | Position sensor system for substrate holders |
US5846332A (en) | 1996-07-12 | 1998-12-08 | Applied Materials, Inc. | Thermally floating pedestal collar in a chemical vapor deposition chamber |
US5851294A (en) | 1995-10-23 | 1998-12-22 | Watkins-Johnson Company | Gas injection system for semiconductor processing |
US5852879A (en) | 1995-04-26 | 1998-12-29 | Schumaier; Daniel R. | Moisture sensitive item drying appliance |
US5853484A (en) | 1995-10-28 | 1998-12-29 | Lg Semicon Co., Ltd. | Gas distribution system and method for chemical vapor deposition apparatus |
EP0887632A1 (en) | 1997-06-24 | 1998-12-30 | Isuzu Ceramics Research Institute Co., Ltd. | A ceramic thermocouple for measuring temperature of molten metal |
US5855681A (en) | 1996-11-18 | 1999-01-05 | Applied Materials, Inc. | Ultra high throughput wafer vacuum processing system |
US5855680A (en) | 1994-11-28 | 1999-01-05 | Neste Oy | Apparatus for growing thin films |
US5857777A (en) | 1996-09-25 | 1999-01-12 | Claud S. Gordon Company | Smart temperature sensing device |
USD404372S (en) | 1997-08-20 | 1999-01-19 | Tokyo Electron Limited | Ring for use in a semiconductor wafer heat processing apparatus |
USD404370S (en) | 1997-08-20 | 1999-01-19 | Tokyo Electron Limited | Cap for use in a semiconductor wafer heat processing apparatus |
US5863123A (en) | 1996-04-24 | 1999-01-26 | Samsung Electronics Co., Ltd. | Profile thermocouple of a transverse-type diffusion furnace |
US5866795A (en) | 1997-03-17 | 1999-02-02 | Applied Materials, Inc. | Liquid flow rate estimation and verification by direct liquid measurement |
US5865205A (en) | 1997-04-17 | 1999-02-02 | Applied Materials, Inc. | Dynamic gas flow controller |
US5872065A (en) | 1997-04-02 | 1999-02-16 | Applied Materials Inc. | Method for depositing low K SI-O-F films using SIF4 /oxygen chemistry |
US5873942A (en) | 1996-08-08 | 1999-02-23 | Samsung Electronics Co., Ltd. | Apparatus and method for low pressure chemical vapor deposition using multiple chambers and vacuum pumps |
US5877095A (en) | 1994-09-30 | 1999-03-02 | Nippondenso Co., Ltd. | Method of fabricating a semiconductor device having a silicon nitride film made of silane, ammonia and nitrogen |
US5879459A (en) | 1997-08-29 | 1999-03-09 | Genus, Inc. | Vertically-stacked process reactor and cluster tool system for atomic layer deposition |
US5879128A (en) | 1996-07-24 | 1999-03-09 | Applied Materials, Inc. | Lift pin and support pin apparatus for a processing chamber |
US5884640A (en) | 1997-08-07 | 1999-03-23 | Applied Materials, Inc. | Method and apparatus for drying substrates |
JPH1197163A (en) | 1997-09-24 | 1999-04-09 | Mitsubishi Heavy Ind Ltd | Device for maintaining gap for positioning high frequency heating coil |
US5893741A (en) | 1997-02-07 | 1999-04-13 | National Science Council | Method for simultaneously forming local interconnect with silicided elevated source/drain MOSFET's |
JPH11118615A (en) | 1997-10-09 | 1999-04-30 | Kakunenryo Cycle Kaihatsu Kiko | Temperature sensor for object to be measured having stretchability |
WO1999023690A1 (en) | 1997-11-03 | 1999-05-14 | Asm America, Inc. | Method of processing wafers with low mass support |
USD409894S (en) | 1997-12-30 | 1999-05-18 | Mcclurg Ben B | Sheet rock plug |
US5904170A (en) | 1997-05-14 | 1999-05-18 | Applied Materials, Inc. | Pressure flow and concentration control of oxygen/ozone gas mixtures |
US5908672A (en) | 1997-10-15 | 1999-06-01 | Applied Materials, Inc. | Method and apparatus for depositing a planarized passivation layer |
US5915562A (en) | 1996-07-12 | 1999-06-29 | Fluoroware, Inc. | Transport module with latching door |
USD411516S (en) | 1996-03-15 | 1999-06-29 | Tokyo Electron Limited | Gas diffusion plate for electrode of semiconductor wafer processing apparatus |
US5916365A (en) | 1996-08-16 | 1999-06-29 | Sherman; Arthur | Sequential chemical vapor deposition |
US5920798A (en) | 1996-05-28 | 1999-07-06 | Matsushita Battery Industrial Co., Ltd. | Method of preparing a semiconductor layer for an optical transforming device |
JPH11183265A (en) | 1997-12-16 | 1999-07-09 | Tokyo Yogyo Co Ltd | Temperature measuring instrument with thermocouple |
JPH11183264A (en) | 1997-12-16 | 1999-07-09 | Tokyo Yogyo Co Ltd | Temperature measuring instrument with thermocouple |
JPH11195688A (en) | 1997-12-26 | 1999-07-21 | Mc Electronics Kk | Substrate treatment device |
USD412270S (en) | 1998-08-10 | 1999-07-27 | David Frank Fredrickson | Article lifter |
USD412512S (en) | 1998-06-24 | 1999-08-03 | Marc H Boisvert | Tool holding device |
US5937323A (en) | 1997-06-03 | 1999-08-10 | Applied Materials, Inc. | Sequencing of the recipe steps for the optimal low-k HDP-CVD processing |
US5947718A (en) | 1997-03-07 | 1999-09-07 | Semitool, Inc. | Semiconductor processing furnace |
US5950327A (en) | 1996-07-08 | 1999-09-14 | Speedfam-Ipec Corporation | Methods and apparatus for cleaning and drying wafers |
US5950925A (en) | 1996-10-11 | 1999-09-14 | Ebara Corporation | Reactant gas ejector head |
US5954375A (en) | 1995-12-21 | 1999-09-21 | Edstrom Industries, Inc. | Sanitary fitting having ferrule with grooved undercut |
US5961775A (en) | 1987-08-19 | 1999-10-05 | Fujitsu Limited | Apparatus for removing organic resist from semiconductor |
US5968275A (en) | 1997-06-25 | 1999-10-19 | Lam Research Corporation | Methods and apparatus for passivating a substrate in a plasma reactor |
JPH11287715A (en) | 1998-04-02 | 1999-10-19 | Canon Inc | Thermocouple |
US5970621A (en) | 1998-01-16 | 1999-10-26 | Pri Automation, Inc. | Semiconductor wafer cassette positioning and detection mechanism |
US5975492A (en) | 1997-07-14 | 1999-11-02 | Brenes; Arthur | Bellows driver slot valve |
US5982931A (en) | 1995-06-07 | 1999-11-09 | Ishimaru; Mikio | Apparatus and method for the manipulation of image containing documents |
US5979506A (en) | 1995-08-16 | 1999-11-09 | Aker Engineering As | Arrangement in a pipe bundle |
US5984391A (en) | 1997-02-03 | 1999-11-16 | Novellus Systems, Inc. | Microfeature wafer handling apparatus and methods |
US5987480A (en) | 1996-07-25 | 1999-11-16 | Donohue; Michael | Method and system for delivering documents customized for a particular user over the internet using imbedded dynamic content |
US5989342A (en) | 1996-01-30 | 1999-11-23 | Dainippon Screen Mfg, Co., Ltd. | Apparatus for substrate holding |
US5992453A (en) | 1995-10-17 | 1999-11-30 | Zimmer; Johannes | Flow-dividing arrangement |
US5997588A (en) | 1995-10-13 | 1999-12-07 | Advanced Semiconductor Materials America, Inc. | Semiconductor processing system with gas curtain |
US5997768A (en) | 1993-06-29 | 1999-12-07 | Ciba Specialty Chemicals Corporation | Pelletization of metal soap powders |
US5998870A (en) | 1994-06-10 | 1999-12-07 | Samsung Electronics Co., Ltd. | Wiring structure of semiconductor device and method for manufacturing the same |
US6000732A (en) | 1995-09-22 | 1999-12-14 | Jenoptik Ag | Arrangement for locking and unlocking a door of a container |
US6013920A (en) | 1997-11-28 | 2000-01-11 | Fortrend Engineering Coirporation | Wafer-mapping load post interface having an effector position sensing device |
US6013553A (en) | 1997-07-24 | 2000-01-11 | Texas Instruments Incorporated | Zirconium and/or hafnium oxynitride gate dielectric |
US6015465A (en) | 1998-04-08 | 2000-01-18 | Applied Materials, Inc. | Temperature control system for semiconductor process chamber |
US6015459A (en) | 1998-06-26 | 2000-01-18 | Extreme Devices, Inc. | Method for doping semiconductor materials |
US6017818A (en) | 1996-01-22 | 2000-01-25 | Texas Instruments Incorporated | Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density |
US6017779A (en) | 1994-06-15 | 2000-01-25 | Seiko Epson Corporation | Fabrication method for a thin film semiconductor device, the thin film semiconductor device itself, liquid crystal display, and electronic device |
USD419652S (en) | 1997-09-23 | 2000-01-25 | Imbibitive Technologies Corp. | Stand-pipe assembly unit for a containment basin which holds a sorbent material containing cartridge and which can hold a silt-collecting sock over its top tray |
US6024799A (en) | 1997-07-11 | 2000-02-15 | Applied Materials, Inc. | Chemical vapor deposition manifold |
US6035101A (en) | 1997-02-12 | 2000-03-07 | Applied Materials, Inc. | High temperature multi-layered alloy heater assembly and related methods |
US6035804A (en) | 1997-11-07 | 2000-03-14 | Tokyo Electron Limited | Process chamber apparatus |
US6042652A (en) | 1999-05-01 | 2000-03-28 | P.K. Ltd | Atomic layer deposition apparatus for depositing atomic layer on multiple substrates |
US6045260A (en) | 1994-09-27 | 2000-04-04 | Rosemount Inc. | Switch for selectively coupling a sensor or calibration element to a terminal block |
US6044860A (en) | 1999-02-01 | 2000-04-04 | Spx Corporation | Adjustable lockout device for knife gate valves |
US6048154A (en) | 1996-10-02 | 2000-04-11 | Applied Materials, Inc. | High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock |
KR100253664B1 (en) | 1997-08-22 | 2000-04-15 | 이해광 | Operating system of polyimide dryer |
US6050506A (en) | 1998-02-13 | 2000-04-18 | Applied Materials, Inc. | Pattern of apertures in a showerhead for chemical vapor deposition |
US6054678A (en) | 1997-03-14 | 2000-04-25 | Hakko Corporation | Heater-sensor complex |
US6054013A (en) | 1996-02-02 | 2000-04-25 | Applied Materials, Inc. | Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density |
US6053983A (en) | 1997-05-08 | 2000-04-25 | Tokyo Electron, Ltd. | Wafer for carrying semiconductor wafers and method detecting wafers on carrier |
US6053982A (en) | 1995-09-01 | 2000-04-25 | Asm America, Inc. | Wafer support system |
US6060721A (en) | 1998-05-06 | 2000-05-09 | Taiwan Semiconductor Manufacturing Co., Ltd | Apparatus for detecting correct positioning of a wafer cassette |
US6060691A (en) | 1997-04-21 | 2000-05-09 | Fujikin Incorporated | Device for heating fluid controller |
US6063196A (en) * | 1998-10-30 | 2000-05-16 | Applied Materials, Inc. | Semiconductor processing chamber calibration tool |
US6068441A (en) | 1997-11-21 | 2000-05-30 | Asm America, Inc. | Substrate transfer system for semiconductor processing equipment |
KR20000031098A (en) | 1998-11-03 | 2000-06-05 | 윤종용 | Spike thermocouple element for detecting temperature of heating furnace |
US6072163A (en) | 1998-03-05 | 2000-06-06 | Fsi International Inc. | Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate |
US6073973A (en) | 1996-10-31 | 2000-06-13 | Stanley Aviation Corporation | Lightweight positive lock coupling |
US6074154A (en) | 1996-08-29 | 2000-06-13 | Tokyo Electron Limited | Substrate treatment system, substrate transfer system, and substrate transfer method |
US6074443A (en) | 1996-10-21 | 2000-06-13 | Applied Materials, Inc. | Method and apparatus for scheduling wafer processing within a multiple chamber semiconductor wafer processing tool having a multiple blade robot |
US6077027A (en) | 1996-02-09 | 2000-06-20 | Hitachi, Ltd. | Semiconductor manufacturing apparatus for transferring articles with a bearing-less joint and method for manufacturing semiconductor device |
US6079927A (en) | 1998-04-22 | 2000-06-27 | Varian Semiconductor Equipment Associates, Inc. | Automated wafer buffer for use with wafer processing equipment |
US6079356A (en) | 1997-12-02 | 2000-06-27 | Applied Materials, Inc. | Reactor optimized for chemical vapor deposition of titanium |
US6083321A (en) | 1997-07-11 | 2000-07-04 | Applied Materials, Inc. | Fluid delivery system and method |
US6086677A (en) | 1998-06-16 | 2000-07-11 | Applied Materials, Inc. | Dual gas faceplate for a showerhead in a semiconductor wafer processing system |
KR20000045257A (en) | 1998-12-30 | 2000-07-15 | 서평원 | Method for searching cell in mobile communication system |
US6091062A (en) | 1998-01-27 | 2000-07-18 | Kinetrix, Inc. | Method and apparatus for temperature control of a semiconductor electrical-test contractor assembly |
US6093253A (en) | 1998-04-06 | 2000-07-25 | Abb Research Ltd. | Method and a device for epitaxial growth of objects by chemical vapor deposition |
US6093252A (en) | 1995-08-03 | 2000-07-25 | Asm America, Inc. | Process chamber with inner support |
US6096267A (en) | 1997-02-28 | 2000-08-01 | Extraction Systems, Inc. | System for detecting base contaminants in air |
US6096133A (en) | 1994-12-28 | 2000-08-01 | Mitsubishi Denki Kabushiki Kaisha | Chemical vapor deposition apparatus |
US6095083A (en) | 1991-06-27 | 2000-08-01 | Applied Materiels, Inc. | Vacuum processing chamber having multi-mode access |
US6099302A (en) | 1998-06-23 | 2000-08-08 | Samsung Electronics Co., Ltd. | Semiconductor wafer boat with reduced wafer contact area |
US6102565A (en) | 1996-07-12 | 2000-08-15 | Isuzu Ceramics Research Institute Co., Ltd. | Ceramic sheath type thermocouple |
US6104401A (en) | 1997-06-12 | 2000-08-15 | Netscape Communications Corporation | Link filters |
US6104011A (en) | 1997-09-04 | 2000-08-15 | Watlow Electric Manufacturing Company | Sheathed thermocouple with internal coiled wires |
US6106625A (en) | 1997-12-02 | 2000-08-22 | Applied Materials, Inc. | Reactor useful for chemical vapor deposition of titanium nitride |
US6106678A (en) | 1996-03-29 | 2000-08-22 | Lam Research Corporation | Method of high density plasma CVD gap-filling |
US6119710A (en) | 1999-05-26 | 2000-09-19 | Cyber Instrument Technologies Llc | Method for wide range gas flow system with real time flow measurement and correction |
US6122036A (en) | 1993-10-21 | 2000-09-19 | Nikon Corporation | Projection exposure apparatus and method |
US6120008A (en) | 1998-04-28 | 2000-09-19 | Life International Products, Inc. | Oxygenating apparatus, method for oxygenating a liquid therewith, and applications thereof |
US6121158A (en) | 1997-08-13 | 2000-09-19 | Sony Corporation | Method for hardening a photoresist material formed on a substrate |
US6124600A (en) | 1997-05-27 | 2000-09-26 | Ushiodenki Kabushiki Kaisha | Ultraviolet irradiation device of the optical path division type |
US6127249A (en) | 1997-02-20 | 2000-10-03 | Micron Technology, Inc. | Metal silicidation methods and methods for using same |
US6125789A (en) | 1998-01-30 | 2000-10-03 | Applied Materials, Inc. | Increasing the sensitivity of an in-situ particle monitor |
US6126744A (en) | 1996-11-18 | 2000-10-03 | Asm America, Inc. | Method and system for adjusting semiconductor processing equipment |
US6126848A (en) | 1998-05-06 | 2000-10-03 | International Business Machines Corporation | Indirect endpoint detection by chemical reaction and chemiluminescence |
US6129044A (en) | 1996-07-12 | 2000-10-10 | Applied Materials, Inc. | Apparatus for substrate processing with improved throughput and yield |
US6129546A (en) | 1998-06-25 | 2000-10-10 | Tokyo Electron Limited | Heat process apparatus and heat process method |
US6137240A (en) | 1998-12-31 | 2000-10-24 | Lumion Corporation | Universal ballast control circuit |
US6134807A (en) | 1997-05-16 | 2000-10-24 | Tokyo Electron Limited | Drying processing method and apparatus using same |
US6143079A (en) | 1998-11-19 | 2000-11-07 | Asm America, Inc. | Compact process chamber for improved process uniformity |
US6143659A (en) | 1997-11-18 | 2000-11-07 | Samsung Electronics, Co., Ltd. | Method for manufacturing aluminum metal interconnection layer by atomic layer deposition method |
US6143082A (en) | 1998-10-08 | 2000-11-07 | Novellus Systems, Inc. | Isolation of incompatible processes in a multi-station processing chamber |
US6146463A (en) * | 1998-06-12 | 2000-11-14 | Applied Materials, Inc. | Apparatus and method for aligning a substrate on a support member |
US6148761A (en) | 1998-06-16 | 2000-11-21 | Applied Materials, Inc. | Dual channel gas distribution plate |
US6158941A (en) | 1995-10-27 | 2000-12-12 | Brooks Automation, Inc. | Substrate transport apparatus with double substrate holders |
US6160244A (en) | 1998-05-29 | 2000-12-12 | Ngk Insulators, Ltd. | Susceptors |
US6162323A (en) | 1997-08-12 | 2000-12-19 | Tokyo Electron Yamanashi Limited | Plasma processing apparatus |
US6161500A (en) | 1997-09-30 | 2000-12-19 | Tokyo Electron Limited | Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions |
US6174809B1 (en) | 1997-12-31 | 2001-01-16 | Samsung Electronics, Co., Ltd. | Method for forming metal layer using atomic layer deposition |
JP2001015698A (en) | 1999-07-02 | 2001-01-19 | Matsushita Electronics Industry Corp | Semiconductor device and manufacture thereof |
JP2001023872A (en) | 1999-07-09 | 2001-01-26 | Hitachi Ltd | Semiconductor substrate processing apparatus |
US6180979B1 (en) | 1996-03-12 | 2001-01-30 | Siemens Aktiengesellschaft | Memory cell arrangement with vertical MOS transistors and the production process thereof |
US6187672B1 (en) | 1998-09-22 | 2001-02-13 | Conexant Systems, Inc. | Interconnect with low dielectric constant insulators for semiconductor integrated circuit manufacturing |
US6187691B1 (en) | 1999-05-14 | 2001-02-13 | Asm Japan K.K. | Method of forming film on semiconductor substrate in film-forming apparatus |
US6190634B1 (en) | 1995-06-07 | 2001-02-20 | President And Fellows Of Harvard College | Carbide nanomaterials |
US6190457B1 (en) | 1996-03-22 | 2001-02-20 | Nippon Sanso Corporation | CVD system and CVD process |
US6190037B1 (en) | 1999-02-19 | 2001-02-20 | Applied Materials, Inc. | Non-intrusive, on-the-fly (OTF) temperature measurement and monitoring system |
US6190113B1 (en) * | 1997-04-30 | 2001-02-20 | Applied Materials, Inc. | Quartz pin lift for single wafer chemical vapor deposition/etch process chamber |
US6191399B1 (en) | 2000-02-01 | 2001-02-20 | Asm America, Inc. | System of controlling the temperature of a processing chamber |
US6194037B1 (en) | 1995-12-28 | 2001-02-27 | Kokusai Electric Co., Ltd. | Method of plasma processing a substrate placed on a substrate table |
US6201999B1 (en) | 1997-06-09 | 2001-03-13 | Applied Materials, Inc. | Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool |
US6203613B1 (en) | 1999-10-19 | 2001-03-20 | International Business Machines Corporation | Atomic layer deposition with nitrate containing precursors |
US6203969B1 (en) | 1998-09-14 | 2001-03-20 | Tokyo Electron Limited | Resist processing apparatus which measures temperature of heat-sensing substrate and measuring method therein |
US6207932B1 (en) | 1997-12-30 | 2001-03-27 | Hyundai Electronics Industries, Co., Ltd. | Heater block for heating wafer |
US6207936B1 (en) | 1996-01-31 | 2001-03-27 | Asm America, Inc. | Model-based predictive control of thermal processing |
US20010000141A1 (en) | 1999-09-03 | 2001-04-05 | Derong Zhou | Processes and systems for purification of boron trichloride |
US6212789B1 (en) | 1998-06-19 | 2001-04-10 | Canon Sales Co., Inc. | Semiconductor device manufacturing system |
US6214122B1 (en) | 1997-03-17 | 2001-04-10 | Motorola, Inc. | Rapid thermal processing susceptor |
US6218288B1 (en) | 1998-05-11 | 2001-04-17 | Micron Technology, Inc. | Multiple step methods for forming conformal layers |
US6225020B1 (en) | 1998-04-30 | 2001-05-01 | Hyundai Electronics Industries Co., Ltd. | Polymer and a forming method of a micro pattern using the same |
US6231290B1 (en) | 1998-03-23 | 2001-05-15 | Tokyo Electron | Processing method and processing unit for substrate |
US6235858B1 (en) | 1992-10-30 | 2001-05-22 | Ppg Industries Ohio, Inc. | Aminoplast curable film-forming compositions providing films having resistance to acid etching |
US6238734B1 (en) | 1999-07-08 | 2001-05-29 | Air Products And Chemicals, Inc. | Liquid precursor mixtures for deposition of multicomponent metal containing materials |
US20010001953A1 (en) | 1997-07-10 | 2001-05-31 | Stewart K. Griffiths | Support apparatus for semiconductor wafer processing |
US6241822B1 (en) | 1999-01-19 | 2001-06-05 | Nec Corporation | Vertical heat treatment apparatus |
US6242359B1 (en) | 1997-08-20 | 2001-06-05 | Air Liquide America Corporation | Plasma cleaning and etching methods using non-global-warming compounds |
US6243654B1 (en) | 1997-10-07 | 2001-06-05 | Telemonitor, Inc. | Transducer assembly with smart connector |
US20010003191A1 (en) | 1999-12-03 | 2001-06-07 | Kovacs Ern?Ouml; | Communication device and software for operating multimedia applications |
US6245665B1 (en) | 1998-12-09 | 2001-06-12 | Nec Corporation | Semiconductor device and method of fabricating the same |
US6247245B1 (en) | 1998-11-18 | 2001-06-19 | Tokyo Electron Limited | Processing unit for substrate manufacture |
US6250250B1 (en) | 1999-03-18 | 2001-06-26 | Yuri Maishev | Multiple-cell source of uniform plasma |
US20010004880A1 (en) * | 1999-07-07 | 2001-06-28 | The Co-Inventors To Applied Materials, Inc. | Pedestal with a thermally controlled platen |
US20010006070A1 (en) | 1998-07-13 |