JPH0684888A - Formation of insulation film - Google Patents

Formation of insulation film

Info

Publication number
JPH0684888A
JPH0684888A JP33472392A JP33472392A JPH0684888A JP H0684888 A JPH0684888 A JP H0684888A JP 33472392 A JP33472392 A JP 33472392A JP 33472392 A JP33472392 A JP 33472392A JP H0684888 A JPH0684888 A JP H0684888A
Authority
JP
Japan
Prior art keywords
film
insulating film
material gas
oxidizing gas
organic silane
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP33472392A
Other languages
Japanese (ja)
Other versions
JP2506539B2 (en
Inventor
Hideo Izawa
秀雄 井澤
Yutaka Nishi
豊 西
Hiroshi Morimoto
弘 森本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
G T C KK
GTC KK
Original Assignee
G T C KK
GTC KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by G T C KK, GTC KK filed Critical G T C KK
Priority to JP4334723A priority Critical patent/JP2506539B2/en
Publication of JPH0684888A publication Critical patent/JPH0684888A/en
Application granted granted Critical
Publication of JP2506539B2 publication Critical patent/JP2506539B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Landscapes

  • Formation Of Insulating Films (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

PURPOSE:To acquire a formation method of an insulation film which has good film quality, especially good electrical properties by a plasma CVD method by stopping supply of organic silane material gas without discontinuing discharge after formation of the insulation film and by continuing plasma discharge of acid gas for a specified time. CONSTITUTION:A substrate 17 is set on a sample base 16 and oxygen is introduced into a film formation chamber 14 as acid gas. High frequency power of 250W of 13.56MHz is applied from a high frequency power source 19 Film formation of SiO2 is started. After a specified 1000Angstrom -thick film is formed, an opening/closing valve 21 is closed to stop supply of TEOS. Thereafter, acid gas alone is supplied into the film formation chamber 14 and plasma discharge is continued. High frequency power is stopped and an opening/closing valve 20 is closed. An interior of the film formation chamber 14 is evacuated to high vacuum and then made an atmospheric pressure. The film formation chamber 14 is opened and the substrate 17 wherein an insulation film is formed is taken out.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は絶縁膜の形成方法に関
し、ことに薄膜トランジスタ等のゲート絶縁膜の形成に
きわめて有用な方法に関するものである。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for forming an insulating film, and more particularly to a method very useful for forming a gate insulating film such as a thin film transistor.

【0002】[0002]

【従来の技術】一般に、有機シラン材料と酸化性ガスを
用いたプラズマCVD法による絶縁膜の形成は図3に示
すようなプラズマCVD装置を用いる。酸化性ガスは酸
化性ガス導入口10より開閉バルブ20、マスフローコ
ントローラー12を通されて成膜チャンバー14内に導
入される。一方、有機シラン材料ガスは気化器11より
開閉バルブ21、マスフローコントローラー13を通さ
れて、上記酸化性ガスと同時に成膜チャンバー14内に
導入される。圧力安定後、高周波プラズマ放電により有
機シラン材料ガスを分解、酸化させて基板17上にSi
酸化膜を形成させる。所定の膜厚が形成された後、放電
を止め、両方のガスの供給を止めるという手順でSi酸
化膜の形成が行われる。図4は、従来の絶縁膜の形成方
法を説明するための主要な操作のタイムチャートを示す
ものである。まず、時刻6において有機シラン材料ガス
の開閉バルブ21と酸化性ガスの開閉バルブ20とが同
時に閉から開にされ、成膜チャンバー14内に両方のガ
スが導入され、圧力安定化の為に、時間t0 経過後、時
刻7において高周波電源19より高周波電力が印加さ
れ、プラズマ放電が開始され、基板17上に成膜が開始
される。所定の膜厚成膜後、時刻8において高周波電力
が切られ、同時に有機シラン材料ガスの開閉バルブ21
と、酸化性ガスの開閉バルブ20とが閉じられる。
2. Description of the Related Art Generally, an insulating film is formed by a plasma CVD method using an organic silane material and an oxidizing gas by using a plasma CVD apparatus as shown in FIG. The oxidizing gas is introduced into the film forming chamber 14 through the opening / closing valve 20 and the mass flow controller 12 from the oxidizing gas inlet 10. On the other hand, the organosilane material gas is passed from the vaporizer 11 through the opening / closing valve 21 and the mass flow controller 13 and introduced into the film forming chamber 14 at the same time as the oxidizing gas. After the pressure is stabilized, the high-frequency plasma discharge decomposes and oxidizes the organic silane material gas to form Si on the substrate 17.
Form an oxide film. After the predetermined film thickness is formed, the discharge is stopped and the supply of both gases is stopped to form the Si oxide film. FIG. 4 is a time chart of main operations for explaining the conventional method of forming an insulating film. First, at time 6, the opening / closing valve 21 for the organic silane material gas and the opening / closing valve 20 for the oxidizing gas are simultaneously closed and opened, both gases are introduced into the film forming chamber 14, and for the pressure stabilization, After the lapse of time t 0 , high-frequency power is applied from the high-frequency power source 19 at time 7, plasma discharge is started, and film formation is started on the substrate 17. After forming a film with a predetermined thickness, the high frequency power is turned off at time 8, and at the same time, the opening / closing valve 21 for the organic silane material gas is opened.
Then, the opening / closing valve 20 for the oxidizing gas is closed.

【0003】[0003]

【発明が解決しようとする課題】しかしながら、従来の
絶縁膜の形成方法ではプラズマ放電の初期においては、
酸素ラジカル、酸素イオンの発生量が少なく、酸化が十
分に進まず有機シラン材料ガスの中間反応生成物がSi
酸化膜になりきらずに堆積するため、Si酸化膜中にO
H基、C等の不純物が多量に含まれてしまう。また、成
膜終了時にも、プラズマ放電を停止すると、成膜チャン
バー14内に存在する未反応の有機シラン材料ガスやそ
の中間反応生成物がSi酸化膜表面に堆積してしまう。
このように、従来の絶縁膜の形成方法では成膜したSi
酸化膜の基板17との界面および表面にOH基、C等の
不純物が多量に含まれ、膜質、特に電気特性が悪化して
いた。特にTFT等のゲート絶縁膜に用いる場合には大
きな問題となっていた。そこで、本発明の目的は有機シ
ラン材料ガスと酸化性ガスを用い、プラズマCVD法に
より良好な膜質、特に優れた電気特性を有する絶縁膜の
形成方法を提供することにある。
However, according to the conventional method of forming an insulating film, at the initial stage of plasma discharge,
The amount of oxygen radicals and oxygen ions generated is small, the oxidation does not proceed sufficiently, and the intermediate reaction product of the organosilane material gas is Si.
Since the oxide film is deposited without becoming an oxide film, O
A large amount of impurities such as H group and C are included. Further, when the plasma discharge is stopped even after the film formation is completed, unreacted organic silane material gas existing in the film formation chamber 14 and its intermediate reaction product are deposited on the surface of the Si oxide film.
As described above, the Si film formed by the conventional insulating film forming method is formed.
A large amount of impurities such as OH groups and C were contained in the interface of the oxide film with the substrate 17 and on the surface thereof, and the film quality, particularly the electrical characteristics, deteriorated. In particular, when it is used for a gate insulating film such as TFT, it has been a serious problem. Therefore, an object of the present invention is to provide a method for forming an insulating film having good film quality, particularly excellent electrical characteristics, by a plasma CVD method using an organic silane material gas and an oxidizing gas.

【0004】[0004]

【課題を解決するための手段】かかる課題は、有機シラ
ン材料ガスと酸化性ガスを成膜チャンバーに供給し、プ
ラズマCVD法によって絶縁膜を形成する方法におい
て、成膜チャンバー内に酸化性ガスを先に導入し、プラ
ズマ放電を起こし、所定の時間t1 経過後、放電を中断
させることなく、有機シラン材料ガスを導入して、絶縁
膜を形成後、放電を中断することなく有機シラン材料ガ
スの供給を止め、この後、酸化性ガスのプラズマ放電を
所定の時間t2 続ける方法で解決される。
The object of the present invention is to supply an organic silane material gas and an oxidizing gas to a film forming chamber to form an insulating film by a plasma CVD method. First introduced, plasma discharge is generated, and after a lapse of a predetermined time t 1 , an organosilane material gas is introduced without interrupting the discharge, an insulating film is formed, and then the organosilane material gas without interrupting the discharge. stopping the supply of, after this is solved the plasma discharge of the oxidizing gas at a predetermined time t 2 Continue method.

【0005】また、有機シラン材料ガスと酸化性ガスを
成膜チャンバーに供給し、プラズマCVD法によって絶
縁膜を形成する方法において、成膜チャンバー内に酸化
性ガスを先に導入し、プラズマ放電を起こし、成膜中は
プラズマ放電、酸化性ガスの供給は中断することなく、
有機シラン材料ガスの供給を間欠的に行う方法で解決さ
れる。
Further, in a method of supplying an organic silane material gas and an oxidizing gas to a film forming chamber to form an insulating film by a plasma CVD method, the oxidizing gas is first introduced into the film forming chamber to perform plasma discharge. And the plasma discharge and the supply of oxidizing gas were not interrupted during film formation.
This is solved by a method of intermittently supplying the organosilane material gas.

【0006】以下、本発明を詳しく説明する。図1に本
発明の絶縁膜の形成方法の第一の例を説明するための主
要な操作のタイムチャートを示す。時刻1において図3
に示したプラズマCVD装置の酸化性ガスの開閉バルブ
20を閉から開にし、成膜チャンバー14内に酸化性ガ
スを導入する。ついで、圧力安定化の為時間t0 後、時
刻2において高周波電源19より高周波電力を印加し
て、プラズマ放電を開始する。時刻3において有機シラ
ン材料ガスの開閉バルブ21を開にし、成膜チャンバー
14内に有機シラン材料ガスを導入すると、絶縁膜の形
成が開始される。所定の膜厚成膜後、時刻4において有
機シラン材料ガスの開閉バルブ21を閉じ、成膜チャン
バー14内に酸化性ガスのみを供給し、プラズマ放電を
継続する。時間t2 経過後、時刻5において高周波電力
を切り、同時に酸化性ガスの開閉バルブ20を閉じる。
The present invention will be described in detail below. FIG. 1 shows a time chart of main operations for explaining the first example of the method for forming an insulating film of the present invention. Figure 3 at time 1
The opening / closing valve 20 for the oxidizing gas of the plasma CVD apparatus shown in (4) is opened to closed, and the oxidizing gas is introduced into the film forming chamber 14. Then, after time t 0 for stabilizing the pressure, high frequency power is applied from the high frequency power supply 19 at time 2 to start plasma discharge. At time 3, when the opening / closing valve 21 for the organic silane material gas is opened and the organic silane material gas is introduced into the film forming chamber 14, the formation of the insulating film is started. After forming a film with a predetermined film thickness, at time 4, the opening / closing valve 21 for the organic silane material gas is closed, only the oxidizing gas is supplied into the film forming chamber 14, and the plasma discharge is continued. After a lapse of time t 2 , the high frequency power is turned off at time 5, and at the same time, the oxidizing gas opening / closing valve 20 is closed.

【0007】圧力安定化時間t0 は通常0.5〜2分程
度とされる。酸化性ガスのみを成膜チャンバー14内に
供給しているプラズマ放電時間t1およびt2はそれぞれ
10秒以上であれば安定するが、0.5〜20分の範囲
が好ましい。
The pressure stabilization time t 0 is usually about 0.5 to 2 minutes. The plasma discharge times t 1 and t 2 in which only the oxidizing gas is supplied into the film forming chamber 14 are stable if each is 10 seconds or more, but preferably in a range of 0.5 to 20 minutes.

【0008】有機シラン材料としては、テトラエチルオ
ルソシリケイト(以下、TEOSと略記する。)、ジエ
チルシラン、トリエトキシシラン、テトラメチルシクロ
テトラシロキサンなどの各種有機シラン材料が用いられ
る。酸化性ガスとしては、酸素、亜酸化窒素、オゾン含
有酸素等が用いられる。
As the organic silane material, various organic silane materials such as tetraethylorthosilicate (hereinafter abbreviated as TEOS), diethylsilane, triethoxysilane and tetramethylcyclotetrasiloxane are used. As the oxidizing gas, oxygen, nitrous oxide, ozone-containing oxygen or the like is used.

【0009】つぎに、本発明の絶縁膜の形成方法の第二
の例を説明する。図2に、この第二の例の絶縁膜の形成
方法を説明するための主要な操作のタイムチャートを示
す。この絶縁膜の形成方法が上述の第一の例と異なると
ころは、時刻3から時刻4の間において、プラズマ放
電、酸化性ガスの供給は中断することなく、有機シラン
材料ガスの供給を行い続ける代わりに、有機シラン材料
ガスの供給を間欠的に行う。
Next, a second example of the method for forming an insulating film of the present invention will be described. FIG. 2 shows a time chart of main operations for explaining the insulating film forming method of the second example. The difference of this insulating film forming method from the first example is that between time 3 and time 4, the plasma discharge and the supply of the oxidizing gas are continuously supplied without interruption. Instead, the organic silane material gas is intermittently supplied.

【0010】その方法の一例としては、時刻3におい
て、プラズマ放電、酸化性ガスの供給は中断することな
く、有機シラン材料ガスの開閉バルブ21を開にし、成
膜チャンバー14内に有機シラン材料ガスを導入して、
絶縁膜の形成を開始させる。そして、時間tA経過後、
有機シラン材料ガスの開閉バルブ21を閉じ、成膜チャ
ンバー14内に酸化性ガスのみを供給し、プラズマ放電
を継続する。時間tB経過後、再び有機シラン材料ガス
の開閉バルブ21を開にし、成膜チャンバー14内に有
機シラン材料ガスを導入する。このように有機シラン材
料ガスの供給は開閉バルブ21の開閉動作を複数回繰り
返すことにより間欠的に行われる。そして、所定の膜厚
成膜後、時刻4において有機シラン材料ガスの開閉バル
ブ21を閉じ、成膜チャンバー14内に酸化性ガスのみ
を供給し、プラズマ放電を継続する。
As an example of the method, at time 3, the opening / closing valve 21 of the organic silane material gas is opened without interrupting the plasma discharge and the supply of the oxidizing gas, and the organic silane material gas is placed in the film forming chamber 14. Introduced
The formation of the insulating film is started. Then, after the lapse of time t A ,
The opening / closing valve 21 for the organic silane material gas is closed, only the oxidizing gas is supplied into the film forming chamber 14, and the plasma discharge is continued. After the time t B has elapsed, the opening / closing valve 21 for the organosilane material gas is opened again, and the organosilane material gas is introduced into the film forming chamber 14. As described above, the supply of the organic silane material gas is intermittently performed by repeating the opening / closing operation of the opening / closing valve 21 a plurality of times. After the film having a predetermined film thickness is formed, at time 4, the opening / closing valve 21 for the organic silane material gas is closed, only the oxidizing gas is supplied into the film forming chamber 14, and the plasma discharge is continued.

【0011】有機シラン材料ガスの供給時間tAおよび
供給停止時間tBはそれぞれ10秒以上あれば放電は安
定するが、0.5〜10分の範囲が好ましい。開閉動作
の繰り返しの回数は多い方が特性は良くなるが、処理時
間との兼ね合いから実際の成膜においては2〜10回で
行うことが好ましい。
The discharge is stable when the supply time t A and the supply stop time t B of the organic silane material gas are each 10 seconds or more, but the range is preferably 0.5 to 10 minutes. The characteristics improve as the number of times of repeating the opening and closing operation increases, but it is preferable that the number of times of repeating the opening and closing operation is 2 to 10 times in actual film formation in consideration of the processing time.

【0012】この発明の絶縁膜の形成方法においては、
プラズマ放電直後の不安定時には有機シラン材料ガスを
供給せず十分に酸素ラジカル、酸素イオンを発生させ、
成膜チャンバー内及び絶縁膜が形成される基板上の吸着
分子を減少させ、酸素ラジカルおよび酸素イオンが十分
に発生した状態で有機シラン材料ガスを導入し成膜する
ため、成膜初期に形成される絶縁膜中のOH基、C等の
不純物が減少する。
In the method of forming an insulating film according to the present invention,
When unstable immediately after plasma discharge, the organic silane material gas is not supplied and oxygen radicals and oxygen ions are sufficiently generated,
Since the adsorbed molecules are reduced in the film forming chamber and on the substrate on which the insulating film is formed and the organic silane material gas is introduced to form a film in a state where oxygen radicals and oxygen ions are sufficiently generated, the film is formed at the initial stage of film formation Impurities such as OH groups and C in the insulating film are reduced.

【0013】また、有機シラン材料ガスを間欠的に供給
することにより、まず最初に有機シラン材料ガスが成膜
チャンバー内に供給されると、この有機シラン材料ガス
がプラズマ放電により分解され、絶縁膜が成膜される。
その後、有機シラン材料ガスの供給が停止されると、酸
化性ガスのみのプラズマ放電により発生した酸素ラジカ
ル、酸素イオン等によって、先に成膜された絶縁膜中の
OH基、C等の不純物の除去が効率良く行われる。
Further, by intermittently supplying the organic silane material gas, when the organic silane material gas is first supplied into the film forming chamber, the organic silane material gas is decomposed by plasma discharge and the insulating film is formed. Is formed.
After that, when the supply of the organic silane material gas is stopped, oxygen radicals, oxygen ions, etc. generated by the plasma discharge of only the oxidizing gas cause impurities such as OH groups and C in the previously formed insulating film. Removal is performed efficiently.

【0014】また、プラズマ放電終了時には有機シラン
材料ガスは先に供給が止められているため、酸化性ガス
のプラズマにより残留した有機シラン材料ガス等は十分
に分解、酸化され、H2 O,CO,CO2 等の気体分子
として排気することができるため、プラズマ放電を終了
しても、形成した絶縁膜上に酸化不十分な中間反応生成
物が堆積することはなくなり、絶縁膜表面の不純物が減
少する。従って、この発明の絶縁膜の形成方法によって
絶縁膜を形成すると、絶縁膜における、半導体との界面
部分および表面部分の不純物のみならず、内部の不純物
も効率良く除去でき、このために絶縁膜の膜質、特に電
気特性が向上する。
Further, since the supply of the organosilane material gas is stopped before the plasma discharge is completed, the organosilane material gas or the like remaining due to the plasma of the oxidizing gas is sufficiently decomposed and oxidized to generate H 2 O and CO. , CO 2 and the like can be exhausted as gas molecules, so that even if the plasma discharge is terminated, an intermediate reaction product which is insufficiently oxidized is not deposited on the formed insulating film, and impurities on the surface of the insulating film are removed. Decrease. Therefore, when the insulating film is formed by the method for forming an insulating film according to the present invention, not only impurities in the interface portion with the semiconductor and in the surface portion of the insulating film but also internal impurities can be efficiently removed. The film quality, especially the electrical characteristics are improved.

【0015】[0015]

【実施例】以下この発明を実施例を用いて説明するが、
この発明を限定するものではない。 (実施例1)まず、図3に示したものと同様のプラズマ
CVD装置を用意した。そして、成膜チャンバー14内
のカソード電極15の下方にあり、平行平板電極のアノ
ードを構成する試料台16上に基板17をセットし、成
膜チャンバー14内部の空気を真空排気口18から排気
し高真空とした。試料台16内部にはヒーターが内蔵さ
れており、基板17がプロセス中315℃に保たれるよ
うに制御した。
EXAMPLES The present invention will be described below with reference to examples.
It does not limit the invention. Example 1 First, a plasma CVD apparatus similar to that shown in FIG. 3 was prepared. Then, the substrate 17 is set on the sample table 16 below the cathode electrode 15 in the film forming chamber 14 and constituting the anode of the parallel plate electrode, and the air inside the film forming chamber 14 is exhausted from the vacuum exhaust port 18. High vacuum was used. A heater was built in the sample stage 16 and the substrate 17 was controlled so as to be kept at 315 ° C. during the process.

【0016】そして、図1に示す本発明による絶縁膜の
形成方法の第一の例を説明するためのタイムチャートに
従ってガス導入、高周波電力の印加を制御した。まず、
時刻1において酸化性ガスの開閉バルブ20を開にし
て、酸化性ガス導入口10からマスフローコントローラ
ー12を通して、成膜チャンバー14内に酸化性ガスと
して酸素を導入した。酸素の流量はマスフローコントロ
ーラー12により100sccm(スタンダードcc/
min)に制御した。成膜チャンバー14内の圧力は真
空排気口18に接続されたコンダクタンスバルブ22を
可変することにより1.0Torrに制御した。
Then, gas introduction and high frequency power application were controlled according to the time chart for explaining the first example of the method for forming an insulating film according to the present invention shown in FIG. First,
At time 1, the opening / closing valve 20 for the oxidizing gas was opened, and oxygen was introduced as the oxidizing gas into the film forming chamber 14 through the mass flow controller 12 from the oxidizing gas inlet 10. The flow rate of oxygen is 100 sccm (standard cc /
min). The pressure in the film forming chamber 14 was controlled to 1.0 Torr by changing the conductance valve 22 connected to the vacuum exhaust port 18.

【0017】ついで、圧力安定化のために時間t0 とし
て1分経過後、時刻2において高周波電源19より1
3.56MHzの高周波電力250Wを印加した。酸化
性ガスのみ供給によりプラズマ放電を続け、時間t1
して10分経過後、時刻3において有機シラン材料ガス
の開閉バルブ21を開にし、マスフローコントローラー
13を通して、成膜チャンバー14内にTEOSを導入
した。TEOSの流量はマスフローコントローラー13
により8sccmに制御した。このとき気化器11内の
TEOSを70℃、気化器11から成膜チャンバー14
までの配管を95℃に加熱した。
Then, after a lapse of 1 minute as time t 0 for pressure stabilization, at time 2, the high frequency power supply 19
250 W of high frequency power of 3.56 MHz was applied. Plasma discharge was continued by supplying only the oxidizing gas, and after 10 minutes at time t 1 , the opening / closing valve 21 of the organosilane material gas was opened at time 3, and TEOS was introduced into the film forming chamber 14 through the mass flow controller 13. . The flow rate of TEOS is the mass flow controller 13
Was controlled to 8 sccm. At this time, TEOS in the vaporizer 11 is set to 70 ° C.
Was heated to 95 ° C.

【0018】ついで、SiO2 の成膜が開始され、所定
の膜厚1000Å成膜後、時刻4において開閉バルブ2
1を閉じ、TEOSの供給を止めた。この後、時間t2
として10分間、酸化性ガスのみを成膜チャンバー14
内に供給し、プラズマ放電を継続した。時刻5において
高周波電力を止め、開閉バルブ20を閉じた。そして、
成膜チャンバー14内を高真空に排気し、その後大気圧
にし成膜チャンバー14を開けて絶縁膜が形成された基
板17を取り出した。
Then, the film formation of SiO 2 is started, and after the predetermined film thickness of 1000 Å has been formed, at the time 4, the opening / closing valve 2
1 was closed and the supply of TEOS was stopped. After this time t 2
For 10 minutes, only the oxidizing gas is deposited in the film forming chamber 14
And then plasma discharge was continued. At time 5, the high frequency power was stopped and the on-off valve 20 was closed. And
The inside of the film forming chamber 14 was evacuated to a high vacuum, and then the atmospheric pressure was set, the film forming chamber 14 was opened, and the substrate 17 on which the insulating film was formed was taken out.

【0019】(実施例2)図3に示したものと同様のプ
ラズマCVD装置を用意し、時刻3から時刻4間につい
ては図2に示す本発明による絶縁膜の形成方法の第二の
例を説明するためのタイムチャートに従って以下に述べ
るようにしてガス導入、高周波電力の印加を制御した以
外は実施例1と同様にして基板17に絶縁膜を形成し
た。時刻3において、プラズマ放電、酸化性ガスの供給
を中断することなく、有機シラン材料ガスの開閉バルブ
21を開にし、マスフローコントローラー13を通し
て、成膜チャンバー14内にTEOSを導入した。TE
OSの流量はマスフローコントローラー13により8s
ccmに制御した。このとき気化器11内のTEOSを
70℃、気化器11から成膜チャンバー14までの配管
を95℃に加熱した。ついで、SiO2 の成膜が開始さ
れ、時間tAとして2分経過後、有機シラン材料ガスの
開閉バルブ21を閉にし、酸化性ガスのみを成膜チャン
バー14内に供給し、プラズマ放電を継続した。酸化性
ガスのみによるプラズマ放電を時間tBとして2分経過
後、有機シラン材料ガスの開閉バルブ21を開にし、成
膜チャンバー14内に有機シラン材料ガスを供給し、絶
縁膜の成膜を行った。このような有機シラン材料ガスの
開閉バルブ21の開閉動作をそれぞれ時間tA、tBによ
り4回繰り返した。所定の膜厚1000Å成膜後、時刻
4において開閉バルブ21を閉じ、TEOSの供給を止
めた。
(Embodiment 2) A plasma CVD apparatus similar to that shown in FIG. 3 is prepared, and between time 3 and time 4, a second example of the method for forming an insulating film according to the present invention shown in FIG. An insulating film was formed on the substrate 17 in the same manner as in Example 1 except that gas introduction and high frequency power application were controlled as described below according to a time chart for explanation. At time 3, the open / close valve 21 for the organosilane material gas was opened without interrupting the plasma discharge and the supply of the oxidizing gas, and TEOS was introduced into the film forming chamber 14 through the mass flow controller 13. TE
The flow rate of OS is 8s by the mass flow controller 13.
Controlled to ccm. At this time, TEOS in the vaporizer 11 was heated to 70 ° C., and a pipe from the vaporizer 11 to the film forming chamber 14 was heated to 95 ° C. Then, the SiO 2 film formation is started, and after a lapse of 2 minutes at time t A , the opening / closing valve 21 of the organic silane material gas is closed, only the oxidizing gas is supplied into the film formation chamber 14, and the plasma discharge is continued. did. After the plasma discharge using only the oxidizing gas for 2 minutes at time t B , the opening / closing valve 21 for the organic silane material gas is opened, the organic silane material gas is supplied into the film forming chamber 14, and the insulating film is formed. It was Such an opening / closing operation of the opening / closing valve 21 for the organic silane material gas was repeated four times at times t A and t B , respectively. After film formation with a predetermined film thickness of 1000Å, the opening / closing valve 21 was closed at time 4 to stop the supply of TEOS.

【0020】(試験例)本発明の絶縁膜の形成方法の第
一および第二の例と従来の絶縁膜の形成方法により成膜
パラメータ(ガス流量,圧力,高周波電力,基板温度
等)は同一にしてそれぞれ図1、図2および図4のタイ
ムチャートに基づいて、Siウェハー上に1000Åの
SiO2 膜を成膜しその上に面積0.05cm2のAl
電極をもつMOSキャパシタを作成して特性の比較を行
った。その結果を表1に示す。
(Test Example) The deposition parameters (gas flow rate, pressure, high frequency power, substrate temperature, etc.) are the same between the first and second examples of the insulating film forming method of the present invention and the conventional insulating film forming method. Then, based on the time charts of FIG. 1, FIG. 2 and FIG. 4, respectively, a 1000 Å SiO 2 film is formed on a Si wafer, and an Al having an area of 0.05 cm 2 is formed thereon.
A MOS capacitor having electrodes was prepared and the characteristics were compared. The results are shown in Table 1.

【0021】[0021]

【表1】 [Table 1]

【0022】表1中、リーク電流は2MVcm-1の電界
を加えて測定されたものである。上記表1に示した結果
から明らかなように、本発明の絶縁膜の形成方法の第一
の例および第二の例によって形成した絶縁膜は従来の形
成方法によるものより絶縁耐圧が高く、界面準位密度、
リーク電流が小さく、非常に良好な電気特性を有してい
ることが確認された。これは薄膜トランジスタ、MIS
トランジスタなどのゲート絶縁膜として十分な特性をも
つものである。
In Table 1, the leak current is measured by applying an electric field of 2 MVcm -1 . As is clear from the results shown in Table 1, the insulating film formed by the first and second examples of the method for forming an insulating film of the present invention has a higher withstand voltage than that by the conventional forming method, Level density,
It was confirmed that the leak current was small and the electric characteristics were very good. This is a thin film transistor, MIS
It has sufficient characteristics as a gate insulating film for transistors and the like.

【0023】[0023]

【発明の効果】以上詳細に説明したように、この発明の
絶縁膜の形成方法は、有機シラン材料ガスと酸化性ガス
を成膜チャンバーに供給し、プラズマCVD法によって
絶縁膜を形成する場合に、絶縁膜の膜質、特に電気特性
を大幅に向上させることができる。従って、低温で良質
のゲート絶縁膜が形成でき、とりわけ低融点の基板を用
いた薄膜トランジスタのゲート絶縁膜の形成には特に有
効である。
As described in detail above, the method for forming an insulating film according to the present invention is applied to a case where an organic silane material gas and an oxidizing gas are supplied to a film forming chamber to form an insulating film by a plasma CVD method. In addition, the film quality of the insulating film, especially the electrical characteristics can be significantly improved. Therefore, a good-quality gate insulating film can be formed at a low temperature, and it is particularly effective for forming a gate insulating film of a thin film transistor using a substrate having a low melting point.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明の絶縁膜の形成方法の第一の例を説明す
るためのタイムチャートである。
FIG. 1 is a time chart for explaining a first example of a method for forming an insulating film of the present invention.

【図2】本発明の絶縁膜の形成方法の第二の例を説明す
るためのタイムチャートである。
FIG. 2 is a time chart for explaining a second example of the insulating film forming method of the present invention.

【図3】絶縁膜の形成方法に用いられるプラズマCVD
装置の模式図である。
FIG. 3 is a plasma CVD used in a method for forming an insulating film.
It is a schematic diagram of an apparatus.

【図4】従来の絶縁膜の形成方法を説明するためのタイ
ムチャートである。
FIG. 4 is a time chart for explaining a conventional method of forming an insulating film.

【符号の説明】[Explanation of symbols]

10 ガス導入口 11 気化器 12 マスフローコントローラー 13 マスフローコントローラー 14 成膜チャンバー 15 カソード電極 16 試料台 17 基板 18 真空排気口 19 高周波電源 20 開閉バルブ 21 開閉バルブ 22 コンダクタンスバルブ 10 Gas Inlet Port 11 Vaporizer 12 Mass Flow Controller 13 Mass Flow Controller 14 Film Forming Chamber 15 Cathode Electrode 16 Sample Stage 17 Substrate 18 Vacuum Exhaust Port 19 High Frequency Power Supply 20 Open / Close Valve 21 Open / Close Valve 22 Conductance Valve

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.5 識別記号 庁内整理番号 FI 技術表示箇所 H01L 29/784 ─────────────────────────────────────────────────── ─── Continuation of the front page (51) Int.Cl. 5 Identification code Office reference number FI technical display location H01L 29/784

Claims (7)

【特許請求の範囲】[Claims] 【請求項1】 有機シラン材料ガスと酸化性ガスを成膜
チャンバーに供給し、プラズマCVD法によって絶縁膜
を形成する方法において、成膜チャンバー内に酸化性ガ
スを先に導入し、プラズマ放電を起こし、所定の時間t
1 経過後、放電を中断させることなく、有機シラン材料
ガスを導入して、絶縁膜を形成後、放電を中断すること
なく有機シラン材料ガスの供給を止め、この後、酸化性
ガスのプラズマ放電を所定の時間t2 続けることを特徴
とする絶縁膜の形成方法。
1. A method of supplying an organic silane material gas and an oxidizing gas to a film forming chamber to form an insulating film by a plasma CVD method, wherein the oxidizing gas is first introduced into the film forming chamber to perform plasma discharge. Wake up for a predetermined time t
After 1 lapse of time, the organosilane material gas was introduced without interrupting the discharge to form the insulating film, and then the supply of the organosilane material gas was stopped without interrupting the discharge, after which the plasma discharge of the oxidizing gas was performed. Is continued for a predetermined time t 2, a method of forming an insulating film.
【請求項2】 有機シラン材料ガスと酸化性ガスを成膜
チャンバーに供給し、プラズマCVD法によって絶縁膜
を形成する方法において、成膜チャンバー内に酸化性ガ
スを先に導入し、プラズマ放電を起こし、成膜中はプラ
ズマ放電、酸化性ガスの供給は中断することなく、有機
シラン材料ガスの供給を間欠的に行うことを特徴とする
絶縁膜の形成方法。
2. A method of supplying an organic silane material gas and an oxidizing gas to a film forming chamber to form an insulating film by a plasma CVD method, wherein the oxidizing gas is first introduced into the film forming chamber to perform plasma discharge. A method for forming an insulating film, characterized in that the plasma discharge and the supply of the oxidizing gas are not interrupted during the film formation and the supply of the organosilane material gas is intermittently performed.
【請求項3】 酸化性ガスの放電時間t1 およびt2
それぞれ0.5〜20分とすることを特徴とする請求項
1記載の絶縁膜の形成方法。
3. The method for forming an insulating film according to claim 1, wherein the oxidizing gas discharge times t 1 and t 2 are each 0.5 to 20 minutes.
【請求項4】 有機シラン材料ガスの供給の断続を成膜
中に2回以上行うことを特徴とする請求項2記載の絶縁
膜の形成方法。
4. The method for forming an insulating film according to claim 2, wherein the supply of the organosilane material gas is intermittently performed twice or more during film formation.
【請求項5】 有機シラン材料ガスがテトラエチルオル
ソシリケイトであることを特徴とする請求項1〜4のい
ずれか一つに記載の絶縁膜の形成方法。
5. The method for forming an insulating film according to claim 1, wherein the organic silane material gas is tetraethyl orthosilicate.
【請求項6】 有機シラン材料ガスが、トリエトキシシ
ラン、ジエチルシラン、テトラメチルシクロテトラシロ
キサンのうちから選択される一種であることを特徴とす
る請求項1〜4のいずれか一つに記載の絶縁膜の形成方
法。
6. The organic silane material gas is one selected from triethoxysilane, diethylsilane, and tetramethylcyclotetrasiloxane, according to any one of claims 1 to 4. Method of forming insulating film.
【請求項7】 酸化性ガスが、酸素であることを特徴と
する請求項1〜6のいずれか一つに記載の絶縁膜の形成
方法。
7. The method for forming an insulating film according to claim 1, wherein the oxidizing gas is oxygen.
JP4334723A 1992-02-27 1992-12-15 Method of forming insulating film Expired - Lifetime JP2506539B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP4334723A JP2506539B2 (en) 1992-02-27 1992-12-15 Method of forming insulating film

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP4175992 1992-02-27
JP4-41759 1992-02-27
JP4334723A JP2506539B2 (en) 1992-02-27 1992-12-15 Method of forming insulating film

Publications (2)

Publication Number Publication Date
JPH0684888A true JPH0684888A (en) 1994-03-25
JP2506539B2 JP2506539B2 (en) 1996-06-12

Family

ID=26381414

Family Applications (1)

Application Number Title Priority Date Filing Date
JP4334723A Expired - Lifetime JP2506539B2 (en) 1992-02-27 1992-12-15 Method of forming insulating film

Country Status (1)

Country Link
JP (1) JP2506539B2 (en)

Cited By (291)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001127054A (en) * 1999-10-29 2001-05-11 Applied Materials Inc Equipment and method of forming thin film
JP2001291872A (en) * 2000-02-03 2001-10-19 Semiconductor Energy Lab Co Ltd Manufacturing of semiconductor device
US6338874B1 (en) 1993-01-28 2002-01-15 Applied Materials, Inc. Method for multilayer CVD processing in a single chamber
JP2002246381A (en) * 2001-02-15 2002-08-30 Anelva Corp Cvd method
JP2002367977A (en) * 2001-06-04 2002-12-20 Hitachi Kokusai Electric Inc Manufacturing method of semiconductor device and substrate treatment method and apparatus therefor
JP2005064518A (en) * 2003-08-18 2005-03-10 Asm Japan Kk Method of forming a low dielectric film
JP2005510872A (en) * 2001-11-26 2005-04-21 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド Method for forming an oxynitride spacer for a metal gate electrode using a PECVD process in a silicon-deficient atmosphere
US6951828B2 (en) 1995-11-10 2005-10-04 Semiconductor Energy Laboratory Co., Ltd. Plasma CVD method
JP2007077513A (en) * 2006-12-11 2007-03-29 Semiconductor Energy Lab Co Ltd Film-forming method and method for producing thin-film transistor
JP2009004786A (en) * 2007-06-21 2009-01-08 Asm Internatl Nv Method for depositing thin film by mixed pulsed cvd and ald
JP2011054968A (en) * 2009-09-03 2011-03-17 Asm Japan Kk METHOD FOR FORMING CONFORMAL DIELECTRIC FILM HAVING Si-N COMBINATION BY PECVD
JP2015515744A (en) * 2012-03-09 2015-05-28 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated Barrier materials for display devices
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
JP2020053469A (en) * 2018-09-25 2020-04-02 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing apparatus, and program
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US12027365B2 (en) 2020-11-24 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63224222A (en) * 1987-03-12 1988-09-19 Nippon Telegr & Teleph Corp <Ntt> Thin film formation and device therefor
JPH0293071A (en) * 1988-09-29 1990-04-03 Toshiba Corp Thin film formation
JPH0344472A (en) * 1989-07-11 1991-02-26 Seiko Epson Corp Production of plasma thin film
JPH03155625A (en) * 1989-11-14 1991-07-03 Seiko Epson Corp Manufacture of plasma cvd film

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63224222A (en) * 1987-03-12 1988-09-19 Nippon Telegr & Teleph Corp <Ntt> Thin film formation and device therefor
JPH0293071A (en) * 1988-09-29 1990-04-03 Toshiba Corp Thin film formation
JPH0344472A (en) * 1989-07-11 1991-02-26 Seiko Epson Corp Production of plasma thin film
JPH03155625A (en) * 1989-11-14 1991-07-03 Seiko Epson Corp Manufacture of plasma cvd film

Cited By (368)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6338874B1 (en) 1993-01-28 2002-01-15 Applied Materials, Inc. Method for multilayer CVD processing in a single chamber
US6951828B2 (en) 1995-11-10 2005-10-04 Semiconductor Energy Laboratory Co., Ltd. Plasma CVD method
US7071128B2 (en) 1995-11-10 2006-07-04 Semiconductor Energy Laboratory Co., Ltd. Plasma CVD method
US7452829B2 (en) 1995-11-10 2008-11-18 Semiconductor Energy Laboratory Co., Ltd. Plasma CVD method
JP4498503B2 (en) * 1999-10-29 2010-07-07 アプライド マテリアルズ インコーポレイテッド Thin film forming apparatus and thin film forming method
JP2001127054A (en) * 1999-10-29 2001-05-11 Applied Materials Inc Equipment and method of forming thin film
JP2001291872A (en) * 2000-02-03 2001-10-19 Semiconductor Energy Lab Co Ltd Manufacturing of semiconductor device
JP2002246381A (en) * 2001-02-15 2002-08-30 Anelva Corp Cvd method
JP2002367977A (en) * 2001-06-04 2002-12-20 Hitachi Kokusai Electric Inc Manufacturing method of semiconductor device and substrate treatment method and apparatus therefor
JP4703038B2 (en) * 2001-06-04 2011-06-15 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
JP2005510872A (en) * 2001-11-26 2005-04-21 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド Method for forming an oxynitride spacer for a metal gate electrode using a PECVD process in a silicon-deficient atmosphere
JP2005064518A (en) * 2003-08-18 2005-03-10 Asm Japan Kk Method of forming a low dielectric film
JP4566651B2 (en) * 2003-08-18 2010-10-20 日本エー・エス・エム株式会社 Method for forming a low relative dielectric constant film
JP4515440B2 (en) * 2006-12-11 2010-07-28 株式会社半導体エネルギー研究所 Method for manufacturing thin film transistor
JP2007077513A (en) * 2006-12-11 2007-03-29 Semiconductor Energy Lab Co Ltd Film-forming method and method for producing thin-film transistor
JP2009004786A (en) * 2007-06-21 2009-01-08 Asm Internatl Nv Method for depositing thin film by mixed pulsed cvd and ald
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011054968A (en) * 2009-09-03 2011-03-17 Asm Japan Kk METHOD FOR FORMING CONFORMAL DIELECTRIC FILM HAVING Si-N COMBINATION BY PECVD
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
JP2017022385A (en) * 2012-03-09 2017-01-26 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated Barrier material for display device
JP2015515744A (en) * 2012-03-09 2015-05-28 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated Barrier materials for display devices
US10319862B2 (en) 2012-03-09 2019-06-11 Versum Materials Us, Llc Barrier materials for display devices
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US12000042B2 (en) 2016-12-15 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US12020938B2 (en) 2018-03-27 2024-06-25 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP2020053469A (en) * 2018-09-25 2020-04-02 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing apparatus, and program
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11996304B2 (en) 2019-07-16 2024-05-28 Asm Ip Holding B.V. Substrate processing device
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US12027365B2 (en) 2020-11-24 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Also Published As

Publication number Publication date
JP2506539B2 (en) 1996-06-12

Similar Documents

Publication Publication Date Title
JP2506539B2 (en) Method of forming insulating film
US7723242B2 (en) Enhanced thin-film oxidation process
JP4408653B2 (en) Substrate processing method and semiconductor device manufacturing method
US7462571B2 (en) Film formation method and apparatus for semiconductor process for forming a silicon nitride film
JP4850871B2 (en) Insulating film formation method
JP3594947B2 (en) Method for forming insulating film, method for manufacturing semiconductor device, substrate processing apparatus
JP4102072B2 (en) Semiconductor device
US5412246A (en) Low temperature plasma oxidation process
US20010006843A1 (en) Method for forming a gate insulating film for semiconductor devices
US20070235421A1 (en) Substrate treating method and production method for semiconductor device
JPH05267480A (en) Semiconductor device and its manufacture
US6514883B2 (en) Method of fabricating semiconductor device
JP3529466B2 (en) Thin film formation method
JP4224044B2 (en) Manufacturing method of semiconductor device
JPH0790589A (en) Formation of silicon oxidized film
JP2742381B2 (en) Method of forming insulating film
JPH0574763A (en) Formation of gate insulating film
JP2006216774A (en) Method of forming insulating film
JP4088275B2 (en) Insulating film formation method
JP3422960B2 (en) Method for manufacturing semiconductor device
JPH0645593A (en) Manufacture of transistor
JP2001345315A (en) Method of manufacturing thin film
KR20080044914A (en) Method for metal silicate film formation and recording medium
JPS5893242A (en) Formation of nitride film
JPH08269706A (en) Production of silicon dioxide insulating film

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 19960213

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080402

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090402

Year of fee payment: 13

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100402

Year of fee payment: 14

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100402

Year of fee payment: 14

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110402

Year of fee payment: 15

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110402

Year of fee payment: 15

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120402

Year of fee payment: 16

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130402

Year of fee payment: 17

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130402

Year of fee payment: 17

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130402

Year of fee payment: 17