US20060024439A2 - System for controlling the sublimation of reactants - Google Patents

System for controlling the sublimation of reactants Download PDF

Info

Publication number
US20060024439A2
US20060024439A2 US10/463,309 US46330903A US2006024439A2 US 20060024439 A2 US20060024439 A2 US 20060024439A2 US 46330903 A US46330903 A US 46330903A US 2006024439 A2 US2006024439 A2 US 2006024439A2
Authority
US
United States
Prior art keywords
precursor
thermally conductive
vessel
solid
conductive elements
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/463,309
Other versions
US20030232138A1 (en
US7601225B2 (en
Inventor
Marko Tuominen
Eric Shero
Mohith Verghese
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM International NV
Original Assignee
ASM International NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM International NV filed Critical ASM International NV
Priority to US10/463,309 priority Critical patent/US7601225B2/en
Assigned to ASM INTERNATIONAL N.V. reassignment ASM INTERNATIONAL N.V. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SHERO, ERIC, VERGHESE, MOHITH, TUOMINEN, MARKO
Publication of US20030232138A1 publication Critical patent/US20030232138A1/en
Publication of US20060024439A2 publication Critical patent/US20060024439A2/en
Priority to US12/170,801 priority patent/US7851019B2/en
Application granted granted Critical
Publication of US7601225B2 publication Critical patent/US7601225B2/en
Priority to US12/964,222 priority patent/US8309173B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • C23C16/4483Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material using a porous body
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]

Definitions

  • the present invention is related to solid precursor sources used for the deposition of thin films on substrates. More specifically, the present invention is related to the enhancement of thermal conductivity to the solid precursor inside the precursor source apparatus.
  • solid precursors are used for vapor reactants, because liquid or gaseous precursors for a certain element may not be readily available or do not exist at all.
  • Such solid precursors are useful in a variety of contexts, including, without limitation, atomic layer deposition (ALD) and other semiconductor fabrication processes.
  • ALD atomic layer deposition
  • Solid precursor is loaded into a container that is heated to a sufficiently high temperature.
  • the precursor sublimes and the precursor vapor is conducted to a reaction space where it is used for the deposition of thin film on the substrate surface.
  • Precursor powder generally has rather poor thermal conductivity.
  • the thermal conductivity of the precursor bulk may be low and/or there may be empty voids between the precursor particles with little contact surface between the particles, which is undesirable for the conduction of heat energy through the precursor.
  • the volume of the voids depends on the packing density of the precursor powder.
  • heat transport by convection is also generally inefficient, especially when the precursor volume consists of very small voids between the precursor particles.
  • Heat transport by radiation is also generally inefficient because the temperature differences are relatively small and the radiation view factor (line-of-sight paths available for radiant heating) for the bulk of the powder is essentially zero.
  • the precursor When the precursor vessel is heated from outside, the precursor may have a sufficiently high temperature near the vessel walls while the center parts of the precursor powder are insufficiently heated.
  • This temperature differential results from the long period of time required to heat the centrally located portions of the precursor powder in the precursor vessel.
  • sublimation of the non-centrally located precursor consumes thermal energy, further contributing to the center of the precursor powder volume remaining at a lower temperature than the powder proximate the vessel surfaces throughout the process.
  • this temperature differential can cause the solid source to demonstrate a poor recovery rate after using the precursor source for an extended period, because it becomes more and more difficult to reach an equilibrium state in the gas phase of the precursor vessel.
  • ALD processes are relatively insensitive to small drifts in pulse concentration, significant decreases in the recovery rate can cause problems, such as less than full surface coverage of a semiconductor wafer (or other substrate) with the precursor molecules.
  • the preferred embodiments of the invention provide means for improving the uniformity of the source temperature in the whole solid precursor vessel volume.
  • inert materials that have high thermal conductivity are mixed with the solid precursor to improve the thermal conductivity through the precursor.
  • the inert materials can comprise particles, fibers, rods, or other elements with high thermal conductivity distributed through the precursor vessel and intermixed with precursor powder.
  • a method of producing a vapor from a solid precursor for processing a substrate including placing solid units of precursor into a vessel and interspersing a thermally conductive material through the precursor.
  • the thermally conductive material thereby preferably serves to conduct heat energy throughout the units of precursor.
  • a vapor is then formed through applying heat energy to both the thermally conductive material and the solid units of precursor.
  • the vapor is routed from the vessel to a reaction chamber and reacted to deposit a layer on a substrate.
  • a substrate processing system for forming a vapor from a solid precursor by distributing heat throughout the precursor.
  • the provided system comprises a heat conducting vessel configured to hold units of solid precursor, thermally conductive elements being interspersed with the units of solid precursor.
  • a heater is also provided for heating both the precursor and the thermally conductive elements.
  • a substrate processing system for forming a vapor from a solid precursor.
  • the system includes a vessel configured to hold units of solid precursor and a microwave generator adjacent to the vessel.
  • the generator is configured to transmit heat energy in the form of microwave energy to effectuate the heating of the precursor.
  • a mixture for producing a vapor used in substrate processing includes a batch of precursor for producing a substrate processing vapor and a plurality of heat transmitting solid forms interspersed through the batch of precursor.
  • the plurality of heat transmitting solid forms collectively increase the thermal conductivity of the batch of precursor.
  • implementation of the preferred embodiments decreases crust formation at the precursor surface and enhances the sublimation of the precursor.
  • improving sublimation rate uniformity over the operational life of the precursor batch decreases the amount of unused precursor.
  • Refilling of the precursor vessel is also needed less often due to more efficient material utilization.
  • Another benefit of the present invention is the improvement of the thin film thickness uniformity on substrates by processes employing vapor from the solid precursor by encouraging rapid recovery of the partial pressure of reactant in the gas phase of the vessel to a steady-state value (one such value is P 0 , the saturation vapor pressure of the material) from pulse-to-pulse.
  • Figure 1A is a schematic overview of a precursor source apparatus inline between a gas source and a reaction chamber.
  • Figure 1B is a schematic side view of the precursor source apparatus of Figure 1A , constructed in accordance with a preferred embodiment.
  • Figure 2 is a schematic, partially cut-away perspective view of the precursor source apparatus of Figure 1B , showing a precursor vessel inside a pressure chamber.
  • Figure 3 is a schematic side view of a precursor vessel from the prior art with crust formation at the upper surface of a volume of solid precursor, with arrows showing the direction of heat flow.
  • FIG. 4 is a schematic top view of a vessel insert with thermally conductive rods attached to a vessel base, constructed in accordance with a preferred embodiment.
  • Figure 5 is a schematic perspective view of the insert of Figure 4.
  • Figure 6 is a schematic side view of a precursor source apparatus having thermally conductive units interspersed with the precursor, in accordance with a preferred embodiment.
  • Figure 7 is a schematic, partially cut-away perspective view of a precursor source apparatus having an adjacent microwave unit, in accordance with an embodiment of the present invention.
  • a precursor source apparatus 5 is shown inline between a carrier gas source 4 and a reaction chamber 6 configured to accommodate a substrate 8.
  • Figure 1B shows a preferred embodiment of the precursor source apparatus 5 for vaporizing a solid precursor, the resulting vapor to be employed in substrate processing, having a pressure chamber 10, an inlet 12, an outlet 14 and, preferably, an over-pressure relief valve 16.
  • the inlet 12 is preferably attached to a carrier gas source 4 ( Figure 1A ) via a first conduit 2, while the outlet 14 is preferably attached via a second conduit 3 to the reaction chamber 6 ( Figure 1A).
  • Figure 2 is a schematic, partially cut-away, perspective view of the precursor source apparatus 5 of Figure 1 , showing an inner precursor vessel or crucible 20 inside the pressure chamber 10.
  • the inner crucible 20 located inside the pressure chamber 10 is used as a precursor vessel.
  • the shape and dimensions of the crucible 20 are selected depending on the volume available inside the temperature-controlled pressure chamber 10.
  • the material of the crucible 20 can comprise inert substances, such as quartz glass or silicon carbide.
  • a particle filter 22 is preferably located on top of the crucible 20.
  • the particle filter is located on the vessel outlet 14 or second conduit 3.
  • Porous crucible walls are employed in certain preferred embodiments, the walls of the crucible acting as particle filters as the precursor vapor diffuses through the walls.
  • Figure 3 illustrates crust formation in the prior art, one of the problems that preferred embodiments of the present invention seek to address.
  • Figure 3 shows a schematic side view of the crucible 20 holding a volume of solid precursor 32.
  • a crust 34 tends to form at the upper surface of the solid precursor 32, with arrows 36 in Figure 3 showing the direction of travel of heat which is applied to the crucible 20.
  • FIGs 4 and 5 show still another preferred embodiment of the present invention.
  • An insert 38 is configured to fit within the crucible 20 ( Figure 2 ) or other vessel in which the solid precursor is to be held.
  • the insert 38 is preferably selected to have good heat conductivity.
  • the insert 38 includes heat conducting elements 40, here rods, which are machined and attached to a vessel base 42. Preferably, heat flows along primary axis of the the elements 40, then radially outward into the material, resulting in the whole precursor volume being heated efficiently and uniformly.
  • the elements 40 are preferably formed from, for example, SiC of the highest purity and quality, since the elements 40 can be cleaned and re-used.
  • the heat conducting elements are preferably made of the same material as the vessel, e.g., stainless steel.
  • the elements are formed from SiC-coated graphite, but in other embodiments the elements are uncoated.
  • the elements are formed from heat conducting substances other than SiC and graphite.
  • the insert 38 of Figures 4 and 5 is machined and fitted into the precursor vessel 20. Precursor is then poured into the vessel 20.
  • a precursor vessel 20 is first filled with precursor powder 32 and then elements 40, shown in Figures 4 and 5 as inert, heat-conducting rods, are pushed through the precursor 32 so that the lower ends of the rods 40 touch the bottom of the precursor vessel 20.
  • the rods are attached to the base of the source container 10 and the source container 10 is filled with the precursor powder 32.
  • rods are each configured to be inserted independently of one another.
  • the selected rod density is a function of the heat transfer properties of the solid (i.e. a solid which has poor heat transfer desirably is selected to have a higher density to lessen the heat transfer path).
  • the rods 40 can be located on the bottom plate 42.
  • the rods 40 are arranged, for example, in a polar coordinate type layout, so that each unit of the precursor 32 is located within a certain maximum distance from the rods 40 or the base plate 42.
  • the number of vertical rods 40 attached to a plate depends on the physical properties of the precursor 32. More rods can be used if the heat transport through the precursor is very poor.
  • the thermally conductive elements interspersed with the precursor units can be formed from fixed elements such as, for example, rods, stacked screens, sieves, coils, plates, etc. These units or elements can include both porous and nonporous structures.
  • these fixed units or elements are arranged so as to maximize the total amount of thermally conductive surfaces in contact with precursor, while allowing vapor diffusion from the carrier gas inlet to the outlet.
  • Precursor preferably diffuses through the mixture of powder and thermally conductive elements.
  • the carrier gas convectively transports the chemical in the upper portion of the vessel (or head space) from the inlet to the outlet.
  • Figure 6 shows a preferred embodiment in which loose thermally conductive elements 46 are mixed with precursor powder 32 inside the crucible 20.
  • the conductive elements 46 are powder particles, while in alternate arrangements the conductive elements 46 can comprise larger loose elements, such as fibers, pieces, flakes, pellets, spheres, or rings, etc.
  • the chemical catalyst industry uses elements having similar geometry (beads, pellets, spheres, rings, etc), each being coated with a catalytic material, which would also provide appropriate geometric unit configurations in order to practice alternate arrangements of the present invention.
  • These units or elements 46 can include both porous and nonporous structures.
  • these loose elements 46 are arranged so as to maximize the total amount of thermally conductive surfaces in contact with precursor 32.
  • the elements 46 are formed from an inert, thermally conductive material, such as a ceramic, e.g., SiC. The shapes and materials from which these elements 46 can be formed is discussed in greater detail below.
  • a plurality of conductive elements 46 are interspersed with a batch of precursor to form a mixture.
  • the inclusion of heat transmitting solid forms collectively increases the thermal conductivity of the batch of precursor.
  • FIG. 7 another embodiment of the present invention is shown employing an energy emitter 48 adjacent to the crucible 20.
  • SiC or another inert, energy-absorbing material (not shown) is placed in the precursor vessel, preferably in the illustrated vessel or crucible 20 along with precursor material, so that the precursor (not shown) is in close contact with the energy absorbing material.
  • the precursor vessel is also preferably transparent to the emitted energy.
  • the wavelength of the emitted energy is preferably in the microwave range, although alternate arrangements of the embodiments disclosed herein employ other wavelengths of emitted energy.
  • microwaves heat the microwave-absorbing material and heat flows from the heated material, which can be in accordance with Figures 4 and 5 or 6, to the precursor.
  • a crucible is employed inside a vessel, the crucible itself absorbing microwave energy, thereby transmitting heat from the walls of the crucible to the precursor.
  • precursors that are normally used for the deposition of thin films do not absorb microwaves and, thus, cannot be directly heated with microwaves.
  • substances such as SiC absorb microwaves, allowing SiC to heat up rapidly, thereby effectuating the desired uniform heating of the precursor.
  • other combinations of energy-absorbing material and energy sources operating at different wavelengths will be appreciated in view of the present disclosure.
  • direct heating of the precursor material is sufficient to effectuate the desired precursor vaporization, such that separate microwave absorbing material can be omitted.
  • the inert crucible and load the precursor directly into the bottom of the pressure chamber 10; preferably, the pressure chamber 10 surfaces in contact with the precursor are sufficiently inert.
  • a particle filter is also preferably placed on top of the precursor powder or, in an alternate embodiment, in the conduit (not shown in figures) between the precursor source and reaction chamber.
  • the crucible can be formed to have porous walls to serve as a filter, thereby reducing the need for a separate particle filter.
  • heat conducting material is then mixed with the precursor, while in another preferred embodiment a machined insert is placed into the chamber prior to, during, or after loading of the precursor into the chamber.
  • Heat conducting material or thermally conductive elements can be used in different shapes, e.g., powders, fibers, irregular pieces and machined pieces.
  • Precursor source vessels that do not include any particle filters should preferably be filled with conductive, inert elements (e.g., SiC powder) that are coarse enough to prevent the dusting of the material.
  • Precursor source vessels equipped with a filter can be filled with a wide range of conductor particle size; preferably the smallest particles are stopped by the particle filter.
  • One benefit of using small inert, conductive particles is that very small voids in the precursor powder can be filled and the packing density and the heat conductivity through the precursor volume is increased.
  • One goal of certain preferred embodiments is to provide uniform and high heat conductivity through the precursor powder.
  • the mixture of conductive elements and precursor has a heat conductivity lower than that of pure conductor material and higher than that of pure precursor.
  • inert heat conducting material is added to the solid precursor forming a solid mixture, so that there is preferably about 10 - 80% by volume of heat conducting material in the precursor/conductor mixture and, more preferably, about 30 - 60% by volume of heat conducting material in the mixture. Re-use of the conductor, although possible, is a challenge, especially when the particle size of the conductor is very small.
  • fibers of inert, conductive material such as carbides or carbon
  • Fibers preferably conduct heat efficiently along the fibers through the precursor volume and, also, donate heat to the precursor that is located near the fibers.
  • the fibers are preferably cut into pieces that have a length of about 1 - 20 mm and are mixed with the aforementioned precursor.
  • suitable SiC fibers are sold, e.g., by Reade Advanced Materials, USA.
  • the heat conducting fibers selected preferably distribute heat from the heater or the precursor vessel walls to the precursor.
  • machined pieces of inert, conductive material are used, thereby preferably allowing certain benefits.
  • recovery of heat conducting material is relatively easy after use.
  • the heat conducting material can be cleaned and reused many times. Accordingly, very high purity and expensive heat conducting material can be used economically.
  • Machined pieces can take the form of, for example, rods and plates (as illustrated in Figures 4 and 5), or combinations of those shapes and a variety of other shapes, including, for example, screens mounted at various levels along the length of rods or other extensions.
  • One embodiment employs a combination of machined pieces and smaller heat conducting pieces.
  • Machined pieces preferably effectuate long-distance heat transport from the heater or heated walls of the precursor vessel deep into the precursor volume, while loose heat conducting units (e.g., beads, powder, fibers, etc.) are preferably mixed with the precursor to effectuate the local distribution of heat to the precursor.
  • loose heat conducting units e.g., beads, powder, fibers, etc.
  • heat conducting material in the form of powder is employed in combination with heat conducting material in the form of fibers.
  • rods are employed in conjunction with a powdered heat conducting material.
  • Inert materials for this purpose preferably have a thermal conductivity of at least about 50 W/m*K, more preferably at least about 80 W/m*K, for the applications presented in this patent application at room temperature, and most preferably have such high conductivity under the conditions of use.
  • SiC Silicon carbide
  • SiC is employed in certain preferred embodiments to form the inert material which is added to the crucible or vessel.
  • SiC is an extremely hard material that has high thermal conductivity, negligible vapor pressure and very good resistance against chemicals at elevated temperatures. According to Performance Materials, Inc., USA, the thermal conductivity of SiC is 250 W/m*K at room temperature and about 120 W/m*K at 400°C. A wide range of SiC grades with different purities are commercially available.
  • the color of silicon carbide (SiC) is known to correlate with its purity. According to Reade Advanced Materials, USA, black SiC has a purity of up to about 99.2%, dark green SiC has a purity of about 99.5% and the purity of light green SiC is about 99.7%.
  • SiC is available in the form of powder, grit, crystals, granules, wafers, fibers, platelets, bars and arbitrary form pieces.
  • Typical impurities in SiC that is produced from silica sand and coke are SiO2, elemental Si, free C, and Fe2O3.
  • the inert conductive material is preferably greater than 99% pure.
  • SiC of 99% purity is available from Atlantic Equipment Engineers, USA, in all grit sizes.
  • Poco Graphite, Inc., USA also produces very high purity SiC with a Chemical Vapour Infiltration (CVI) method where pure graphite is contacted with silicon monoxide (SiO) vapor (Eq. 1).
  • CVI Chemical Vapour Infiltration
  • SiO silicon monoxide
  • the amount of impurities in SiC is in the ppm level.
  • SiO(g) + 2C -----> SiC + CO(g) Eq. 1 Cerac, Inc., USA sells vacuum deposition grade (99.5%) SiC pieces that have dimensions in the range of 3 - 12 mm.
  • transition metal carbides are commercially available.
  • the thermal conductivity of transition metal carbides is typically about 50% lower than the thermal conductivity of SiC; the conductivity of transitions metal carbides will often be sufficient to provide improved sublimation.
  • Atlantic Equipment Engineers, USA sells powders of tungsten carbide (WC), vanadium carbide (VC), tantalum carbide (TaC), zirconium carbide (ZrC), hafnium carbide (HfC), molybdenum carbide (MoC), niobium carbide (NbC) and titanium carbide (TiC).
  • the purity of the carbide powder is typically 99.8 - 99.9%.
  • Such metal carbides may also have useful metal-carbon stoichiometries other than 1:1.
  • boron carbides e.g., B4C
  • B4C boron carbides
  • boron carbides are preferably not used for applications that are sensitive to boron impurities.
  • PocoFoamTM manufactured by Poco Graphite, USA, which is very light carbon foam and has a thermal conductivity in the 100 to 150 W/m*K range.
  • a potential material may have a desirable thermal conductivity, but the material reacts with the precursor during processing, i.e., during sublimation. Therefore, the material which is chosen to directly contact the precursor is preferably inert.
  • Certain preferred embodiments allow the use of material that if used alone would be undesirable, by coating a non-inert material having a high thermal conductivity with an inert substance. For example, both graphite and silicon are good conductors of heat. Graphite is very soft and easily forms solid particles that may contaminate substrates in a reaction chamber.
  • a hard inert coating is thus preferably formed on the graphite surface to decrease the number of particles released from the graphite.
  • silicon is an efficient reducing agent if the native oxide on the silicon surface is broken.
  • An inert coating deposited on the silicon surface preferably prevents the reactions between the silicon and precursors.
  • graphite or silicon can, in certain preferred embodiments, be coated with SiC.
  • suitable coating materials are boron carbides, niobium carbide (NbC), tantalum carbide (TaC), titanium carbide (TiC), tungsten carbide (WC), zirconium carbide (ZrC), molybdenum carbide (MoC), vanadium carbide (VC) and hafnium carbide (HfC).
  • metal carbides may also have useful stoichiometries other than 1:1.
  • insufficiently pure carbides are preferably coated with a thin, high-purity CVD carbide film that prevents the contamination of the precursor in the precursor vessel.
  • impurities of the CVD carbide coatings are in the parts per million (ppm) range and, thus, do not significantly contaminate precursors or substrates.
  • Transition metal nitrides such as niobium nitride (NbN), tantalum nitride (TaN), titanium nitride (TiN), tungsten nitride (WN), zirconium nitride (ZrN), molybdenum nitride (MoN), vanadium nitride (VN) and hafnium nitride (HfN), serve as further examples of suitable inert coatings on heat conducting materials.
  • silicon nitride is formed on the silicon surface and, thus, silicon parts and pieces are passivated.
  • a Pulsar® 3000 ALCVDTM reactor available commercially from ASM International, N.V. of Bilthoven, The Netherlands, was used for the deposition of HfO2 from alternating pulses of HfCl4 and H2O via sequential, self-saturating surface reactions.
  • the HfCl4 vapor for those pulses was provided from a solid source.
  • a mixture of 157.6 g of HfCl4 and 200.8 g of 99.5% SiC obtained from Orkla Exolon, Norway was loaded into a source container. In the mixture there was approximately 100 cm3 of each precursor. Thus, the mixture of precursor material and conductive elements was a 1:1 volumetric mixture.
  • the source temperature could be lowered from 200 - 205°C (no carbide fill) to 180°C (with carbide fill). It is believed that the recovery rate (i.e., time after pulsing that sufficient vapor develops for the next pulse) of the source improved because of the increased and more stable sublimation rate of the precursor.
  • the deposition of thin films from the same precursor batch was made possible for a longer time than without the carbide fill.
  • the addition of SiC improved the HfO2 thin film thickness uniformity on the substrates.
  • Use of SiC conductive filler did not affect the number of particles on wafers.
  • ZrCl4 powder was mixed with boron carbide (B4C) powder in a glove box.
  • the mixture was loaded into a source boat made of glass.
  • the source boat with the mixture was then placed in a glass tube to serve as a carrier tube. Ends of the tube were covered with Parafilm to prevent the exposure of ZrCl4 to room air and moisture.
  • the tube was carried from the glove box to an F120 ALD reactor from ASM International N.V., and the source boat was moved from the carrier tube to a source tube (pressure vessel) of the reactor while inert nitrogen gas was flowing out of the source tube.
  • the reactor was evacuated to vacuum with a mechanical vacuum pump.
  • Pressure of the reactor was adjusted to about 3 – 10 mbar with flowing inert nitrogen gas.
  • the reaction chamber was heated to the deposition temperature and the ZrCl4 reactant zone of the reactor was heated also to the sublimation temperature.
  • ZrO2 thin film was deposited from sequential alternating pulses of ZrCl4 and H2O vapor. It was found that the sublimation rate of ZrCl4 increased clearly when boron carbide had been mixed with ZrCl4. Boron carbide helped to transport heat energy through the precursor volume.

Abstract

Abstract of the Disclosure
An apparatus and method improves heating of a solid precursor inside a sublimation vessel. In one embodiment, inert, thermally conductive elements are interspersed among units of solid precursor. For example the thermally conductive elements can comprise a powder, beads, rods, fibers, etc. In one arrangement, microwave energy can directly heat the thermally conductive elements.

Description

    Detailed Description of the Invention Related Applications
  • The present application claims the priority benefit under 35 U.S.C. §119(e) of Provisional Application No. 60/389,528, filed on June 17, 2002. The present application is also related to Provisional Application No. 60/400,210, filed on July 30, 2002, and U.S. Application No. 10/629,029, filed on July 29, 2003, entitled “An Improved Sublimation Bed Employing Carrier Gas Guidance Structures,” which claims priority from Provisional Application No. 60/400,210.
  • The present invention is related to solid precursor sources used for the deposition of thin films on substrates. More specifically, the present invention is related to the enhancement of thermal conductivity to the solid precursor inside the precursor source apparatus.
  • Background and Summary of the Invention
  • Quite often solid precursors are used for vapor reactants, because liquid or gaseous precursors for a certain element may not be readily available or do not exist at all. Such solid precursors are useful in a variety of contexts, including, without limitation, atomic layer deposition (ALD) and other semiconductor fabrication processes. However, it is more difficult to use solid precursors than liquid and gaseous precursors.
  • Basically, the handling of solid precursors seems to be straightforward. Solid precursor is loaded into a container that is heated to a sufficiently high temperature. The precursor sublimes and the precursor vapor is conducted to a reaction space where it is used for the deposition of thin film on the substrate surface.
  • Precursor powder generally has rather poor thermal conductivity. The thermal conductivity of the precursor bulk may be low and/or there may be empty voids between the precursor particles with little contact surface between the particles, which is undesirable for the conduction of heat energy through the precursor. The volume of the voids depends on the packing density of the precursor powder. At low pressures, heat transport by convection is also generally inefficient, especially when the precursor volume consists of very small voids between the precursor particles. Heat transport by radiation is also generally inefficient because the temperature differences are relatively small and the radiation view factor (line-of-sight paths available for radiant heating) for the bulk of the powder is essentially zero.
  • When the precursor vessel is heated from outside, the precursor may have a sufficiently high temperature near the vessel walls while the center parts of the precursor powder are insufficiently heated. This temperature differential results from the long period of time required to heat the centrally located portions of the precursor powder in the precursor vessel. In addition, sublimation of the non-centrally located precursor consumes thermal energy, further contributing to the center of the precursor powder volume remaining at a lower temperature than the powder proximate the vessel surfaces throughout the process. During ALD pulsing, this temperature differential can cause the solid source to demonstrate a poor recovery rate after using the precursor source for an extended period, because it becomes more and more difficult to reach an equilibrium state in the gas phase of the precursor vessel. Although ALD processes are relatively insensitive to small drifts in pulse concentration, significant decreases in the recovery rate can cause problems, such as less than full surface coverage of a semiconductor wafer (or other substrate) with the precursor molecules.
  • Temperature differences inside the precursor vessel lead to the sublimation of the precursor into the gas phase in hotter parts of the vessel volume and to the condensation of the precursor back to the solid phase in cooler parts of the vessel volume. Often the top surface of the precursor seems to be cooler than the rest of the precursor. It has been observed that a hard and dense crust forms over the surface of the heated precursor over time, causing a pulse concentration drift in the process employing the vapor reactant (e.g. ALD). The crust limits the diffusion of precursor molecules from the bulk material to the surface and eventually into the gas phase. The result is a decrease in the observed sublimation rate of the precursor. Initially, the solid precursor source works well but later it is difficult to get a high enough flux of precursor molecules from the source into the reaction chamber, despite the fact that a significant amount of solid precursor remains in the precursor vessel.
  • Another consideration in sublimation vessel design is that prolonged presence of heated corrosive precursors places heavy demands on those materials in contact with precursors in the precursor vessel.
  • The preferred embodiments of the invention provide means for improving the uniformity of the source temperature in the whole solid precursor vessel volume. In accordance with one aspect of the present invention, inert materials that have high thermal conductivity are mixed with the solid precursor to improve the thermal conductivity through the precursor. For example, the inert materials can comprise particles, fibers, rods, or other elements with high thermal conductivity distributed through the precursor vessel and intermixed with precursor powder.
  • In accordance with one embodiment of the invention, a method of producing a vapor from a solid precursor for processing a substrate is provided, including placing solid units of precursor into a vessel and interspersing a thermally conductive material through the precursor. The thermally conductive material thereby preferably serves to conduct heat energy throughout the units of precursor. A vapor is then formed through applying heat energy to both the thermally conductive material and the solid units of precursor. In one embodiment, after vapor formation, the vapor is routed from the vessel to a reaction chamber and reacted to deposit a layer on a substrate.
  • In accordance with another embodiment, a substrate processing system is provided for forming a vapor from a solid precursor by distributing heat throughout the precursor. The provided system comprises a heat conducting vessel configured to hold units of solid precursor, thermally conductive elements being interspersed with the units of solid precursor. A heater is also provided for heating both the precursor and the thermally conductive elements.
  • In accordance with yet another embodiment, a substrate processing system for forming a vapor from a solid precursor is provided. The system includes a vessel configured to hold units of solid precursor and a microwave generator adjacent to the vessel. The generator is configured to transmit heat energy in the form of microwave energy to effectuate the heating of the precursor.
  • In accordance with a further embodiment, a mixture for producing a vapor used in substrate processing is provided. The mixture includes a batch of precursor for producing a substrate processing vapor and a plurality of heat transmitting solid forms interspersed through the batch of precursor. The plurality of heat transmitting solid forms collectively increase the thermal conductivity of the batch of precursor.
  • Advantageously, implementation of the preferred embodiments decreases crust formation at the precursor surface and enhances the sublimation of the precursor. In addition, improving sublimation rate uniformity over the operational life of the precursor batch decreases the amount of unused precursor. Refilling of the precursor vessel is also needed less often due to more efficient material utilization. Another benefit of the present invention is the improvement of the thin film thickness uniformity on substrates by processes employing vapor from the solid precursor by encouraging rapid recovery of the partial pressure of reactant in the gas phase of the vessel to a steady-state value (one such value is P0, the saturation vapor pressure of the material) from pulse-to-pulse.
  • For purposes of summarizing the invention and the advantages achieved over the prior art, certain objects and advantages of the invention have been described herein above. Of course, it is to be understood that not necessarily all such objects or advantages may be achieved in accordance with any particular embodiment of the invention. Thus, for example, those skilled in the art will recognize that the invention may be embodied or carried out in a manner that achieves or optimizes one advantage or group of advantages as taught herein without necessarily achieving other objects or advantages as may be taught or suggested herein.
  • All of these embodiments are intended to be within the scope of the invention herein disclosed. These and other embodiments of the present invention will become readily apparent to those skilled in the art from the following detailed description of the preferred embodiments having reference to the attached figures, the invention not being limited to any particular preferred embodiment(s) disclosed.
  • Brief Description of the Drawings
  • Figure 1A is a schematic overview of a precursor source apparatus inline between a gas source and a reaction chamber.
  • Figure 1B is a schematic side view of the precursor source apparatus of Figure 1A, constructed in accordance with a preferred embodiment.
  • Figure 2 is a schematic, partially cut-away perspective view of the precursor source apparatus of Figure 1B, showing a precursor vessel inside a pressure chamber.
  • Figure 3 is a schematic side view of a precursor vessel from the prior art with crust formation at the upper surface of a volume of solid precursor, with arrows showing the direction of heat flow.
  • Figure 4 is a schematic top view of a vessel insert with thermally conductive rods attached to a vessel base, constructed in accordance with a preferred embodiment.
  • Figure 5 is a schematic perspective view of the insert of Figure 4.
  • Figure 6 is a schematic side view of a precursor source apparatus having thermally conductive units interspersed with the precursor, in accordance with a preferred embodiment.
  • Figure 7 is a schematic, partially cut-away perspective view of a precursor source apparatus having an adjacent microwave unit, in accordance with an embodiment of the present invention.
  • Detailed Description of the Preferred Embodiments
  • Referring to Figure 1A, a precursor source apparatus 5 is shown inline between a carrier gas source 4 and a reaction chamber 6 configured to accommodate a substrate 8.
  • Figure 1B shows a preferred embodiment of the precursor source apparatus 5 for vaporizing a solid precursor, the resulting vapor to be employed in substrate processing, having a pressure chamber 10, an inlet 12, an outlet 14 and, preferably, an over-pressure relief valve 16. The inlet 12 is preferably attached to a carrier gas source 4 (Figure 1A) via a first conduit 2, while the outlet 14 is preferably attached via a second conduit 3 to the reaction chamber 6 (Figure 1A).
  • Figure 2 is a schematic, partially cut-away, perspective view of the precursor source apparatus 5 of Figure 1, showing an inner precursor vessel or crucible 20 inside the pressure chamber 10. The inner crucible 20 located inside the pressure chamber 10 is used as a precursor vessel. The shape and dimensions of the crucible 20 are selected depending on the volume available inside the temperature-controlled pressure chamber 10. The material of the crucible 20 can comprise inert substances, such as quartz glass or silicon carbide. In addition, a particle filter 22 is preferably located on top of the crucible 20. In an alternative embodiment, the particle filter is located on the vessel outlet 14 or second conduit 3. Porous crucible walls are employed in certain preferred embodiments, the walls of the crucible acting as particle filters as the precursor vapor diffuses through the walls.
  • Figure 3 illustrates crust formation in the prior art, one of the problems that preferred embodiments of the present invention seek to address. Figure 3 shows a schematic side view of the crucible 20 holding a volume of solid precursor 32. A crust 34 tends to form at the upper surface of the solid precursor 32, with arrows 36 in Figure 3 showing the direction of travel of heat which is applied to the crucible 20.
  • Figures 4 and 5 show still another preferred embodiment of the present invention. An insert 38 is configured to fit within the crucible 20 (Figure 2) or other vessel in which the solid precursor is to be held. The insert 38 is preferably selected to have good heat conductivity. The insert 38 includes heat conducting elements 40, here rods, which are machined and attached to a vessel base 42. Preferably, heat flows along primary axis of the the elements 40, then radially outward into the material, resulting in the whole precursor volume being heated efficiently and uniformly. The elements 40 are preferably formed from, for example, SiC of the highest purity and quality, since the elements 40 can be cleaned and re-used. In another embodiment, the heat conducting elements are preferably made of the same material as the vessel, e.g., stainless steel. In the illustrated embodiment, the elements are formed from SiC-coated graphite, but in other embodiments the elements are uncoated. In yet other embodiments the elements are formed from heat conducting substances other than SiC and graphite.
  • In accordance with one preferred embodiment, the insert 38 of Figures 4 and 5 is machined and fitted into the precursor vessel 20. Precursor is then poured into the vessel 20. In accordance with another embodiment a precursor vessel 20 is first filled with precursor powder 32 and then elements 40, shown in Figures 4 and 5 as inert, heat-conducting rods, are pushed through the precursor 32 so that the lower ends of the rods 40 touch the bottom of the precursor vessel 20. In an alternate embodiment, the rods are attached to the base of the source container 10 and the source container 10 is filled with the precursor powder 32. In yet other arrangements, rods are each configured to be inserted independently of one another. Preferably, the selected rod density is a function of the heat transfer properties of the solid (i.e. a solid which has poor heat transfer desirably is selected to have a higher density to lessen the heat transfer path).
  • In accordance with the embodiments shown in Figures 4 and 5, the rods 40 can be located on the bottom plate 42. Preferably the rods 40 are arranged, for example, in a polar coordinate type layout, so that each unit of the precursor 32 is located within a certain maximum distance from the rods 40 or the base plate 42. The number of vertical rods 40 attached to a plate depends on the physical properties of the precursor 32. More rods can be used if the heat transport through the precursor is very poor.
  • In yet other alternate embodiments the thermally conductive elements interspersed with the precursor units can be formed from fixed elements such as, for example, rods, stacked screens, sieves, coils, plates, etc. These units or elements can include both porous and nonporous structures. Preferably, these fixed units or elements are arranged so as to maximize the total amount of thermally conductive surfaces in contact with precursor, while allowing vapor diffusion from the carrier gas inlet to the outlet. Precursor preferably diffuses through the mixture of powder and thermally conductive elements. Preferably, the carrier gas convectively transports the chemical in the upper portion of the vessel (or head space) from the inlet to the outlet.
  • Figure 6 shows a preferred embodiment in which loose thermally conductive elements 46 are mixed with precursor powder 32 inside the crucible 20. In certain preferred arrangements the conductive elements 46 are powder particles, while in alternate arrangements the conductive elements 46 can comprise larger loose elements, such as fibers, pieces, flakes, pellets, spheres, or rings, etc. The chemical catalyst industry uses elements having similar geometry (beads, pellets, spheres, rings, etc), each being coated with a catalytic material, which would also provide appropriate geometric unit configurations in order to practice alternate arrangements of the present invention. These units or elements 46 can include both porous and nonporous structures. Preferably, these loose elements 46 are arranged so as to maximize the total amount of thermally conductive surfaces in contact with precursor 32. In certain preferred embodiments the elements 46 are formed from an inert, thermally conductive material, such as a ceramic, e.g., SiC. The shapes and materials from which these elements 46 can be formed is discussed in greater detail below.
  • In an alternate embodiment, a plurality of conductive elements 46 are interspersed with a batch of precursor to form a mixture. Preferably, the inclusion of heat transmitting solid forms collectively increases the thermal conductivity of the batch of precursor.
  • Referring to Figure 7, another embodiment of the present invention is shown employing an energy emitter 48 adjacent to the crucible 20. SiC or another inert, energy-absorbing material (not shown) is placed in the precursor vessel, preferably in the illustrated vessel or crucible 20 along with precursor material, so that the precursor (not shown) is in close contact with the energy absorbing material. In one arrangement, the precursor vessel is also preferably transparent to the emitted energy. The wavelength of the emitted energy is preferably in the microwave range, although alternate arrangements of the embodiments disclosed herein employ other wavelengths of emitted energy.
  • In a preferred operation, microwaves heat the microwave-absorbing material and heat flows from the heated material, which can be in accordance with Figures 4 and 5 or 6, to the precursor. In other arrangements, a crucible is employed inside a vessel, the crucible itself absorbing microwave energy, thereby transmitting heat from the walls of the crucible to the precursor. Generally precursors that are normally used for the deposition of thin films do not absorb microwaves and, thus, cannot be directly heated with microwaves. However, substances such as SiC absorb microwaves, allowing SiC to heat up rapidly, thereby effectuating the desired uniform heating of the precursor. Similarly, other combinations of energy-absorbing material and energy sources operating at different wavelengths will be appreciated in view of the present disclosure. In still another arrangement, when the precursor material is capable of directly absorbing electromagnetic energy like microwaves, direct heating of the precursor material is sufficient to effectuate the desired precursor vaporization, such that separate microwave absorbing material can be omitted.
  • In alternate arrangements of the aforementioned embodiments, it should be understood that it is also possible to omit the inert crucible and load the precursor directly into the bottom of the pressure chamber 10; preferably, the pressure chamber 10 surfaces in contact with the precursor are sufficiently inert. A particle filter is also preferably placed on top of the precursor powder or, in an alternate embodiment, in the conduit (not shown in figures) between the precursor source and reaction chamber. In embodiments in which a crucible is employed, the crucible can be formed to have porous walls to serve as a filter, thereby reducing the need for a separate particle filter. In accordance with one preferred embodiment, heat conducting material is then mixed with the precursor, while in another preferred embodiment a machined insert is placed into the chamber prior to, during, or after loading of the precursor into the chamber.
  • Size And Shape Of The Inert Heat Conducting Material
  • Heat conducting material or thermally conductive elements can be used in different shapes, e.g., powders, fibers, irregular pieces and machined pieces.
  • The grain size of inert powders is selected according to the application, as would be appreciated by the skilled artisan. Precursor source vessels that do not include any particle filters should preferably be filled with conductive, inert elements (e.g., SiC powder) that are coarse enough to prevent the dusting of the material. Precursor source vessels equipped with a filter can be filled with a wide range of conductor particle size; preferably the smallest particles are stopped by the particle filter. One benefit of using small inert, conductive particles is that very small voids in the precursor powder can be filled and the packing density and the heat conductivity through the precursor volume is increased. One goal of certain preferred embodiments is to provide uniform and high heat conductivity through the precursor powder.
  • In accordance with a preferred embodiment, the mixture of conductive elements and precursor has a heat conductivity lower than that of pure conductor material and higher than that of pure precursor. For example, inert heat conducting material is added to the solid precursor forming a solid mixture, so that there is preferably about 10 - 80% by volume of heat conducting material in the precursor/conductor mixture and, more preferably, about 30 - 60% by volume of heat conducting material in the mixture. Re-use of the conductor, although possible, is a challenge, especially when the particle size of the conductor is very small.
  • In another embodiment, fibers of inert, conductive material, such as carbides or carbon, are employed. Fibers preferably conduct heat efficiently along the fibers through the precursor volume and, also, donate heat to the precursor that is located near the fibers. The fibers are preferably cut into pieces that have a length of about 1 - 20 mm and are mixed with the aforementioned precursor. For example, suitable SiC fibers are sold, e.g., by Reade Advanced Materials, USA. The heat conducting fibers selected preferably distribute heat from the heater or the precursor vessel walls to the precursor.
  • In accordance with yet another embodiment, machined pieces of inert, conductive material are used, thereby preferably allowing certain benefits. By employing larger machined pieces, recovery of heat conducting material is relatively easy after use. In addition, the heat conducting material can be cleaned and reused many times. Accordingly, very high purity and expensive heat conducting material can be used economically. Machined pieces can take the form of, for example, rods and plates (as illustrated in Figures 4 and 5), or combinations of those shapes and a variety of other shapes, including, for example, screens mounted at various levels along the length of rods or other extensions.
  • One embodiment employs a combination of machined pieces and smaller heat conducting pieces. Machined pieces preferably effectuate long-distance heat transport from the heater or heated walls of the precursor vessel deep into the precursor volume, while loose heat conducting units (e.g., beads, powder, fibers, etc.) are preferably mixed with the precursor to effectuate the local distribution of heat to the precursor. In one arrangement, heat conducting material in the form of powder is employed in combination with heat conducting material in the form of fibers. In another arrangement, rods are employed in conjunction with a powdered heat conducting material. In view of the disclosure contained herein, the skilled artisan will readily recognize other combinations of heat conducting material forms and materials that would also be advantageous.
  • In selecting the added inert material that is used according to the present invention, good thermal conductivity is desirable. Inert materials for this purpose preferably have a thermal conductivity of at least about 50 W/m*K, more preferably at least about 80 W/m*K, for the applications presented in this patent application at room temperature, and most preferably have such high conductivity under the conditions of use.
  • Silicon Carbide
  • Silicon carbide (SiC) is employed in certain preferred embodiments to form the inert material which is added to the crucible or vessel. SiC is an extremely hard material that has high thermal conductivity, negligible vapor pressure and very good resistance against chemicals at elevated temperatures. According to Performance Materials, Inc., USA, the thermal conductivity of SiC is 250 W/m*K at room temperature and about 120 W/m*K at 400°C. A wide range of SiC grades with different purities are commercially available. The color of silicon carbide (SiC) is known to correlate with its purity. According to Reade Advanced Materials, USA, black SiC has a purity of up to about 99.2%, dark green SiC has a purity of about 99.5% and the purity of light green SiC is about 99.7%. SiC is available in the form of powder, grit, crystals, granules, wafers, fibers, platelets, bars and arbitrary form pieces. Typical impurities in SiC that is produced from silica sand and coke are SiO2, elemental Si, free C, and Fe2O3. Preferably, such impurities are minimized to decrease potential contamination of the process employing the precursor. Accordingly, in a preferred embodiment, the inert conductive material is preferably greater than 99% pure.
  • A number of commercial sources of high purity SiC exist. For example, SiC of 99% purity is available from Atlantic Equipment Engineers, USA, in all grit sizes. Poco Graphite, Inc., USA, also produces very high purity SiC with a Chemical Vapour Infiltration (CVI) method where pure graphite is contacted with silicon monoxide (SiO) vapor (Eq. 1). The amount of impurities in SiC is in the ppm level. SiO(g) + 2C -----> SiC + CO(g) Eq. 1 Cerac, Inc., USA, sells vacuum deposition grade (99.5%) SiC pieces that have dimensions in the range of 3 - 12 mm.
  • Other Materials
  • A number of other suitable inert carbides are commercially available. The thermal conductivity of transition metal carbides is typically about 50% lower than the thermal conductivity of SiC; the conductivity of transitions metal carbides will often be sufficient to provide improved sublimation. Atlantic Equipment Engineers, USA, sells powders of tungsten carbide (WC), vanadium carbide (VC), tantalum carbide (TaC), zirconium carbide (ZrC), hafnium carbide (HfC), molybdenum carbide (MoC), niobium carbide (NbC) and titanium carbide (TiC). The purity of the carbide powder is typically 99.8 - 99.9%. Such metal carbides may also have useful metal-carbon stoichiometries other than 1:1.
  • In addition, alternate carbides, such as boron carbides (e.g., B4C) that have sufficiently high thermal conductivity can also be employed; boron carbides are preferably not used for applications that are sensitive to boron impurities.
  • Another suitably inert and conductive material is PocoFoam™, manufactured by Poco Graphite, USA, which is very light carbon foam and has a thermal conductivity in the 100 to 150 W/m*K range.
  • Coated Materials
  • In selecting a material from which to form the heat conducting material, it is undesirable to utilize materials that have high heat conductivity but have a property that, unmodified, prevents the direct use of the material in solid precursor vessels. For example, a potential material may have a desirable thermal conductivity, but the material reacts with the precursor during processing, i.e., during sublimation. Therefore, the material which is chosen to directly contact the precursor is preferably inert. Certain preferred embodiments allow the use of material that if used alone would be undesirable, by coating a non-inert material having a high thermal conductivity with an inert substance. For example, both graphite and silicon are good conductors of heat. Graphite is very soft and easily forms solid particles that may contaminate substrates in a reaction chamber. A hard inert coating is thus preferably formed on the graphite surface to decrease the number of particles released from the graphite. Similarly, silicon is an efficient reducing agent if the native oxide on the silicon surface is broken. An inert coating deposited on the silicon surface preferably prevents the reactions between the silicon and precursors.
  • In particular, graphite or silicon can, in certain preferred embodiments, be coated with SiC. Other suitable coating materials are boron carbides, niobium carbide (NbC), tantalum carbide (TaC), titanium carbide (TiC), tungsten carbide (WC), zirconium carbide (ZrC), molybdenum carbide (MoC), vanadium carbide (VC) and hafnium carbide (HfC). Such metal carbides may also have useful stoichiometries other than 1:1. In one embodiment, insufficiently pure carbides are preferably coated with a thin, high-purity CVD carbide film that prevents the contamination of the precursor in the precursor vessel. In this embodiment, impurities of the CVD carbide coatings are in the parts per million (ppm) range and, thus, do not significantly contaminate precursors or substrates. Transition metal nitrides, such as niobium nitride (NbN), tantalum nitride (TaN), titanium nitride (TiN), tungsten nitride (WN), zirconium nitride (ZrN), molybdenum nitride (MoN), vanadium nitride (VN) and hafnium nitride (HfN), serve as further examples of suitable inert coatings on heat conducting materials. In addition, in one embodiment, silicon nitride is formed on the silicon surface and, thus, silicon parts and pieces are passivated.
  • The following examples, including the methods performed and results achieved are provided for illustrative purposes only and are not to be construed as limiting upon the present invention.
  • EXAMPLES
  • Example 1
  • A Pulsar® 3000 ALCVD™ reactor, available commercially from ASM International, N.V. of Bilthoven, The Netherlands, was used for the deposition of HfO2 from alternating pulses of HfCl4 and H2O via sequential, self-saturating surface reactions. The HfCl4 vapor for those pulses was provided from a solid source. A mixture of 157.6 g of HfCl4 and 200.8 g of 99.5% SiC (obtained from Orkla Exolon, Norway) was loaded into a source container. In the mixture there was approximately 100 cm3 of each precursor. Thus, the mixture of precursor material and conductive elements was a 1:1 volumetric mixture.
  • As a result, the source temperature could be lowered from 200 - 205°C (no carbide fill) to 180°C (with carbide fill). It is believed that the recovery rate (i.e., time after pulsing that sufficient vapor develops for the next pulse) of the source improved because of the increased and more stable sublimation rate of the precursor. The deposition of thin films from the same precursor batch was made possible for a longer time than without the carbide fill. The addition of SiC improved the HfO2 thin film thickness uniformity on the substrates. Use of SiC conductive filler did not affect the number of particles on wafers.
  • Example 2
  • ZrCl4 powder was mixed with boron carbide (B4C) powder in a glove box. The mixture was loaded into a source boat made of glass. The source boat with the mixture was then placed in a glass tube to serve as a carrier tube. Ends of the tube were covered with Parafilm to prevent the exposure of ZrCl4 to room air and moisture. The tube was carried from the glove box to an F120 ALD reactor from ASM International N.V., and the source boat was moved from the carrier tube to a source tube (pressure vessel) of the reactor while inert nitrogen gas was flowing out of the source tube. After the loading of the source boat was completed and substrates were placed into the reaction chamber of the reactor, the reactor was evacuated to vacuum with a mechanical vacuum pump. Pressure of the reactor was adjusted to about 3 – 10 mbar with flowing inert nitrogen gas. The reaction chamber was heated to the deposition temperature and the ZrCl4 reactant zone of the reactor was heated also to the sublimation temperature. ZrO2 thin film was deposited from sequential alternating pulses of ZrCl4 and H2O vapor. It was found that the sublimation rate of ZrCl4 increased clearly when boron carbide had been mixed with ZrCl4. Boron carbide helped to transport heat energy through the precursor volume.
  • Although this invention has been disclosed in the context of certain preferred embodiments and examples, it will be understood by those skilled in the art that the present invention extends beyond the specifically disclosed embodiments to other alternative embodiments and/or uses of the invention and obvious modifications thereof. Thus, it is intended that the scope of the present invention herein disclosed should not be limited by the particular disclosed embodiments described above, but should be determined only by a fair reading of the claims that follow.

Claims (43)

1. A method of producing a vapor from a solid precursor for processing a substrate, comprising:
placing solid units of precursor into a vessel;
interspersing a thermally conductive material with the units of precursor; forming a vapor through applying heat energy to both the thermally conductive material and the solid units of precursor; and
routing the vapor from the vessel to a reaction chamber.
2. The method of Claim [Claim 1], further comprising reacting the vapor to deposit a layer on a substrate.
3. The method of Claim [Claim 1], wherein interspersing the thermally conductive material with the solid units of precursor comprises forming a solid mixture having about 10% to 80% by volume of thermally conductive material in the mixture.
4. The method of Claim [Claim 1], wherein the interspersing the thermally conductive material with the solid units of precursor comprises forming a solid mixture having about 30 - 60% by volume of thermally conductive material in the mixture.
5. A substrate processing system for forming a vapor from a solid precursor by distributing heat to the precursor, comprising:
a vessel configured to hold units of solid precursor; and
a plurality of thermally conductive elements interspersed with the units of solid precursor.
6. The system of Claim [Claim 5], wherein the vessel is a heat conducting vessel.
7. The system of Claim [Claim 5], further including a reaction chamber fluidly coupled to the vessel, the chamber being configured to provide a suitable environment for the reaction of a vapor, originating from the vessel, to deposit a layer on a substrate.
8. The system of Claim [Claim 7], wherein the reaction chamber is a chemical vapor deposition chamber (CVD).
9. The system of Claim [Claim 7], wherein the reaction chamber is an atomic layer deposition chamber (ALD).
10. The system of Claim [Claim 5], wherein the units of solid precursor are in powder form.
11. The system of Claim [Claim 5], wherein the thermally conductive elements are formed from a substance which is substantially inert to reactions within the vessel.
12. The system of Claim [Claim 5], wherein the thermally conductive elements have a coating which is substantially inert to reactions within the vessel.
13. The system of Claim [Claim 5], further including a vacuum chamber surrounding the vessel.
14. The system of Claim [Claim 5], further comprising a heater comprising a microwave generator configured to transmit microwave energy to the thermally conductive elements.
15. The system of Claim [Claim 5], wherein the thermally conductive elements are rods configured to be inserted within the vessel.
16. The system of Claim [Claim 15], wherein the rods are attached to a base plate configured to be inserted into the vessel.
17. The system of Claim [Claim 15], wherein the rods are attached to a vessel base.
18. The system of Claim [Claim 15], wherein the rods are each configured to be inserted independently of one another.
19. The system of Claim [Claim 5], wherein the thermally conductive elements are fibers configured interspersed with the units of solid precursor contained within the vessel.
20. The system of Claim [Claim 5], wherein the thermally conductive elements are in powder form.
21. The system of Claim [Claim 5], wherein the thermally conductive elements comprise carbon.
22. The system of Claim [Claim 21], wherein the thermally conductive elements comprise metal carbide.
23. The system of Claim [Claim 21], wherein the thermally conductive elements comprise transition metal carbide.
24. The system of Claim [Claim 21], wherein the thermally conductive elements comprise boron carbide.
25. The system of Claim [Claim 21], wherein the thermally conductive elements comprise silicon carbide (SiC).
26. The system of Claim [Claim 5], wherein the thermally conductive elements comprise a combination of rods and powder.
27. The system of Claim [Claim 5], wherein the thermally conductive elements comprise a combination of rods and fibers.
28. The system of Claim [Claim 5], wherein the thermally conductive elements have a thermal conductivity of at least about 50 W/m*K at room temperature.
29. The system of Claim [Claim 5], wherein the thermally conductive elements have a thermal conductivity of at least about 80 W/m*K at room temperature.
30. A substrate processing system for forming a vapor from a solid precursor comprising:
a vessel configured to hold units of solid precursor; and
a microwave generator adjacent to the vessel, the generator being configured to transmit heat energy in the form of microwave energy to effectuate the heating of the precursor.
31. The system of Claim [Claim 30], further comprising a plurality of thermally conductive elements interspersed with the units of solid precursor, the thermally conductive elements readily absorbing the microwave energy.
32. The system of Claim [Claim 30], wherein the units of solid precursor are formulated to be directly heated by the microwave energy transmitted from the microwave generator.
33. The system of Claim [Claim 30], wherein the vessel is formulated to be directly heated by the microwave energy transmitted from the microwave generator.
34. A mixture for producing a vapor used in substrate processing comprising:
a batch of precursor for producing a substrate processing vapor; and
a plurality of heat transmitting solid forms interspersed through the batch of precursor, the plurality of heat transmitting solid forms collectively increasing the thermal conductivity of the batch of precursor.
35. The mixture of Claim [Claim 34], wherein the shape of the plurality of heat transmitting solid forms is selected from a group consisting of powder, spheres, irregularly shaped pieces, and machined pieces.
36. The mixture of Claim [Claim 34], wherein the shape of the plurality of heat transmitting solid forms is selected from a group consisting of rods, screens, sieves, coils, and plates.
37. The mixture of Claim [Claim 34], wherein, the plurality of heat transmitting solid forms are formed from a material selected from a group consisting of metal carbide, transition metal carbide, boron carbide, and silicon carbide.
38. The mixture of Claim [Claim 34], wherein the plurality of heat transmitting solid forms are substantially inert with respect to desired reactions employed in the substrate processing.
39. The mixture of Claim [Claim 34], wherein the plurality of heat transmitting solid forms are coated with a substantially inert material with respect to desired reactions employed in the substrate processing.
40. The mixture of Claim [Claim 34], wherein the plurality of heat transmitting solid forms are configured to conduct heat from the precursor proximate to edges of the precursor batch to precursor substantially centrally located within the precursor batch.
41. The mixture of Claim [Claim 34], wherein the plurality of heat transmitting solid forms absorb microwave energy originating from a microwave generator and release heat to the solid precursor.
42. The system of Claim 5, further comprising a heater being configured to transmit heat energy to both the thermally conductive elements and the precursor.
43. The system of Claim 42, wherein the heater is a microwave generator configured to transmit microwave energy to the thermally conductive elements.
US10/463,309 2002-06-17 2003-06-16 System for controlling the sublimation of reactants Active 2024-03-26 US7601225B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US10/463,309 US7601225B2 (en) 2002-06-17 2003-06-16 System for controlling the sublimation of reactants
US12/170,801 US7851019B2 (en) 2002-06-17 2008-07-10 Method for controlling the sublimation of reactants
US12/964,222 US8309173B2 (en) 2002-06-17 2010-12-09 System for controlling the sublimation of reactants

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US38952802P 2002-06-17 2002-06-17
US40021002P 2002-07-30 2002-07-30
US10/463,309 US7601225B2 (en) 2002-06-17 2003-06-16 System for controlling the sublimation of reactants

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/170,801 Division US7851019B2 (en) 2002-06-17 2008-07-10 Method for controlling the sublimation of reactants

Publications (3)

Publication Number Publication Date
US20030232138A1 US20030232138A1 (en) 2003-12-18
US20060024439A2 true US20060024439A2 (en) 2006-02-02
US7601225B2 US7601225B2 (en) 2009-10-13

Family

ID=39939723

Family Applications (3)

Application Number Title Priority Date Filing Date
US10/463,309 Active 2024-03-26 US7601225B2 (en) 2002-06-17 2003-06-16 System for controlling the sublimation of reactants
US12/170,801 Expired - Lifetime US7851019B2 (en) 2002-06-17 2008-07-10 Method for controlling the sublimation of reactants
US12/964,222 Expired - Lifetime US8309173B2 (en) 2002-06-17 2010-12-09 System for controlling the sublimation of reactants

Family Applications After (2)

Application Number Title Priority Date Filing Date
US12/170,801 Expired - Lifetime US7851019B2 (en) 2002-06-17 2008-07-10 Method for controlling the sublimation of reactants
US12/964,222 Expired - Lifetime US8309173B2 (en) 2002-06-17 2010-12-09 System for controlling the sublimation of reactants

Country Status (1)

Country Link
US (3) US7601225B2 (en)

Cited By (349)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030198741A1 (en) * 2002-04-19 2003-10-23 Ulvac, Inc. Film-forming apparatus and film-forming method
US20050006799A1 (en) * 2002-07-23 2005-01-13 Gregg John N. Method and apparatus to help promote contact of gas with vaporized material
US20050181129A1 (en) * 2003-02-19 2005-08-18 Olander W. K. Sub-atmospheric pressure delivery of liquids, solids and low vapor pressure gases
US20050263075A1 (en) * 2003-07-23 2005-12-01 Luping Wang Delivery systems for efficient vaporization of precursor source material
US20080073127A1 (en) * 2006-09-21 2008-03-27 Smith International, Inc. Atomic layer deposition nanocoatings on cutting tool powder materials
US20080072817A1 (en) * 2006-09-26 2008-03-27 Ii-Vi Incorporated Silicon carbide single crystals with low boron content
US20080191153A1 (en) * 2005-03-16 2008-08-14 Advanced Technology Materials, Inc. System For Delivery Of Reagents From Solid Sources Thereof
WO2008028170A3 (en) * 2006-08-31 2008-10-23 Advanced Tech Materials Solid precursor-based delivery of fluid utilizing controlled solids morphology
US20090022309A1 (en) * 2007-07-17 2009-01-22 Vanstone Scott A Method of providing text representation of a cryptographic value
US20090136668A1 (en) * 2002-07-23 2009-05-28 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US20090181168A1 (en) * 2008-01-10 2009-07-16 American Air Liquide, Inc. Solid precursor sublimator
US20100322604A1 (en) * 2006-10-10 2010-12-23 Kyle Fondurulia Precursor delivery system
US20110070380A1 (en) * 2009-08-14 2011-03-24 Eric Shero Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130240056A1 (en) * 2008-04-22 2013-09-19 Picosun Oy Apparatus and methods for deposition reactors
WO2013181521A3 (en) * 2012-05-31 2014-02-27 Advanced Technology Materials, Inc. Source reagent-based delivery of fluid with high material flux for batch deposition
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8858709B1 (en) 2006-04-11 2014-10-14 Ii-Vi Incorporated Silicon carbide with low nitrogen content and method for preparation
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9420770B2 (en) 2009-12-01 2016-08-23 Indiana University Research & Technology Corporation Methods of modulating thrombocytopenia and modified transgenic pigs
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US20170342557A1 (en) * 2014-04-21 2017-11-30 Entegris, Inc. Solid vaporizer
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923190B2 (en) 2020-08-07 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7601225B2 (en) 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
US7261118B2 (en) 2003-08-19 2007-08-28 Air Products And Chemicals, Inc. Method and vessel for the delivery of precursor materials
US20050056216A1 (en) * 2003-09-15 2005-03-17 Intel Corporation Precursor delivery system
GB2432371B (en) * 2005-11-17 2011-06-15 Epichem Ltd Improved bubbler for the transportation of substances by a carrier gas
US7562672B2 (en) * 2006-03-30 2009-07-21 Applied Materials, Inc. Chemical delivery apparatus for CVD or ALD
US8951478B2 (en) * 2006-03-30 2015-02-10 Applied Materials, Inc. Ampoule with a thermally conductive coating
DE102006023046B4 (en) * 2006-05-17 2009-02-05 Qimonda Ag Method and starting material for providing a gaseous precursor
DE102006053682B4 (en) * 2006-11-13 2020-04-02 Sew-Eurodrive Gmbh & Co Kg Consumer and contactless supply system
JP5372353B2 (en) * 2007-09-25 2013-12-18 株式会社フジキン Gas supply equipment for semiconductor manufacturing equipment
US8343583B2 (en) * 2008-07-10 2013-01-01 Asm International N.V. Method for vaporizing non-gaseous precursor in a fluidized bed
US20100116208A1 (en) * 2008-11-13 2010-05-13 Applied Materials, Inc. Ampoule and delivery system for solid precursors
US8151814B2 (en) * 2009-01-13 2012-04-10 Asm Japan K.K. Method for controlling flow and concentration of liquid precursor
EP2256230A1 (en) * 2009-05-29 2010-12-01 Samuel Grega Method for manufacturing W, Cr MO layers, carbides, nitrides, silicides thereof, multi-layer structures and connection structures on solid substrates and manufacturing device
KR101030005B1 (en) 2009-09-25 2011-04-20 삼성모바일디스플레이주식회사 Deposition source
KR101765734B1 (en) 2009-11-02 2017-08-07 시그마-알드리치 컴퍼니., 엘엘씨 Solid precursor delivery assemblies and related methods
US8555809B2 (en) * 2010-01-14 2013-10-15 Rohm And Haas Electronic Materials, Llc Method for constant concentration evaporation and a device using the same
TWI557261B (en) 2010-04-19 2016-11-11 Asm美國公司 Precursor delivery system
US9598766B2 (en) 2012-05-27 2017-03-21 Air Products And Chemicals, Inc. Vessel with filter
US9957612B2 (en) 2014-01-17 2018-05-01 Ceres Technologies, Inc. Delivery device, methods of manufacture thereof and articles comprising the same
CN105951058B (en) * 2016-05-26 2018-09-07 华中科技大学 A kind of nano particle space isolation atomic layer deposition apparatus and method based on fluid bed
US10927459B2 (en) 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US20190136366A1 (en) * 2017-11-08 2019-05-09 Shenzhen China Star Optoelectronics Semiconductor Display Technology Co., Ltd. Crucible and vapor deposition method
JP6425850B1 (en) * 2017-11-22 2018-11-21 日本エア・リキード株式会社 Solid material container and solid material product in which solid material container is filled with solid material
US11168394B2 (en) * 2018-03-14 2021-11-09 CeeVeeTech, LLC Method and apparatus for making a vapor of precise concentration by sublimation
TW202200810A (en) * 2020-06-18 2022-01-01 矽碁科技股份有限公司 Heating device with heat conducting element and evaporation equipment using same
US11578406B2 (en) 2020-12-08 2023-02-14 Applied Materials, Inc. Ampoule for a semiconductor manufacturing precursor
US11584990B2 (en) 2021-07-02 2023-02-21 Applied Materials, Inc. Bottom fed sublimation bed for high saturation efficiency in semiconductor applications

Citations (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2447789A (en) * 1945-03-23 1948-08-24 Polaroid Corp Evaporating crucible for coating apparatus
US3569672A (en) * 1969-05-01 1971-03-09 Gen Electric Low thermal mass, plate surface heating unit
US4314128A (en) * 1980-01-28 1982-02-02 Photowatt International, Inc. Silicon growth technique and apparatus using controlled microwave heating
US4548138A (en) * 1981-12-17 1985-10-22 York-Shipley, Inc. Fast fluidized bed reactor and method of operating the reactor
US4883362A (en) * 1987-01-31 1989-11-28 U.S. Philips Corp. Device for enriching a carrier gas with the vapor of a sparingly volatile substance
US4947790A (en) * 1988-01-16 1990-08-14 U.S. Philips Corporation Arrangement for producing a gas flow which is enriched with the vapor of a low-volatile substance
US5084089A (en) * 1990-02-21 1992-01-28 Julian Zekely Method for in-line induction heating of molten metals for supplying continuous casting devices
US5551278A (en) * 1987-07-08 1996-09-03 Thermedics Inc. Vapor collector/desorber with non-conductive tube bundle
US5553395A (en) * 1995-05-31 1996-09-10 Hughes Aircraft Company Bubbler for solid metal organic source material and method of producing saturated carrying gas
US5674574A (en) * 1996-05-20 1997-10-07 Micron Technology, Inc. Vapor delivery system for solid precursors and method regarding same
US5698037A (en) * 1991-05-31 1997-12-16 Stauffer; Craig M. Integrated delivery system for chemical vapor from non-gaseous sources for semiconductor processing
US5862605A (en) * 1996-05-24 1999-01-26 Ebara Corporation Vaporizer apparatus
US5904771A (en) * 1996-04-05 1999-05-18 Dowa Mining Co., Ltd. Method of subliming material in CVD film preparation method
US5989305A (en) * 1995-03-09 1999-11-23 Shin-Etsu Chemical Co., Ltd. Feeder of a solid organometallic compound
US6033493A (en) * 1994-12-01 2000-03-07 Framatome Process for coating a passivatable metal or alloy substrate with an oxide layer, and fuel assembly cladding and guide tubes and spacer grid coated with an oxide layer
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US6132492A (en) * 1994-10-13 2000-10-17 Advanced Technology Materials, Inc. Sorbent-based gas storage and delivery system for dispensing of high-purity gas, and apparatus and process for manufacturing semiconductor devices, products and precursor structures utilizing same
US6178925B1 (en) * 1999-09-29 2001-01-30 Advanced Technology Materials, Inc. Burst pulse cleaning method and apparatus for liquid delivery system
US6244331B1 (en) * 1999-10-22 2001-06-12 Intel Corporation Heatsink with integrated blower for improved heat transfer
US6251233B1 (en) * 1998-08-03 2001-06-26 The Coca-Cola Company Plasma-enhanced vacuum vapor deposition system including systems for evaporation of a solid, producing an electric arc discharge and measuring ionization and evaporation
US6270839B1 (en) * 1999-08-20 2001-08-07 Pioneer Corporation Device for feeding raw material for chemical vapor phase deposition and method therefor
US6325017B1 (en) * 1997-02-27 2001-12-04 Micron Technology, Inc. Apparatus for forming a high dielectric film
US20030053799A1 (en) * 2001-09-14 2003-03-20 Lei Lawrence C. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20040016404A1 (en) * 2002-07-23 2004-01-29 John Gregg Vaporizer delivery ampoule
US6720259B2 (en) * 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
US20050039794A1 (en) * 2003-08-19 2005-02-24 Birtcher Charles Michael Method and vessel for the delivery of precursor materials
US6906296B2 (en) * 2002-06-12 2005-06-14 Steris Inc. Electromagnetically responsive heating apparatus for vaporizer

Family Cites Families (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US87192A (en) 1869-02-23 Improved apparatus for charging air with hydrocarbon vapors
US113147A (en) 1871-03-28 Improvement in carbureting-machines
US230656A (en) 1880-08-03 radkey
US160410A (en) 1875-03-02 Improvement in carbureters or hydrocarbon-diffusers
US1103789A (en) 1912-10-30 1914-07-14 Fred J Macey Carbureter.
US1525786A (en) 1922-06-14 1925-02-10 Samuel E Starr Gas outfit
US1860111A (en) 1929-05-04 1932-05-24 Arthur W Miller Air cleaner
US2206688A (en) 1939-04-20 1940-07-02 Martha F Mckesson Vaporizer
US2333898A (en) 1941-10-07 1943-11-09 Continental Can Co Method of packaging fruit and vegetable juices
US2738762A (en) * 1951-10-08 1956-03-20 Ohio Commw Eng Co Apparatus for the deposition of nonconductive copper coatings from vapor phase
US4393013A (en) 1970-05-20 1983-07-12 J. C. Schumacher Company Vapor mass flow control system
BE788453A (en) 1971-09-09 1973-06-06 Rhone Progil CONTINUOUS PROCESS FOR THE RECOVERY BY CONDENSATION OF THE SOLID STATE OF SUBLIMABLE PRODUCTS
US3912795A (en) 1972-10-30 1975-10-14 Richard R Jackson Humidifying gas
US3989477A (en) 1973-10-31 1976-11-02 Wilson Stanley C Gas enriching apparatus
US3957467A (en) 1974-11-19 1976-05-18 Seun Kyung Kim Vehicular pollution control muffler
US4221182A (en) 1976-10-06 1980-09-09 General Atomic Company Fluidized bed gas coating apparatus
US4359493A (en) 1977-09-23 1982-11-16 Ppg Industries, Inc. Method of vapor deposition
US4297971A (en) 1977-09-23 1981-11-03 Ppg Industries, Inc. Apparatus for vaporizing solid coating reactants
JPS551816A (en) 1978-06-15 1980-01-09 Mitsubishi Rayon Co Ltd Vapor-liquid contactor
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4436674A (en) 1981-07-30 1984-03-13 J.C. Schumacher Co. Vapor mass flow control system
DE3339625A1 (en) 1983-11-02 1985-05-09 Philips Patentverwaltung Gmbh, 2000 Hamburg DEVICE FOR ENRICHING A CARRIER GAS WITH THE VAPOR OF A LITTLE VOLATILE FABRIC
US4610859A (en) 1983-12-29 1986-09-09 Mitsui Toatsu Chemicals, Inc. Process for producing silicon hydrides
US4585673A (en) 1984-05-07 1986-04-29 Gte Laboratories Incorporated Method for coating phosphor particles
US4683541A (en) 1985-03-13 1987-07-28 David Constant V Rotary fluidized bed combustion system
GB8510805D0 (en) 1985-04-29 1985-06-05 Penlon Ltd Vaporizing apparatus
US5143526A (en) 1985-10-11 1992-09-01 Sepracor, Inc. Process of treating alcoholic beverages by vapor-arbitrated pervaporation
US5053132A (en) 1985-10-22 1991-10-01 The Trustees Of The Stevens Institute Of Technology Asymmetrically-wettable porous membrane
EP0239664B1 (en) 1986-04-04 1991-12-18 Ibm Deutschland Gmbh Process for producing layers containing silicon and oxide
JPS62273714A (en) 1986-05-21 1987-11-27 Clarion Co Ltd Method and apparatus for supplying organic metal gas
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
JPH0819514B2 (en) 1986-07-07 1996-02-28 株式会社豊田中央研究所 Surface treatment method and device
US4684542A (en) 1986-08-11 1987-08-04 International Business Machines Corporation Low pressure chemical vapor deposition of tungsten silicide
US4747637A (en) * 1986-12-08 1988-05-31 Cars & Concepts, Inc. Externally retractable sunroof
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US4828224A (en) 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
US4834020A (en) 1987-12-04 1989-05-30 Watkins-Johnson Company Atmospheric pressure chemical vapor deposition apparatus
JP2651530B2 (en) 1988-04-15 1997-09-10 住友化学工業株式会社 Organometallic compound supply equipment for vapor phase growth
US4911101A (en) 1988-07-20 1990-03-27 General Electric Company Metal organic molecular beam epitaxy (MOMBE) apparatus
US4891103A (en) 1988-08-23 1990-01-02 Texas Instruments Incorporated Anadization system with remote voltage sensing and active feedback control capabilities
US4904419A (en) 1989-03-14 1990-02-27 Reynolds Warren D Process and apparatus for vapor transfer of very high purity liquids at high dilution
US5711816A (en) 1990-07-06 1998-01-27 Advanced Technolgy Materials, Inc. Source reagent liquid delivery apparatus, and chemical vapor deposition system comprising same
US5204314A (en) 1990-07-06 1993-04-20 Advanced Technology Materials, Inc. Method for delivering an involatile reagent in vapor form to a CVD reactor
US5362328A (en) 1990-07-06 1994-11-08 Advanced Technology Materials, Inc. Apparatus and method for delivering reagents in vapor form to a CVD reactor, incorporating a cleaning subsystem
KR100209856B1 (en) 1990-08-31 1999-07-15 가나이 쓰도무 Method of manufacturing semiconductor device
JPH0795527B2 (en) 1991-02-05 1995-10-11 株式会社リンテック Vaporizer for liquid raw materials
US5171734A (en) 1991-04-22 1992-12-15 Sri International Coating a substrate in a fluidized bed maintained at a temperature below the vaporization temperature of the resulting coating composition
JP3181357B2 (en) 1991-08-19 2001-07-03 株式会社東芝 Method for forming semiconductor thin film and method for manufacturing semiconductor device
US5543043A (en) 1992-07-01 1996-08-06 Chevron U.S.A. Inc. Clarification of produced water in the oil and gas industry
US5385689A (en) 1993-06-29 1995-01-31 Novapure Corporation Process and composition for purifying semiconductor process gases to remove Lewis acid and oxidant impurities therefrom
FI100409B (en) 1994-11-28 1997-11-28 Asm Int Method and apparatus for making thin films
JP2964313B2 (en) 1995-03-09 1999-10-18 信越化学工業株式会社 Solid organometallic compound supply apparatus and method for producing the same
US6093252A (en) 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
US5786027A (en) 1996-02-14 1998-07-28 Micron Technology, Inc. Method for depositing polysilicon with discontinuous grain boundaries
US6244575B1 (en) 1996-10-02 2001-06-12 Micron Technology, Inc. Method and apparatus for vaporizing liquid precursors and system for using same
US5719417A (en) 1996-11-27 1998-02-17 Advanced Technology Materials, Inc. Ferroelectric integrated circuit structure
US5876503A (en) 1996-11-27 1999-03-02 Advanced Technology Materials, Inc. Multiple vaporizer reagent supply system for chemical vapor deposition utilizing dissimilar precursor compositions
US5822991A (en) 1997-02-14 1998-10-20 Combustion Engineering, Inc. Circulating fluidized bed steam generator (CFB) with a superheater and a reheater
US6409839B1 (en) 1997-06-02 2002-06-25 Msp Corporation Method and apparatus for vapor generation and film deposition
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
JP3684797B2 (en) 1997-12-04 2005-08-17 株式会社デンソー Vapor phase growth method and vapor phase growth apparatus
US6039894A (en) * 1997-12-05 2000-03-21 Sri International Production of substantially monodisperse phosphor particles
US6231641B1 (en) 1998-02-12 2001-05-15 Kennecott Utah Copper Corporation Enhanced phase interaction at the interface of molten slag and blister copper, and an apparatus for promoting same
FI108375B (en) 1998-09-11 2002-01-15 Asm Microchemistry Oy Still for producing insulating oxide thin films
FI105499B (en) 1998-11-20 2000-08-31 Foster Wheeler Energia Oy Process and apparatus in fluidized bed reactor
US6582496B1 (en) 2000-01-28 2003-06-24 Mykrolis Corporation Hollow fiber membrane contactor
GB2354528B (en) 1999-09-25 2004-03-10 Trikon Holdings Ltd Delivery of liquid precursors to semiconductor processing reactors
US6586046B1 (en) 2000-01-26 2003-07-01 General Electric Company Fluidized bed apparatus and method for treatment of nonspherical, nonequiaxed particles
US6648969B2 (en) 2000-02-10 2003-11-18 Freund Industrial Co., Ltd. Fluidized bed granulation coating device, and fluidized bed granulation coating method
TW576873B (en) 2000-04-14 2004-02-21 Asm Int Method of growing a thin film onto a substrate
FI118805B (en) 2000-05-15 2008-03-31 Asm Int A method and configuration for introducing a gas phase reactant into a reaction chamber
US6589868B2 (en) 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
KR101027485B1 (en) 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 Improved process for deposition of semiconductor films
JP4757403B2 (en) 2001-06-01 2011-08-24 東京エレクトロン株式会社 Solid material vaporizer
US6746240B2 (en) 2002-03-15 2004-06-08 Asm International N.V. Process tube support sleeve with circumferential channels
US7601225B2 (en) 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
AU2003254266A1 (en) 2002-07-30 2004-02-16 Asm America, Inc. Sublimation system employing carrier gas
US6889747B2 (en) 2003-03-04 2005-05-10 Pcc Airfoils, Inc. Fluidized bed with baffle
US20050000428A1 (en) 2003-05-16 2005-01-06 Shero Eric J. Method and apparatus for vaporizing and delivering reactant
US7156380B2 (en) 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
EP1779419A4 (en) 2004-08-16 2009-04-08 Aviza Tech Inc Direct liquid injection system and method for forming multi-component dielectric films
US7253084B2 (en) 2004-09-03 2007-08-07 Asm America, Inc. Deposition from liquid sources
GB2432371B (en) 2005-11-17 2011-06-15 Epichem Ltd Improved bubbler for the transportation of substances by a carrier gas

Patent Citations (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2447789A (en) * 1945-03-23 1948-08-24 Polaroid Corp Evaporating crucible for coating apparatus
US3569672A (en) * 1969-05-01 1971-03-09 Gen Electric Low thermal mass, plate surface heating unit
US4314128A (en) * 1980-01-28 1982-02-02 Photowatt International, Inc. Silicon growth technique and apparatus using controlled microwave heating
US4548138A (en) * 1981-12-17 1985-10-22 York-Shipley, Inc. Fast fluidized bed reactor and method of operating the reactor
US4883362A (en) * 1987-01-31 1989-11-28 U.S. Philips Corp. Device for enriching a carrier gas with the vapor of a sparingly volatile substance
US5551278A (en) * 1987-07-08 1996-09-03 Thermedics Inc. Vapor collector/desorber with non-conductive tube bundle
US4947790A (en) * 1988-01-16 1990-08-14 U.S. Philips Corporation Arrangement for producing a gas flow which is enriched with the vapor of a low-volatile substance
US5084089A (en) * 1990-02-21 1992-01-28 Julian Zekely Method for in-line induction heating of molten metals for supplying continuous casting devices
US5698037A (en) * 1991-05-31 1997-12-16 Stauffer; Craig M. Integrated delivery system for chemical vapor from non-gaseous sources for semiconductor processing
US6132492A (en) * 1994-10-13 2000-10-17 Advanced Technology Materials, Inc. Sorbent-based gas storage and delivery system for dispensing of high-purity gas, and apparatus and process for manufacturing semiconductor devices, products and precursor structures utilizing same
US6033493A (en) * 1994-12-01 2000-03-07 Framatome Process for coating a passivatable metal or alloy substrate with an oxide layer, and fuel assembly cladding and guide tubes and spacer grid coated with an oxide layer
US5989305A (en) * 1995-03-09 1999-11-23 Shin-Etsu Chemical Co., Ltd. Feeder of a solid organometallic compound
US5553395A (en) * 1995-05-31 1996-09-10 Hughes Aircraft Company Bubbler for solid metal organic source material and method of producing saturated carrying gas
US5904771A (en) * 1996-04-05 1999-05-18 Dowa Mining Co., Ltd. Method of subliming material in CVD film preparation method
US5674574A (en) * 1996-05-20 1997-10-07 Micron Technology, Inc. Vapor delivery system for solid precursors and method regarding same
US5862605A (en) * 1996-05-24 1999-01-26 Ebara Corporation Vaporizer apparatus
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US6325017B1 (en) * 1997-02-27 2001-12-04 Micron Technology, Inc. Apparatus for forming a high dielectric film
US6251233B1 (en) * 1998-08-03 2001-06-26 The Coca-Cola Company Plasma-enhanced vacuum vapor deposition system including systems for evaporation of a solid, producing an electric arc discharge and measuring ionization and evaporation
US6270839B1 (en) * 1999-08-20 2001-08-07 Pioneer Corporation Device for feeding raw material for chemical vapor phase deposition and method therefor
US6178925B1 (en) * 1999-09-29 2001-01-30 Advanced Technology Materials, Inc. Burst pulse cleaning method and apparatus for liquid delivery system
US6244331B1 (en) * 1999-10-22 2001-06-12 Intel Corporation Heatsink with integrated blower for improved heat transfer
US20030053799A1 (en) * 2001-09-14 2003-03-20 Lei Lawrence C. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6720259B2 (en) * 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
US6906296B2 (en) * 2002-06-12 2005-06-14 Steris Inc. Electromagnetically responsive heating apparatus for vaporizer
US20040016404A1 (en) * 2002-07-23 2004-01-29 John Gregg Vaporizer delivery ampoule
US20050039794A1 (en) * 2003-08-19 2005-02-24 Birtcher Charles Michael Method and vessel for the delivery of precursor materials

Cited By (472)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8168001B2 (en) * 2002-04-19 2012-05-01 Ulvac, Inc. Film-forming apparatus and film-forming method
US20030198741A1 (en) * 2002-04-19 2003-10-23 Ulvac, Inc. Film-forming apparatus and film-forming method
US7556244B2 (en) 2002-07-23 2009-07-07 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US9469898B2 (en) 2002-07-23 2016-10-18 Entegris, Inc. Method and apparatus to help promote contact of gas with vaporized material
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US20080041310A1 (en) * 2002-07-23 2008-02-21 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US20080057218A1 (en) * 2002-07-23 2008-03-06 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US8444120B2 (en) 2002-07-23 2013-05-21 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US9004462B2 (en) 2002-07-23 2015-04-14 Entegris, Inc. Method and apparatus to help promote contact of gas with vaporized material
US20110052482A1 (en) * 2002-07-23 2011-03-03 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US10465286B2 (en) 2002-07-23 2019-11-05 Entegris, Inc. Method and apparatus to help promote contact of gas with vaporized material
US7828274B2 (en) 2002-07-23 2010-11-09 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US8128073B2 (en) 2002-07-23 2012-03-06 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US7487956B2 (en) 2002-07-23 2009-02-10 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US20090136668A1 (en) * 2002-07-23 2009-05-28 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US20050006799A1 (en) * 2002-07-23 2005-01-13 Gregg John N. Method and apparatus to help promote contact of gas with vaporized material
US20050181129A1 (en) * 2003-02-19 2005-08-18 Olander W. K. Sub-atmospheric pressure delivery of liquids, solids and low vapor pressure gases
US20050263075A1 (en) * 2003-07-23 2005-12-01 Luping Wang Delivery systems for efficient vaporization of precursor source material
US7437060B2 (en) 2003-07-23 2008-10-14 Advanced Technology Materials, Inc. Delivery systems for efficient vaporization of precursor source material
US20080191153A1 (en) * 2005-03-16 2008-08-14 Advanced Technology Materials, Inc. System For Delivery Of Reagents From Solid Sources Thereof
US8858709B1 (en) 2006-04-11 2014-10-14 Ii-Vi Incorporated Silicon carbide with low nitrogen content and method for preparation
US8512471B2 (en) 2006-04-11 2013-08-20 Ii-Vi Incorporated Halosilane assisted PVT growth of SiC
WO2008028170A3 (en) * 2006-08-31 2008-10-23 Advanced Tech Materials Solid precursor-based delivery of fluid utilizing controlled solids morphology
US10895010B2 (en) 2006-08-31 2021-01-19 Entegris, Inc. Solid precursor-based delivery of fluid utilizing controlled solids morphology
US8875813B2 (en) 2006-09-21 2014-11-04 Smith International, Inc. Atomic layer deposition nanocoatings on cutting tool powder materials
US20080073127A1 (en) * 2006-09-21 2008-03-27 Smith International, Inc. Atomic layer deposition nanocoatings on cutting tool powder materials
US20080072817A1 (en) * 2006-09-26 2008-03-27 Ii-Vi Incorporated Silicon carbide single crystals with low boron content
US8361227B2 (en) * 2006-09-26 2013-01-29 Ii-Vi Incorporated Silicon carbide single crystals with low boron content
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US20100322604A1 (en) * 2006-10-10 2010-12-23 Kyle Fondurulia Precursor delivery system
US20090022309A1 (en) * 2007-07-17 2009-01-22 Vanstone Scott A Method of providing text representation of a cryptographic value
US9034105B2 (en) 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
US20090181168A1 (en) * 2008-01-10 2009-07-16 American Air Liquide, Inc. Solid precursor sublimator
US20130240056A1 (en) * 2008-04-22 2013-09-19 Picosun Oy Apparatus and methods for deposition reactors
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110070380A1 (en) * 2009-08-14 2011-03-24 Eric Shero Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US9420770B2 (en) 2009-12-01 2016-08-23 Indiana University Research & Technology Corporation Methods of modulating thrombocytopenia and modified transgenic pigs
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US9340874B2 (en) 2011-11-23 2016-05-17 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9177784B2 (en) 2012-05-07 2015-11-03 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
WO2013181521A3 (en) * 2012-05-31 2014-02-27 Advanced Technology Materials, Inc. Source reagent-based delivery of fluid with high material flux for batch deposition
US10385452B2 (en) 2012-05-31 2019-08-20 Entegris, Inc. Source reagent-based delivery of fluid with high material flux for batch deposition
CN104487608A (en) * 2012-05-31 2015-04-01 高级技术材料公司 Source reagent-based delivery of fluid with high material flux for batch deposition
US9299595B2 (en) 2012-06-27 2016-03-29 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9228259B2 (en) 2013-02-01 2016-01-05 Asm Ip Holding B.V. Method for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10392700B2 (en) * 2014-04-21 2019-08-27 Entegris, Inc. Solid vaporizer
US20170342557A1 (en) * 2014-04-21 2017-11-30 Entegris, Inc. Solid vaporizer
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11923190B2 (en) 2020-08-07 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11923181B2 (en) 2020-11-23 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2020-11-24 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Also Published As

Publication number Publication date
US20030232138A1 (en) 2003-12-18
US7601225B2 (en) 2009-10-13
US7851019B2 (en) 2010-12-14
US20110076402A1 (en) 2011-03-31
US8309173B2 (en) 2012-11-13
US20080274276A1 (en) 2008-11-06

Similar Documents

Publication Publication Date Title
US7601225B2 (en) System for controlling the sublimation of reactants
US3603284A (en) Vapor deposition apparatus
US9053935B2 (en) Chemical vapor deposition with elevated temperature gas injection
TWI820738B (en) Vapor deposition apparatus and techniques using high purity polymer derived silicon carbide
US20170158516A1 (en) Fluidized-bed reactor and process for preparing granular polycrystalline silicon
US8343583B2 (en) Method for vaporizing non-gaseous precursor in a fluidized bed
JPH06206718A (en) Extra-high purity silicon carbide and high temperature semiconductor processing device produced by said silicon carbide
US20100258052A1 (en) Hvpe precursor source hardware
US20080219824A1 (en) Multiple substrate transfer robot
JP2007504081A (en) High purity crystal growth
US6740167B1 (en) Device for mounting a substrate and method for producing an insert for a susceptor
US4654228A (en) Process for preparation of ceramic film
EP0164928A2 (en) Vertical hot wall CVD reactor
US5136978A (en) Heat pipe susceptor for epitaxy
US7955569B2 (en) Metal halide reactor for CVD and method
JP4486794B2 (en) Method for generating vapor from solid precursor, substrate processing system and mixture
Voronenkov et al. Hydride Vapor‐Phase Epitaxy Reactor for Bulk GaN Growth
KR20210032279A (en) Heating zone separation for reactant evaporation system
WO2020179793A1 (en) METHOD AND DEVICE FOR MANUFACTURING SiC SUBSTRATE, AND METHOD FOR REDUCING MACRO-STEP BUNCHING OF SiC SUBSTRATE
TW201443302A (en) Low carbon group-III nitride crystals
JP2006028625A (en) Cvd apparatus
US20050255245A1 (en) Method and apparatus for the chemical vapor deposition of materials
US20210071301A1 (en) Fill vessels and connectors for chemical sublimators
CN114174570A (en) Apparatus for manufacturing semiconductor substrate having temperature gradient reversing means and method for manufacturing semiconductor substrate
JP2023085230A (en) Remote solid source reactant delivery systems for vapor deposition reactors

Legal Events

Date Code Title Description
AS Assignment

Owner name: ASM INTERNATIONAL N.V., NETHERLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:TUOMINEN, MARKO;SHERO, ERIC;VERGHESE, MOHITH;REEL/FRAME:014199/0541;SIGNING DATES FROM 20030611 TO 20030613

Owner name: ASM INTERNATIONAL N.V., NETHERLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:TUOMINEN, MARKO;SHERO, ERIC;VERGHESE, MOHITH;SIGNING DATES FROM 20030611 TO 20030613;REEL/FRAME:014199/0541

STCF Information on status: patent grant

Free format text: PATENTED CASE

CC Certificate of correction
CC Certificate of correction
FEPP Fee payment procedure

Free format text: PAYER NUMBER DE-ASSIGNED (ORIGINAL EVENT CODE: RMPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12