US20130099318A1 - Thin semiconductor-on-insulator mosfet with co-integrated silicon, silicon germanium and silicon doped with carbon channels - Google Patents

Thin semiconductor-on-insulator mosfet with co-integrated silicon, silicon germanium and silicon doped with carbon channels Download PDF

Info

Publication number
US20130099318A1
US20130099318A1 US13/280,850 US201113280850A US2013099318A1 US 20130099318 A1 US20130099318 A1 US 20130099318A1 US 201113280850 A US201113280850 A US 201113280850A US 2013099318 A1 US2013099318 A1 US 2013099318A1
Authority
US
United States
Prior art keywords
silicon
layer
device region
semiconductor device
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/280,850
Inventor
Thomas N. Adam
Stephen W. Bedell
Kangguo Cheng
Bruce B. Doris
Ali Khakifirooz
Alexander Reznicek
Devendra K. Sadana
Ghavam G. Shahidi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US13/280,850 priority Critical patent/US20130099318A1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KHAKIFIROOZ, ALI, BEDELL, STEPHEN W., SADANA, DEVENDRA K., SHAHIDI, GHAVAM G., ADAM, THOMAS N., CHENG, KANGGUO, REZNICEK, ALEXANDER, DORIS, BRUCE B.
Priority to US13/607,743 priority patent/US8866227B2/en
Publication of US20130099318A1 publication Critical patent/US20130099318A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials

Abstract

A method of fabricating a semiconductor device that may begin with providing a semiconductor substrate including a first device region including a silicon layer in direct contact with a buried dielectric layer, a second device region including a silicon germanium layer in direct contact with the buried dielectric layer, and a third device region with a silicon doped with carbon layer. At least one low power semiconductor device may then be formed on the silicon layer within the first device region of the semiconductor substrate. At least one p-type semiconductor device may be formed on the silicon germanium layer of the second device region of the semiconductor substrate. At least one n-type semiconductor device may be formed on the silicon doped with carbon layer of the third device region of the semiconductor substrate.

Description

    BACKGROUND
  • The present disclosure relates generally to semiconductor devices. More particularly, the present disclosure relates to scaling of semiconductor devices.
  • In order to be able to make integrated circuits (ICs), such as memory, logic, and other devices, of higher integration density than currently feasible, one has to find ways to further downscale the dimensions of field effect transistors (FETs), such as metal-oxide-semiconductor field effect transistors (MOSFETs) and complementary metal oxide semiconductors (CMOS). Scaling achieves compactness and improves operating performance in devices by shrinking the overall dimensions and operating voltages of the device while maintaining the device's electrical properties.
  • SUMMARY
  • In one aspect, a method of forming a semiconductor substrate is provided, in which a single substrate includes regions of silicon (Si), silicon germanium (SiGe), and silicon doped with carbon (Si:C) to provide a channel of semiconductor devices formed thereon. The method may begin with providing a semiconductor on insulator (SOI) substrate comprising a silicon layer overlying a buried insulating layer. The SOI layer may then be etched to provide at least a first device region, a second device region and a third device region, wherein the etch process removes a portion of the silicon layer separating the first device region, the second device region and the third device region. A first block mask may then be formed on the first device region, and a silicon germanium layer may be formed on at least a portion of the silicon layer in at least one of the second device region and the third device region. Germanium (Ge) from the silicon germanium layer may then be diffused from the silicon germanium layer into the silicon layer in at least one of the second device region and the third device region. One of the second device region and the third device region in which the germanium from the silicon germanium layer is diffused into the silicon layer is then covered with a second block mask. A silicon doped with carbon layer is then formed on an exposed silicon germanium layer of the second device region and the third device region that is not covered with the second block mask.
  • In another aspect, a method of fabricating a semiconductor device is provided in which channel regions composed of silicon, silicon germanium, and silicon doped with carbon are integrated into a single semiconductor substrate. In one embodiment, the method may include providing a semiconductor substrate including a first device region including a silicon layer in direct contact with a buried dielectric layer, a second device region including a silicon germanium layer in direct contact with the buried dielectric layer, and a third device region with a silicon doped with carbon layer. At least one low power semiconductor device including a first n-type semiconductor device and a first p-type semiconductor device may then be formed on the silicon layer within the first device region of the semiconductor substrate. A second p-type semiconductor device may then be formed on the silicon germanium layer of the second device region of the semiconductor substrate. The second p-type semiconductor device on the silicon germanium layer of the second device region may have a threshold voltage ranging from 0.05 V to 0.5 V smaller than the first p-type semiconductor device formed on the silicon layer in the first device region. An n-type semiconductor device may then be formed on the silicon doped with carbon layer of the third device region of the semiconductor substrate. The second n-type semiconductor device on the silicon doped with carbon layer of the third device region may have a threshold voltage ranging from 0.05 V to 0.3 V smaller than the first n-type semiconductor device formed on the silicon layer in the first device region.
  • In yet another aspect, a semiconductor device is provided that includes a semiconductor substrate including a first device region including a silicon layer in direct contact with a buried dielectric layer, a second device region including a silicon germanium layer in direct contact with the buried dielectric layer, and a third device region with a silicon doped with carbon layer. At least one low power semiconductor device including a first n-type semi conductor device and a first p type semiconductor device is present on the silicon layer within the first device region of the semiconductor substrate. A second p-type semiconductor device is present on the silicon germanium layer of the second device region of the semiconductor substrate, wherein the second p-type semiconductor device has a threshold voltage ranging from 0.05 V to 0.5 V smaller than the first p-type semiconductor device formed on the silicon layer in the first device region. A second n-type semiconductor device may then be formed on the silicon doped with carbon layer of the third device region of the semiconductor substrate, wherein the second n-type semiconductor device has a threshold voltage ranging from 0.05 V to 0.3 V smaller than the first n-type semiconductor device formed on the Si layer in the first device region.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The following detailed description, given by way of example and not intended to limit the disclosure solely thereto, will best be appreciated in conjunction with the accompanying drawings, wherein like reference numerals denote like elements and parts, in which:
  • FIG. 1 is a side cross-sectional view depicting providing a substrate comprising at least a silicon layer atop a buried dielectric layer, in accordance with one embodiment of the present disclosure.
  • FIG. 2 is a side cross-sectional view depicting etching the silicon layer to provide at least a first device region, a second device region and a third device region in the substrate, in accordance with one embodiment of the present disclosure.
  • FIG. 3 is a side cross-sectional view depicting forming a first block mask on the first device region, in accordance with one embodiment of the present disclosure.
  • FIG. 4 is a side cross-sectional view depicting forming a silicon germanium layer on at least a portion of the silicon layer in at least one of the second device region and the third device region, in accordance with one embodiment of the present disclosure.
  • FIG. 5 is a side cross-sectional view depicting diffusing germanium from the silicon germanium layer into the silicon layer in at least one of the second device region and the third device region, in accordance with one embodiment of the present disclosure.
  • FIG. 6 is a cross-sectional view depicting forming a second block mask over one of the second device region and the third device region in which the germanium from the silicon germanium layer has diffused into the silicon layer, in accordance with one embodiment of the present disclosure.
  • FIG. 7 is a side cross-sectional view depicting forming a silicon doped with carbon layer on an exposed silicon germanium layer that is not covered with the second block mask, in accordance with one embodiment of the present disclosure.
  • FIG. 8 is a side cross-sectional view depicting forming at least one low power semiconductor device including a first n-type semiconductor device and a first p-type semiconductor device on the silicon layer within the first device region, forming a second p-type semiconductor device on the silicon germanium layer of the second device region, and forming a second n-type semiconductor device on the silicon doped with carbon layer of the third device region, in accordance with one embodiment of the present disclosure.
  • FIG. 9 is a side cross-sectional view depicting removing the silicon germanium layer that is underlying the silicon doped with carbon layer to provide an undercut region between the silicon doped with carbon layer and the buried insulating layer, in accordance with one embodiment of the present disclosure.
  • FIG. 10 is a side cross-sectional view depicting filling the undercut region with a dielectric material, in accordance with one embodiment of the present disclosure.
  • DETAILED DESCRIPTION
  • Detailed embodiments of the present disclosure are described herein; however, it is to be understood that the disclosed embodiments are merely illustrative of the methods and structures that may be embodied in various forms. In addition, each of the examples given in connection with the various embodiments of the disclosure is intended to be illustrative, and not restrictive. Further, the figures are not necessarily to scale, some features may be exaggerated to show details of particular components. Therefore, specific structural and functional details disclosed herein are not to be interpreted as limiting, but merely as a representative basis for teaching one skilled in the art to variously employ the methods and structures of the present disclosure.
  • References in the specification to “one embodiment”, “an embodiment”, “an example embodiment”, etc., indicate that the embodiment described may include a particular feature, structure, or characteristic, but every embodiment may not necessarily include the particular feature, structure, or characteristic. Moreover, such phrases are not necessarily referring to the same embodiment. Further, when a particular feature, structure, or characteristic is described in connection with an embodiment, it is submitted that it is within the knowledge of one skilled in the art to affect such feature, structure, or characteristic in connection with other embodiments whether or not explicitly described. For purposes of the description hereinafter, the terms “upper”, “lower”, “right”, “left”, “vertical”, “horizontal”, “top”, “bottom”, and derivatives thereof shall relate to the invention, as it is oriented in the drawing figures. The terms “overlying”, “atop”, “positioned on”, “present on” or “positioned atop” means that a first element, such as a first structure, is present on a second element, such as a second structure, wherein intervening elements, such as an interface structure, e.g. interface layer, may be present between the first element and the second element. The term “direct contact” means that a first element, such as a first structure, and a second element, such as a second structure, are connected without any intermediary conducting, insulating or semiconductor layers at the interface of the two elements.
  • It has been determined that most strain-engineering methods are inapplicable in semiconductor devices formed on extremely thin semiconductor on insulator (ETSOI) layers. An extremely thin semiconductor on insulator (ETSOI) layer is a semiconductor layer having a thickness that is 10 nm or less that is present atop a buried dielectric layer of a semiconductor on insulator (SOI) substrate. For example, it has been determined that embedded stress inducing wells that are typically used to enhance hole and electron transport in field effect transistors (FETs) that are formed on bulk substrates cannot be employed in ETSOI layers. Further, strain transferred from the stress inducing liners to the channel of FETs formed on ETSOI layers may be negligible due to the presence of raised source/drain structures. Further, both embedded stress inducing wells in source and drain regions, and stress inducing liner methods loose their effectiveness as the gate pitch is reduced for technology scaling.
  • Additionally, threshold voltage adjustment for high performance and low power devices is also problematic for FETs formed on ETSOI layers. As used herein, “threshold voltage (Vt)” is the lowest attainable gate voltage that will turn on a semiconductor device, e.g., FET, by making the channel region of the device conductive. Gate workfunction engineering is one method for threshold voltage (Vt) adjustment in undoped channel devices. However, the material availability is limited for providing low threshold voltage p-type field effect transistors (pFETs). Channel regions composed of silicon germanium have been proposed to lower the pFET threshold voltage. However, since the total channel thickness should be limited, e.g., to a total thickness of 10 nm or smaller, in devices formed on ETSOI layers in order to control short channel effects, conventional silicon germanium/silicon channels cannot be formed stop a silicon layer.
  • In some embodiments, the methods and structures disclosed herein provide a hybrid semiconductor structure that includes silicon, silicon germanium, and silicon doped with carbon channel technology that may overcome the aforementioned challenges for forming semiconductor devices on ETSOI layers. As used herein, the term “semiconductor device” refers to an intrinsic semiconductor material that has been doped, that is, into which a doping agent has been introduced, giving it different electrical properties than the intrinsic semiconductor. Doping involves adding dopant atoms to an intrinsic semiconductor, which changes the electron and hole carrier concentrations of the intrinsic semiconductor at thermal equilibrium. Dominant carrier concentration in an extrinsic semiconductor determines the conductivity type of the semiconductor.
  • In some embodiments, low power FETs are fabricated with a channel region composed of silicon in a first device region of the substrate, and high performance pFETs and high performance nFETs are fabricated with channel regions composed of SiGe and Si:C channels, respectively. A “field effect transistor (FET)” is a transistor in which output current, i.e., source-drain current, is controlled by the voltage applied to the gate. A FET has three terminals, i.e., gate structure, source region and drain region. A “gate structure” means a structure used to control output current (i.e., flow of carriers in the channel) of a semiconducting device through electrical or magnetic fields. As used herein, the term “drain” means a doped region in semiconductor device located at the end of the channel, in which carriers are flowing out of the transistor through the drain. As used herein, the term “source” is a doped region in the semiconductor device, in which majority carriers are flowing into the channel. As used herein, the term “channel” is the region underlying the gate structure and between the source region and drain region of a semiconductor device that becomes conductive when the semiconductor device is turned on.
  • A semiconductor device, e.g., FET, that is characterized as being “low power” is a semiconductor device that has an off current, i.e. source-drain current when gate voltage is equal to zero and the drain voltage is equal to the nominal supply voltage, that is less than about 10 nA/μm. In some embodiments, the off current of a low power FET is less then about 1 nA/μm. A semiconductor device, e.g., FET, that is characterized as being “high performance” is a semiconductor device that has an off-current higher than about 10 nA/μm. It should be noted that the definition of the low-power and high-performance semiconductor devices may depend on the application for the devices. Typically, a low-power FET has a threshold voltage higher than about 0.3 V, while a high-performance FET has a threshold voltage less than about 0.3 V. For example, a high performance nFET may have a threshold voltage ranging from 0.15 V to 0.25 V and a high performance pFET may have a threshold voltage ranging from 0.35 V to 0.45 V.
  • FIGS. 1-10 depict one embodiment of a semiconductor structure, and a method of forming thereof, that provides multiple threshold voltages that are required for co-integration of high performance and low power semiconductor devices, and offers a pitch independent method to incorporate tensile and compressive strain into high performance nFETs and pFETs, respectively.
  • FIG. 1 depicts one embodiment of a substrate 5 including at least a silicon layer 20 atop a buried dielectric layer 15. A base semiconductor layer 10 may be present underlying the dielectric layer 15. In some embodiments, the silicon layer 20 may have a base material that is greater than 99% silicon. The base material is the composition of a material layer prior to doping to provide a semiconductor device, e.g., prior to source and drain doping. In another embodiment, the silicon layer 20 may have a base material that is 100% silicon. In some embodiments, the silicon layer 20 of the substrate 5 may be thinned to a thickness of an ETSOI layer by planarization, grinding, wet etch, dry etch, oxidation followed by oxide etch, or any combination thereof. One method of thinning the silicon layer 20 is to oxidize the silicon by a thermal dry or wet oxidation process, and then wet etch the oxide layer using a hydrofluoric acid mixture. This process can be repeated to achieve the desired thickness. In one embodiment, the silicon layer 20 has a thickness ranging from 1.0 nm to 10.0 nm. In another embodiment, the silicon layer 20 has a thickness ranging from 1.0 nm to 5.0 nm. In a further embodiment, the silicon layer 20 has a thickness ranging from 3.0 nm to 8.0 nm. The base semiconductor layer 10 may be a semiconducting material including, but not limited to Si, strained Si, SiC, SiGe, SiGeC, Si alloys, Ge, Ge alloys, GaAs, InAs, InP as well as other III/V and II/VI compound semiconductors.
  • The buried dielectric layer 15 that may be present underlying the silicon layer 20 and atop the base semiconductor layer 10 may be formed by implanting a high-energy dopant into the substrate 5 and then annealing the structure. In another embodiment, the buried dielectric layer 15 may be deposited or grown prior to the formation of the silicon layer 20. In yet another embodiment, the substrate 5 may be formed using wafer-bonding techniques, where a bonded wafer pair is formed utilizing glue, adhesive polymer, or direct bonding. In yet another embodiment, the base semiconductor layer 10 may be a bulk semiconductor substrate, wherein the buried dielectric layer 15 and the silicon layer 20 may be deposited on the base semiconductor layer 10.
  • FIG. 2 depicts etching the silicon layer 20 to provide at least a first device region 25, a second device region 30 and a third device region 35 in the substrate 5. The etch process typically removes a portion of the silicon layer between the first device region 25 and the second device region 30, and removes a portion of the silicon layer that is between the second region 30 and the third region 35. In one embodiment, following etching a first remaining portion 20 a of the silicon layer is present in the first device region 25, a second remaining portion 20 b of the silicon layer is present in the second device region 25, and a third remaining portion 20 c of the silicon layer is present in the third device region 35.
  • In one embodiment, etching the silicon layer may include forming an etch mask (not shown) overlying the silicon layer, in which the portions of the silicon layer exposed by the etch mask are removed by an etch process that is selective to the buried dielectric layer 15. Specifically, an etch mask is produced by applying a photoresist to the surface to be etched, exposing the photoresist to a pattern of radiation, and then developing the pattern into the photoresist utilizing a resist developer. Once the patterning of the photoresist is completed, the sections covered by the photoresist are protected while the exposed regions are removed using a selective etching process that removes the unprotected regions. As used herein, the term “selective” in reference to a material removal process denotes that the rate of material removal for a first material is greater than the rate of removal for at least another material of the structure to which the material removal process is being applied. In some examples, the selectivity may be greater than 100:1.
  • In one embodiment, the etch process removes the exposed portions of the silicon layer with an etch chemistry that is selective to the buried dielectric layer 15. In one embodiment, the etch process that removes the portions of the silicon layer between the first device region 25, the second device region 30 and the third device region 35 is an anisotropic etch process. An anisotropic etch process is a material removal process in which the etch rate in the direction normal to the surface to be etched is greater than in the direction parallel to the surface to be etched. The anisotropic etch may include reactive-ion etching (RIE). Other examples of anisotropic etching that can be used at this point of the present disclosure include ion beam etching, plasma etching or laser ablation.
  • In one embodiment, the width W1 of the portion of the silicon layer that is removed from between the first device region 25 and the second device region 30 ranges from 10 nm to 1000 nm. In another embodiment, the width W1 of the portion of the silicon layer that is removed from between the first device region 25 and the second device region 30 ranges from 10 nm to 100 nm. In some embodiments, the width W2 of the portion of the silicon layer that is removed between the second device region 30 and the third device region 35 is equal to the width W1 of the portion of the silicon layer that is removed from between the first device region 25 and the second device region 30. The above dimensions for the width W1 separating the first device region 25 from the second device region 30, and the width W2 separating the second device region 30 from the third device region 35 is provided for illustrative purposes only and is not intended to limit the present disclosure.
  • FIG. 3 depicts one embodiment of forming a first block mask 40 on the first device region 25 of the substrate 5. In one embodiment, the first block mask 40 is formed protecting the portion of the substrate 5 in which the low power semiconductor devices, e.g., FETS, are subsequently formed. In some embodiments, the exposed portion of the substrate 5 that is not protected by the block mask 25 is subsequently processed to provide the high performance p-type semiconductor devices, e.g., pFET, and high performance n-type semiconductor devices, e.g., nFET.
  • The first block mask 40 may comprise soft and/or hardmask materials and can be formed using deposition, photolithography and etching. In one embodiment, the first block mask 40 is a hardmask composed of a nitride containing material, such as silicon nitride. It is noted that it is not intended that the first block mask 40 be limited to only silicon nitride, as the composition of the first block mask 40 may include any dielectric material that may be deposited on the substrate 5. Other hardmask compositions for the first block mask 40 may include silicon oxides, silicon oxynitrides, silicon carbides, silicon carbonitrides, etc. Spin-on dielectrics may also be utilized as a hardmask material including, but not limited to: silsequioxanes, siloxanes, and boron phosphate silicate glass (BPSG).
  • In one embodiment, a first block mask 40 comprising a hardmask material may be formed by blanket depositing a layer of hardmask material, providing a patterned photoresist atop the layer of hardmask material, and then etching the layer of hardmask material to provide a first block mask 40 protecting at least the first device region 25 of the substrate 5. The pattern and etch steps for forming the first block mask 40 are similar to the pattern and etch steps employed to etch the silicon layer in defining the first device region 25, second device region 30 and the third device region 35 of the substrate, as described above with reference to FIG. 2.
  • FIG. 4 depicts one embodiment of forming a silicon germanium layer 45 on one of the second and third remaining portions 20 b, 20 c in at least one of the second device region 30 and the third device region 35. In one embodiment, the silicon germanium layer 45 is formed using an epitaxial growth process. “Epitaxial growth and/or deposition” means the growth of a semiconductor material on a deposition surface of a semiconductor material, in which the semiconductor material being grown has substantially the same crystalline characteristics as the semiconductor material of the deposition surface. In some embodiments, when the chemical reactants are controlled and the system parameters set correctly, the depositing atoms arrive at the surface of the remaining portion 20 b, 20 c of the silicon layer with sufficient energy to move around on the surface and orient themselves to the crystal arrangement of the atoms of the deposition surface. Thus, an epitaxial film deposited on a {100} crystal surface will take on a {100} orientation. If, on the other hand, the wafer surface has an amorphous surface layer, possibly the result of implanting, the depositing atoms have no surface to align to, resulting in the formation of polysilicon instead of single crystal silicon. The first block mask 40 obstructs the silicon germanium layer 45 from being formed on the first portion 20 a of the silicon layer that is present in the first device region 25. In some embodiments, epitaxial growth of silicon germanium may be preferential, wherein the silicon germanium is not formed on dielectrics or amorphous materials. In some examples, in which amorphous silicon germanium is epitaxially formed on an amorphous surface, the amorphous silicon germanium may be removed by selective etching.
  • A number of different sources may be used for the deposition of epitaxial silicon germanium (SiGe). In some embodiments, the gas source for the deposition of epitaxial SiGe may include a mixture of silicon containing gas sources and germanium containing gas sources. For example, an epitaxial layer of silicon germanium may be deposited from the combination of a silicon gas source that is selected from the group consisting of silane, disilane, trisilane, tetrasilane, hexachlorodisilane, tetrachlorosilane, dichlorosilane, trichlorosilane, methylsilane, dimethylsilane, ethylsilane, methyldisilane, dimethyldisilane, hexamethyldisilane and combinations thereof, and a germanium gas source that is selected from the group consisting of germane, digermane, halogermane, dichlorogermane, trichlorogermane, tetrachlorogermane and combinations thereof. The germanium content of the epitaxial layer of silicon germanium may range from 5% to 70%, by atomic weight %. In another embodiment, the germanium content of the epitaxial layer of silicon germanium may range from 10% to 40%. The temperature for epitaxial silicon germanium deposition typically ranges from 550° C. to 900° C. Although higher temperature typically results in faster deposition, the faster deposition may result in crystal defects and film cracking. The thickness of the silicon germanium layer 45 may range from 3 nm to 20 nm. In another embodiment, the thickness of the silicon germanium layer 45 may range from 3 nm to 10 nm.
  • The epitaxial grown silicon germanium layer 45 may be under an intrinsic compressive strain, in which the compressive strain is produced by a lattice mismatch between the larger lattice dimension of the silicon germanium and the smaller lattice dimension of the layer on which the silicon germanium is epitaxially grown, e.g., the second and third portions 20 b, 20 c of the silicon layer. In one embodiment, the epitaxial grown silicon germanium produces a compressive strain, in which the channel of a semiconductor device, such as a pFET device, is subsequently formed.
  • FIG. 5 depicts diffusing germanium from the silicon germanium layer into the silicon layer in at least one of the second device region 30 and the third device region 35, wherein the diffusion of the germanium into the silicon layer converts the silicon layer and the silicon germanium layer into a single material layer of silicon germanium 50. The lower surface of the single material layer of silicon germanium 50 may be in direct contact with the upper surface of the buried dielectric layer 15. The single material layer of the silicon germanium 50 may have a germanium content ranging from 5 wt. % to 30 wt %. In another embodiment, the single material layer of the silicon germanium 50 may have a germanium content ranging from 5 wt. % to 15 wt %.
  • In another embodiment, the diffusion of the germanium atoms is performed in an oxygen-containing atmosphere. In this case, silicon atoms from the silicon germanium layer are preferentially oxidized. The single material layer of the silicon germanium 50 may have a germanium content ranging from 5 wt. % to 60 wt. %. In another embodiment, the single material layer of the silicon germanium 50 may have a germanium content ranging from 5 wt. % to 30 wt. %.
  • The thickness of the single material layer of the silicon germanium 50 may range from 3 nm to 20 nm. In another embodiment, the thickness of the single material layer of the silicon germanium 50 may range from 3 nm to 10 nm. In yet another embodiment, the silicon germanium layer is thinned to the desired thickness by etching process. For example, wet etching using a solution that contains hydrogen peroxide for example a solution that contains hydrogen peroxide and ammonium hydroxide can be used to etch the silicon germanium layer to the desired thickness. Alternatively, gaseous hydrogen chloride (HCl) chemistry can be used to etch the silicon germanium layer to the desired thickness. In one embodiment, the diffusing of the germanium from the silicon germanium layer into the silicon layer comprise annealing, such as rapid thermal annealing (RTA), flash lamp annealing, furnace annealing, laser annealing and combinations thereof. In one embodiment, the annealing may include a temperature ranging from 800° C. to 1200° C., and a time period ranging from 1 second to 90 minutes.
  • Typically, the intrinsic stress that is formed in the silicon germanium layer prior to diffusion of the germanium into the underlying silicon layer is maintained in the single material layer of the silicon germanium 50. For example, in one embodiment, the intrinsic compressive stress of the single material layer of silicon germanium 50 may range from 300 MPa to 4 GPa. In another embodiment, the intrinsic compressive stress in the single material layer of the silicon germanium 50 may range from 300 MPa to 2 GPa.
  • FIG. 6 depicts one embodiment of forming a second block mask 55 over the first device region 25 and the second device region 30, in which the third device region 35 remains exposed. The second block mask 55 that is depicted in FIG. 6 is similar to the first block mask 40 that is described above with reference to FIG. 3. Therefore, the description of the first block mask 40 that is depicted being formed in FIG. 3 is suitable for the second block mask 55 that is depicted being formed in FIG. 6.
  • FIG. 7 depicts forming a silicon doped with carbon layer 60 on the exposed single material layer of the silicon germanium 50 that is not covered with the second block mask 55. The term “Si:C” or “silicon doped with carbon” as used herein refers to silicon having substitutional carbon atoms located therein. The substitutional carbon atoms and the silicon atoms form a silicon-carbon alloy, which is a semiconductor material. In one embodiment, the silicon doped with carbon layer 60 is formed using an epitaxial growth process. The second block mask 55 obstructs the silicon doped with carbon layer 60 from being formed on the single material layer of the silicon germanium 50 that is present in the second device region 30, and the first block mask 40 obstructs the silicon doped with carbon layer 60 from being formed on the silicon layer 20 a that is present in the first device region 25.
  • A number of different sources may be used for the deposition of silicon doped with carbon layer 60. In some embodiments, the silicon containing gas sources for epitaxial growth include silane (SiH4), disilane (Si2H6), trisilane (Si3H8), tetrasilane (Si4H10), hexachlorodisilane (Si2Cl6), tetrachlorosilane (SiCl4), dichlorosilane (Cl2SiH2), trichlorosilane (Cl3SiH), methylsilane ((CH3)SiH3), dimethylsilane ((CH3)2SiH2), ethylsilane ((CH3CH2)SiH3), methyldisilane ((CH3)Si2H5), dimethyldisilane ((CH3)2Si2H4), hexamethyldisilane ((CH3)6Si2) and combinations thereof. The carbon may be introduced to the epitaxial silicon by ion implantation. In another embodiment, the epitaxially grown silicon may be in-situ doped using a carbon containing gas.
  • The carbon (C) content of the epitaxial grown silicon doped with carbon may range from 0.3% to 5%, by atomic weight %. In another embodiment, the carbon content of the epitaxial grown silicon doped with carbon may range from 1% to 2%. The temperature for epitaxial silicon deposition typically ranges from 550° C. to 900° C. Although higher temperature typically results in faster deposition, the faster deposition may result in crystal defects and film cracking. The thickness of the silicon doped with carbon layer 60 may range from 3 nm to 20 nm. In another embodiment, the thickness of the silicon doped with carbon layer 60 may range from 3 nm to 10 nm.
  • The silicon doped with carbon layer 60 may be under an intrinsic tensile strain, in which the tensile strain is produced by a lattice mismatch between the smaller lattice dimension of the silicon doped with carbon 60 and the larger lattice dimension of the layer on which the silicon doped with carbon layer 60 is epitaxially grown, e.g., the single material layer of silicon germanium 50. In one embodiment, the epitaxial grown silicon doped with carbon layer 60 produces a tensile strain, in which the channel of a semiconductor device, such as an nFET device, is subsequently formed. For example, in one embodiment, the intrinsic tensile stress in the silicon doped with carbon layer 60 may range from 200 MPa to 2 GPa. In another embodiment, the intrinsic tensile stress in the silicon doped with carbon layer 60 may range from 200 MPa to 1 GPa.
  • FIG. 8 depicts one embodiment of forming the gate structure 6 a, 6 b to the n-type semiconductor device 65 a and the p-type semi conductor device 65 b of the at least one low power semiconductor device 65 a, 65 b on the first remaining portion 20 a of the silicon layer within the first device region 25, forming a gate structure 6 c to the at least one p-type high performance semiconductor device 70 on the single material layer of silicon germanium 50 in the second device region 30, and forming a gate structure 6 d of an n-type high performance semiconductor device 75 on the silicon doped with carbon layer (Si:C) 60 of the third device region 35. The pitch separating adjacent gate structures 6 a, 6 b, 6 c may range from 40 nm to 200 nm. The term “pitch” means the center-to-center distance between two repeating gate structures 6 a, 6 b, 6 c of a semiconductor structure. The gate structure 6 b within the second device region 30 may be vertically offset and above the gate structure 6 a within the first device region 25. The gate structure 6 c within the third device region 35 may be vertically offset and above the gate structure 6 b within the second device region 30.
  • The gate structures 6 a, 6 b, 6 c, 6 d can be formed using deposition, photolithography and a selective etching process. The gate structures 6 a, 6 b, 6 c, 6 d may include at least a gate conductor 7 atop a gate dielectric 8. In one embodiment, the gate conductor 7 may be a metal gate electrode. The metal gate electrode may be any conductive metal including, but not limited to W, Ni, Ti, Mo, Ta, Cu, Pt, Ag, Au, Ru, Ir, Rh, and Re, and alloys that include at least one of the aforementioned conductive elemental metals. In another embodiment, the gate conductor 7 may be a doped semiconductor material, such as a doped silicon containing material, e.g., doped polysilicon. The gate dielectric 8 may be a dielectric material, such as SiO2, or alternatively high-k dielectrics, such as oxides of Hf, Ta, Zr, Al or combinations thereof. In one embodiment, the gate dielectric 9 has a thickness ranging from 1 nm to 10 nm. In another embodiment, the gate dielectric 9 has a thickness ranging from 1.5 nm to 2.5 nm. In one embodiment, the gate structures 6 a, 6 b, 6 c may be formed using replacement gate processes.
  • A set of spacers 11 can be formed in direct contact with the sidewalls of the gate structures 6 a, 6 b, 6 c, 6 d. The spacer 11 can be formed using deposition and etch processing steps. The spacer 11 may be composed of a dielectric, such as nitride, oxide, oxynitride, or a combination thereof.
  • FIG. 9 depicts one embodiment of removing the single material layer of the silicon germanium that is underlying the silicon doped with carbon layer 60 to provide an undercut region 85 between the silicon doped with carbon layer 60 and the buried dielectric layer 15.
  • In one embodiment, removing the single material layer of the silicon germanium may begin with forming a supporting dielectric 80 overlying the first device region 25, the second device region 30, and the third device region 35. The supporting dielectric 80 may be selected from the group consisting of silicon containing materials such as SiO2, Si3N4, SiC, SiCO, SiCOH, and SiCH compounds, the above-mentioned silicon containing materials with some or all of the Si replaced by Ge, carbon doped oxides, inorganic oxides, inorganic polymers, hybrid polymers, organic polymers such as polyamides or SiLK™, other carbon containing materials, organo-inorganic materials such as spin-on glasses and silsesquioxane-based materials, and diamond-like carbon (DLC, also known as amorphous hydrogenated carbon, α-C:H). The supporting dielectric 80 may be formed by various deposition, including, but not limited to, spinning from solution, spraying from solution, chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), sputter deposition, reactive sputter deposition, ion-beam deposition, and evaporation.
  • Following deposition, the supporting dielectric 80 may be patterned and etched to provide openings exposing at least the end portions of the single material layer of the silicon germanium 50 that is present underlying the silicon doped with carbon layer 60. The openings to the single material layer of silicon germanium 50 may be formed using an anisotropic etch, such as reactive ion etch (RIE). In some embodiments, the etch process for forming the openings to the single material layer of silicon germanium 50 removes the material of the supporting dielectric 80 selective to the single material layer of silicon germanium 50.
  • Still referring to FIG. 9, in some embodiments, following the formation of the openings exposing the single material layer of silicon germanium 50, a selective etch process may remove the single material layer of silicon germanium 50 without significantly damaging the silicon doped with carbon layer 60 and the buried dielectric layer 15. In some embodiments, the etch process for removing the single material of silicon germanium 50 is also selective to the supporting dielectric 80. In some embodiments, the etch for removing the single material layer of silicon germanium 50 is an isotropic, i.e., non-directional etch. For example, the etch process for removing the single material layer of silicon germanium 50 may be a dry etch, such as a plasma etch, in which the etch chemistry is composed of hydrochloric acid (HCl). By removing the single material layer of silicon germanium from underneath the silicon doped with carbon layer 60, an undercut region 85 is formed in the third device region 35 of the substrate 5. Although, the silicon doped with carbon layer 60 is depicted as floating in FIG. 9, it is noted that the silicon doped with carbon layer 60 is supported by the gate structure that is formed on top of the silicon doped with carbon 60 layer and its extension is formed on the buried dielectric layer 15.
  • FIG. 10 depicts one embodiment of filling the undercut region with a dielectric fill material 90. The dielectric fill material 90 may be formed by various deposition methods, including, but not limited to, spinning from solution, spraying from solution, chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), sputter deposition, reactive sputter deposition, ion-beam deposition, and evaporation. The dielectric fill material 90 may be composed of any dielectric material including oxides, nitrides or oxynitrides. In some examples, the dielectric fill material 90 may be composed of silicon oxide (SiO2) or silicon nitride. It is noted that the aforementioned materials for the dielectric fill material 90 have been provided for illustrative purposes only, as any dielectric material may be suitable for the dielectric fill material 90. For example, the dielectric fill material 90 may have any composition that is listed for the supporting dielectric 80 described above with reference to FIG. 9. Following formation of the dielectric fill material 90, the supporting dielectric 80 may be removed to expose the first device region 25, second device region 30 and the third device region 35 for further processing.
  • In one embodiment, a source region 12 and a drain region 13 is formed on opposing sides of the gate structures 6 a, 6 b, 6 c, 6 d for each of the n-type semiconductor low power semiconductor device 65 a and the n-type semiconductor device 65 b of the p-type high performance semiconductor device 70, and the n-type high performance semiconductor device 75. In the embodiment depicted in FIG. 8, the low power semiconductor device is a complementary metal oxide semiconductor (CMOS) device, where in the n-type semiconductor device 65 a is provided by an nFET, and the p-type semiconductor device 65 b is provided by a pFET In one embodiment, the conductivity type of the semiconductor device dictates the dopant conductivity type of the source regions 12 and the drain regions 13. As used herein, the terms “conductivity type” denote a p-type or n-type doped semiconductor. As used herein, “p-type” refers to the addition of impurities to an intrinsic semiconductor that creates deficiencies of valence electrons. In a silicon-containing substrate, examples of n-type dopants, i.e., impurities, include but are not limited to: boron, aluminum, gallium and indium. As used herein, “n-type” refers to the addition of impurities that contributes free electrons to an intrinsic semiconductor. In a silicon containing substrate examples of n-type dopants, i.e., impurities, include but are not limited to antimony, arsenic and phosphorous. The dopant that provides the source regions 12 and drain regions 13 may be introduced to the semiconductor substrate using ion implantation.
  • In another embodiment, the source regions 12 and drain regions 13 may be raised source and drain regions. As used herein, the term “raised” in combination with source and/or drain denotes that the source and/or drain region is formed of a semiconductor material layer that is present on an upper surface of the substrate 5 on which the gate dielectric 8 is present. The raised source and drain regions may be composed of an epitaxially formed material that is doped using in-situ doping processes, or is doped by ion implantation.
  • Still referring to FIG. 10, in one embodiment, a semiconductor device is provided that includes a substrate 5 including a first device region 25 including a silicon layer 20 a in direct contact with a buried dielectric layer 15, a second device region 30 including a silicon germanium layer 50 in direct contact with the buried dielectric layer 15, and a third device region 35 with a silicon doped with carbon layer 60. In one embodiment, the silicon layer 20 a is comprised of a base material of 100% silicon, the silicon germanium layer 50 comprises a germanium content ranging from 5 wt. % to 30 wt %, and the silicon doped with carbon layer 60 comprises a carbon content ranging from 0.3 wt. % to 2 wt. %.
  • At least one low power semiconductor device including a first n-type semiconductor device 65 a and a first p-type semiconductor device 65 b is present on the silicon layer 20 a within the first device region 25 of the substrate 5. In one embodiment, the first n-type semiconductor device 65 a and the first p-type semiconductor device 65 b of the low power semiconductor device are field effective transistors (FET). In one embodiment, the nFET 65 a of the low power semiconductor device may have an off current ranging from 0.1 nA/μm to 10 nA/μm. In one embodiment, the first p-type semiconductor device 65 b of the low power semiconductor device may have an off current ranging from 0.1 nA/μm to 10 nA/μm. The first n-type semiconductor device 65 b, e.g., nFET, of the low power semiconductor device may have a threshold voltage ranging from 0.25 V to 0.45 V. The first p-type semiconductor device 65 b, e.g., pFET, of the low power semiconductor device may have a threshold voltage ranging from 0.25 V to 0.45 V.
  • The high performance p-type semiconductor device 70, e.g., pFET (hereafter referred to as “second p-type semiconductor device 70”) that is present in the second device region 30 has a lower threshold voltage than the first p-type semiconductor device 65 b of the low power semiconductor device, and has a greater off current than the first p-type semi conductor device 65 b of the lower power semiconductor device. In one embodiment, the second p-type semiconductor device 70 may have an off current ranging from 10 nA/μm to 1000 nA/μm. In one embodiment, the second p-type semiconductor device has a threshold voltage ranging from 0.1 V to 0.3 V. The second p-type semiconductor device 70 may have a threshold voltage that is 0.05 V to 0.5 V lower than the first p-type semiconductor device 65 b of the low power semiconductor device that is in the first device region 25. In another embodiment, the second p-type semiconductor device 70 may have a threshold voltage that is 0.1 V to 0.4 V lower than the first p-type semiconductor device that is in the first device region. The second p-type semiconductor device 70 may also be characterized as having a higher leakage than the p-type semiconductor device 65 b of the low power semiconductor device.
  • The high performance n-type semiconductor device 75, e.g., nFET, (hereafter referenced to as “second n-type semiconductor device 75”) that is present in the third device region 35 has a lower threshold voltage than the first n-type semiconductor device 65 a of the low power semiconductor device, and has a greater on current than the first n-type semiconductor device 65 a of the lower power semiconductor device. In one embodiment, the high performance n-type semiconductor device 75 may have an off current ranging from 10 nA/μm to 1000 nA/μm. In one embodiment, the second n-type semiconductor device 75 has a threshold voltage ranging from 0.1 V to 0.3 V. The second n-type semiconductor device 75 may have a threshold voltage that is 0.05 V to 0.3 V that is less then the threshold voltage of the first n-type semiconductor device 65 a of the low power semiconductor device that is present in the first device region 25. In one embodiment the second n-type semiconductor device 75 may have a threshold voltage that is 0.1 V to 0.2 V that is less than the threshold voltage of the first n-type semiconductor device of the low power semiconductor device that is present in the first device region. The second n-type semiconductor device 75 may also be characterized as having a higher leakage than the first n-type semiconductor device 65 a of the low power semiconductor device.
  • While the structures and methods of the present disclosure have been particularly shown and described with respect to preferred embodiments thereof, it will be understood by those skilled in the art that the foregoing and other changes in forms and details may be made without departing from the spirit and scope of the present disclosure. It is therefore intended that the present disclosure not be limited to the exact forms and details described and illustrated, but fall within the scope of the appended claims.

Claims (17)

1. A method of forming a semiconductor structure comprising:
etching a silicon layer of a semiconductor on insulator substrate to provide at least a first device region, a second device region and a third device region;
forming a first block mask on the first device region;
forming a silicon germanium layer on at least a portion of the silicon layer in at least one of the second device region and the third device region;
diffusing germanium from the silicon germanium layer into the silicon layer in at least one of the second device region and the third device region;
forming a second block mask over one of the second device region and the third device region in which the germanium from the silicon germanium layer has diffused into the silicon layer; and
forming a silicon doped with carbon layer on an exposed silicon germanium layer that is not covered with the second block mask.
2. The method of claim 1, wherein the silicon layer is planarized or etched to a thickness of less than 10 nm.
3. The method of claim 1, wherein the etching of the silicon layer comprises removing a portion of the silicon layer separating the first device region, the second device region and the third device region.
4. The method of claim 1, wherein the forming of the first block mask over the first device region comprises:
depositing a first dielectric layer over the first device region, the second device region and the third device region;
forming an first etch mask over the first dielectric layer protecting the portion of the first dielectric layer that is overlying the first device region; and
etching the first dielectric layer selectively to at least the first etch mask and the silicon layer in the second device region and the third device region.
5. The method of claim 1, wherein the forming of the silicon germanium layer comprises epitaxial deposition of silicon germanium from a precursor selected from the group consisting of silane, disilane, trisilane, tetrasilane, hexachlorodisilane, tetrachlorosilane, dichlorosilane, trichlorosilane, methylsilane, dimethylsilane, ethylsilane, methyldisilane, dimethyldisilane, hexamethyldisilane, germane, digermane, halogermane, dichlorogermane, trichlorogermane, tetrachlorogermane and combinations thereof.
6. The method of claim 1, wherein the diffusing of the germanium from the silicon germanium layer into the silicon layer comprise annealing.
7. The method of claim 6, wherein the annealing comprises a temperature ranging from 800° C. to 1200° C., and a time period ranging from 1 second to 1 hour.
8. The method of claim 7, wherein the diffusing of the germanium from the silicon germanium layer into the silicon layer converts the silicon germanium layer and the silicon layer into a single material layer of silicon germanium comprising a germanium content ranging from 5 wt. % to 60 wt. %.
9. The method of claim 1, wherein the forming of the second block mask comprises:
depositing a second dielectric layer over the first device region, and the second device region and the third device region;
forming a second etch mask over the second dielectric layer protecting the portion of the second dielectric layer that is overlying the one of the second device region and the third device region; and
etching the second dielectric layer selectively to at least the second etch mask.
10. The method of claim 1, wherein the forming of the silicon doped with carbon layer comprises epitaxial deposition of a silicon material from a precursor selected from the group consisting of silane (SiH4), disilane (Si2H6), trisilane (Si3H8), tetrasilane (Si4H10), hexachlorodisilane (Si2Cl6), tetrachlorosilane (SiCl4), dichlorosilane (Cl2SiH2), trichlorosilane (Cl3SiH), methylsilane ((CH3)SiH3), dimethylsilane ((CH3)2SiH2), ethylsilane ((CH3CH2)SiH3), methyldisilane ((CH3)Si2H5), dimethyldisilane ((CH3)2Si2H4), hexamethyldisilane ((CH3)6Si2) and combinations thereof, wherein carbon is introduced to the silicon material by ion implantation or in-situ doping during the epitaxial deposition.
11. The method of claim 1, wherein the silicon doped with carbon layer comprises a carbon content ranging from 0.2 wt. % to 2 wt. %.
12. The method of claim 1 further comprises removing the silicon germanium layer that is underlying the silicon doped with carbon layer to provide an undercut region between the silicon doped with carbon layer and the buried dielectric layer.
13. The method of claim 12, wherein the removing of the silicon germanium layer comprises an isotropic dry etch composed of hydrochloric acid (HCl).
14. The method of claim 12 further comprising filling the undercut region with a dielectric fill material.
15. The method of claim 12 further comprising:
forming at least one low power semiconductor device on the silicon layer within the first device region;
forming a p-type semiconductor device on the silicon germanium layer of the second device region; and
forming an n-type semiconductor device on the silicon doped with carbon layer of the third device region.
16. A method of fabricating a semiconductor device comprising:
providing a semiconductor substrate including a first device region including a silicon layer in direct contact with a buried dielectric layer, a second device region including a silicon germanium layer in direct contact with the buried dielectric layer, and a third device region with a silicon doped with carbon layer;
forming at least one low power semiconductor device including a first n-type semiconductor device and a first p-type semiconductor device on the silicon layer within the first device region of the semiconductor substrate;
forming at least one second p-type semiconductor device on the silicon germanium layer of the second device region of the semiconductor substrate, wherein the at least one second p-type semiconductor device has a threshold voltage that is 0.05 V to 0.5 V less than a threshold voltage of the first p-type semiconductor device of the at least one low power semiconductor device; and
forming at least one second n-type semiconductor device on the silicon doped with carbon layer of the third device region of the semiconductor substrate, wherein the at least one second n-type semiconductor device has a threshold voltage that is 0.05 V to 0.3 V less than a threshold voltage of the first n-type semiconductor device of the at least one low power semiconductor device.
17-21. (canceled)
US13/280,850 2011-10-25 2011-10-25 Thin semiconductor-on-insulator mosfet with co-integrated silicon, silicon germanium and silicon doped with carbon channels Abandoned US20130099318A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US13/280,850 US20130099318A1 (en) 2011-10-25 2011-10-25 Thin semiconductor-on-insulator mosfet with co-integrated silicon, silicon germanium and silicon doped with carbon channels
US13/607,743 US8866227B2 (en) 2011-10-25 2012-09-09 Thin semiconductor-on-insulator MOSFET with co-integrated silicon, silicon germanium and silicon doped with carbon channels

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/280,850 US20130099318A1 (en) 2011-10-25 2011-10-25 Thin semiconductor-on-insulator mosfet with co-integrated silicon, silicon germanium and silicon doped with carbon channels

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/607,743 Continuation US8866227B2 (en) 2011-10-25 2012-09-09 Thin semiconductor-on-insulator MOSFET with co-integrated silicon, silicon germanium and silicon doped with carbon channels

Publications (1)

Publication Number Publication Date
US20130099318A1 true US20130099318A1 (en) 2013-04-25

Family

ID=48135287

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/280,850 Abandoned US20130099318A1 (en) 2011-10-25 2011-10-25 Thin semiconductor-on-insulator mosfet with co-integrated silicon, silicon germanium and silicon doped with carbon channels
US13/607,743 Expired - Fee Related US8866227B2 (en) 2011-10-25 2012-09-09 Thin semiconductor-on-insulator MOSFET with co-integrated silicon, silicon germanium and silicon doped with carbon channels

Family Applications After (1)

Application Number Title Priority Date Filing Date
US13/607,743 Expired - Fee Related US8866227B2 (en) 2011-10-25 2012-09-09 Thin semiconductor-on-insulator MOSFET with co-integrated silicon, silicon germanium and silicon doped with carbon channels

Country Status (1)

Country Link
US (2) US20130099318A1 (en)

Cited By (213)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130241028A1 (en) * 2012-03-16 2013-09-19 Semiconductor Manufacturing International Corp. Silicon-on-insulator substrate and fabrication method
US8859348B2 (en) * 2012-07-09 2014-10-14 International Business Machines Corporation Strained silicon and strained silicon germanium on insulator
US9530669B1 (en) * 2015-11-30 2016-12-27 International Business Machines Corporation Method of making a semiconductor device having a semiconductor material on a relaxed semiconductor including replacing a strained, selective etchable material, with a low density dielectric in a cavity
US10153157B2 (en) 2014-03-21 2018-12-11 International Business Machines Corporation P-FET with graded silicon-germanium channel
US20190131124A1 (en) * 2017-10-30 2019-05-02 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9876110B2 (en) 2014-01-31 2018-01-23 Stmicroelectronics, Inc. High dose implantation for ultrathin semiconductor-on-insulator substrates
US9721947B2 (en) 2014-02-12 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing
US9583378B2 (en) * 2014-05-01 2017-02-28 International Business Machines Corporation Formation of germanium-containing channel region by thermal condensation utilizing an oxygen permeable material
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
US9536775B2 (en) 2015-05-29 2017-01-03 International Business Machines Corporation Aspect ratio for semiconductor on insulator

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8110483B2 (en) * 2009-10-22 2012-02-07 International Business Machines Corporation Forming an extremely thin semiconductor-on-insulator (ETSOI) layer

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3678661B2 (en) 2001-03-08 2005-08-03 シャープ株式会社 Semiconductor device
US7303949B2 (en) 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US7268049B2 (en) 2004-09-30 2007-09-11 International Business Machines Corporation Structure and method for manufacturing MOSFET with super-steep retrograded island
US7348248B2 (en) 2005-07-12 2008-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS transistor with high drive current and low sheet resistance
US7439594B2 (en) 2006-03-16 2008-10-21 Micron Technology, Inc. Stacked non-volatile memory with silicon carbide-based amorphous silicon thin film transistors
US7482656B2 (en) 2006-06-01 2009-01-27 International Business Machines Corporation Method and structure to form self-aligned selective-SOI
US7906381B2 (en) 2007-07-05 2011-03-15 Stmicroelectronics S.A. Method for integrating silicon-on-nothing devices with standard CMOS devices
US8169024B2 (en) 2009-08-18 2012-05-01 International Business Machines Corporation Method of forming extremely thin semiconductor on insulator (ETSOI) device without ion implantation

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8110483B2 (en) * 2009-10-22 2012-02-07 International Business Machines Corporation Forming an extremely thin semiconductor-on-insulator (ETSOI) layer

Cited By (245)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US8980729B2 (en) * 2012-03-16 2015-03-17 Semiconductor Manufacturing International Corp. Silicon-on-insulator substrate and fabrication method
US20130241028A1 (en) * 2012-03-16 2013-09-19 Semiconductor Manufacturing International Corp. Silicon-on-insulator substrate and fabrication method
US8859348B2 (en) * 2012-07-09 2014-10-14 International Business Machines Corporation Strained silicon and strained silicon germanium on insulator
US9281247B2 (en) 2012-07-09 2016-03-08 Globalfoundries Inc. Strained silicon and strained silicon germanium on insulator field-effect transistor
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10153157B2 (en) 2014-03-21 2018-12-11 International Business Machines Corporation P-FET with graded silicon-germanium channel
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US9530669B1 (en) * 2015-11-30 2016-12-27 International Business Machines Corporation Method of making a semiconductor device having a semiconductor material on a relaxed semiconductor including replacing a strained, selective etchable material, with a low density dielectric in a cavity
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
TWI808093B (en) * 2017-10-30 2023-07-11 荷蘭商Asm智慧財產控股公司 Methods for forming a semiconductor structure and related semiconductor structures
US10923344B2 (en) * 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US20190131124A1 (en) * 2017-10-30 2019-05-02 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Also Published As

Publication number Publication date
US20130099319A1 (en) 2013-04-25
US8866227B2 (en) 2014-10-21

Similar Documents

Publication Publication Date Title
US8866227B2 (en) Thin semiconductor-on-insulator MOSFET with co-integrated silicon, silicon germanium and silicon doped with carbon channels
US9530843B2 (en) FinFET having an epitaxially grown semiconductor on the fin in the channel region
US8617968B1 (en) Strained silicon and strained silicon germanium on insulator metal oxide semiconductor field effect transistors (MOSFETs)
US10396214B2 (en) Method of fabricating electrostatically enhanced fins and stacked nanowire field effect transistors
US9240355B2 (en) On-chip diode with fully depleted semicondutor devices
US8084309B2 (en) Extremely thin silicon on insulator (ETSOI) complementary metal oxide semiconductor (CMOS) with in-situ doped source and drain regions formed by a single mask
US10340368B2 (en) Fin formation in fin field effect transistors
US9373637B2 (en) Epitaxial semiconductor resistor with semiconductor structures on same substrate
US8299546B2 (en) Semiconductor devices with vertical extensions for lateral scaling
US20170365712A1 (en) Precise junction placement in vertical semiconductor devices using etch stop layers
US8895381B1 (en) Method of co-integration of strained-Si and relaxed Si or strained SiGe FETs on insulator with planar and non-planar architectures
US20130161693A1 (en) Thin hetereostructure channel device
US9653541B2 (en) Structure and method to make strained FinFET with improved junction capacitance and low leakage
US9059248B2 (en) Junction butting on SOI by raised epitaxial structure and method
US9583378B2 (en) Formation of germanium-containing channel region by thermal condensation utilizing an oxygen permeable material
US9685535B1 (en) Conductive contacts in semiconductor on insulator substrate
US9209065B1 (en) Engineered substrate and device for co-integration of strained silicon and relaxed silicon

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ADAM, THOMAS N.;BEDELL, STEPHEN W.;CHENG, KANGGUO;AND OTHERS;SIGNING DATES FROM 20111014 TO 20111023;REEL/FRAME:027116/0876

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO PAY ISSUE FEE

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910