US20110256734A1 - Silicon nitride films and methods - Google Patents

Silicon nitride films and methods Download PDF

Info

Publication number
US20110256734A1
US20110256734A1 US13/084,305 US201113084305A US2011256734A1 US 20110256734 A1 US20110256734 A1 US 20110256734A1 US 201113084305 A US201113084305 A US 201113084305A US 2011256734 A1 US2011256734 A1 US 2011256734A1
Authority
US
United States
Prior art keywords
containing reactant
silicon
substrate
nitrogen
reactant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/084,305
Inventor
Dennis M. Hausmann
Jon Henri
Mandyam Sriram
Bart J. van Schravendijk
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to US13/084,305 priority Critical patent/US20110256734A1/en
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Priority to KR1020127004928A priority patent/KR20130057409A/en
Priority to SG2012076311A priority patent/SG184566A1/en
Priority to PCT/US2011/032303 priority patent/WO2011130397A2/en
Priority to TW100113037A priority patent/TW201207148A/en
Assigned to NOVELLUS SYSTEMS, INC. reassignment NOVELLUS SYSTEMS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SRIRAM, MANDYAM, VAN SCHRAVENDIJK, BART J., HAUSMANN, DENNIS M., HENRI, JON
Priority to US13/224,240 priority patent/US9611544B2/en
Priority to US13/242,084 priority patent/US8637411B2/en
Publication of US20110256734A1 publication Critical patent/US20110256734A1/en
Priority to US13/472,282 priority patent/US8647993B2/en
Priority to US13/607,386 priority patent/US8956983B2/en
Priority to US14/133,239 priority patent/US8999859B2/en
Priority to US14/194,549 priority patent/US9997357B2/en
Priority to US14/607,997 priority patent/US9570274B2/en
Priority to US15/426,889 priority patent/US10043655B2/en
Priority to US15/976,793 priority patent/US10559468B2/en
Priority to US16/556,122 priority patent/US11011379B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate

Definitions

  • the present disclosure relates generally to formation of SiN materials on substrates. More particularly, the disclosure relates to formation of SiN films on semiconductor substrates.
  • SiN thin films have unique physical, chemical and mechanical properties and thus are used in a variety of applications, particularly semiconductor devices, for example in diffusion barriers, gate insulators, sidewall spacers, encapsulation layers, strained films in transistors, and the like.
  • One issue with SiN films is the relatively high temperatures used to form the films, for example, in Front End of Line (FEOL) applications, SiN films are typically deposited by chemical vapor deposition (CVD) in a reactor at greater than 750° C. using dichlorosilane and ammonia.
  • CVD chemical vapor deposition
  • SiN films are typically deposited by chemical vapor deposition (CVD) in a reactor at greater than 750° C. using dichlorosilane and ammonia.
  • CVD chemical vapor deposition
  • SiN films are typically deposited by chemical vapor deposition (CVD) in a reactor at greater than 750° C. using dichlorosilane and ammonia.
  • CVD chemical vapor deposition
  • SiN films are
  • amine salts are formed by reaction of amine reactants and acid by products, for example, hydrogen chloride and amine reactants combining It would be useful to have methods which reduce the amount of salts formed and thus improve processing by, for example, reducing downtime needed to clean reactors and improving film quality.
  • SiN films Another issue with SiN films is, in certain instances, unwanted carbon content in the film due to carbon content of reactants used to form the SiN film.
  • One way that such carbon content is removed is by high temperature anneal, for example, greater than 600° C., and thus the aforementioned finer features are jeopardized.
  • high temperature anneal for example, greater than 600° C.
  • carbon content is desirable, and it would be helpful if one could more precisely tailor the carbon content of the film.
  • a useful way to deposit SiN films is atomic layer deposition (ALD) and variants thereof, for example, plasma enhanced ALD (PEALD).
  • ALD atomic layer deposition
  • PEALD plasma enhanced ALD
  • the reaction chamber is purged after every reactant is introduced for adsorption onto the substrate surface. It would be helpful to have processes where one or more purges were unnecessary and thus, for example, throughput would be increased.
  • SiN materials on substrates particularly SiN thin films on semiconductor substrates.
  • Improved SiN films made by the methods are also included.
  • One embodiment is a method of forming a silicon nitride material on a substrate, including: (a) providing the substrate in a reaction chamber; (b) continuously exposing the substrate to a vapor phase flow of a nitrogen-containing reactant wherein the nitrogen-containing reactant is adsorbed onto the surface of the substrate; (c) periodically exposing the substrate to a vapor phase flow of a silicon-containing reactant wherein the silicon-containing reactant is adsorbed onto the surface of the substrate; and (d) periodically igniting a plasma in the reaction chamber when the vapor phase flow of the silicon-containing reactant has ceased.
  • the plasma is ignited to form a plasma from the nitrogen-containing reactant flowing in the reaction chamber.
  • a carrier gas is flowed continuously through the reaction chamber, thus the plasma may also contain components of the carrier gas, such as argon or nitrogen ions and/or radicals.
  • the concentration of the silicon-containing reactant in the reaction chamber is allowed to decrease substantially prior to striking the plasma.
  • the flow of nitrogen-containing reactant, and carrier gas if present, sweeps the excess silicon-containing reactant (that not adsorbed onto the surface of the substrate) out of the chamber without the need for a vacuum purge step, although, in one embodiment, a purge is performed prior to striking the plasma.
  • the SiN film produced has an undesirable carbon content. This in-film carbon may result in electrical leakage and may render the film unusable for some dielectric barrier applications. Methods described herein produce SiN films with less than 2% carbon, in one embodiment less than 1% carbon, in yet another embodiment less than 0.5% carbon. In some embodiments, the reduction in carbon residue is readily observable in FTIR spectra.
  • One embodiment is a method of forming a silicon nitride material on a substrate, including: (a) forming a silicon nitride film on the substrate, said formation including: (i) providing the substrate in a reaction chamber; (ii) exposing the substrate to a silicon-containing reactant in the vapor phase so that the silicon-containing reactant is adsorbed onto the surface of the substrate; (iii) exposing the substrate to an nitrogen-containing reactant in the vapor phase so that the nitrogen-containing reactant is adsorbed onto the surface of the substrate; (iv) igniting a plasma while the nitrogen-containing reactant is present in the vapor phase; and then, (b) exposing the silicon nitride film to a hydrogen containing plasma.
  • the hydrogen plasma reduces carbon content of the film.
  • the hydrogen plasma is generated using hydrogen (H 2 ) and a carrier gas such as nitrogen, helium or argon.
  • any method described herein can include heating the substrate to between about 50° C. and about 550° C. during formation of the SiN film.
  • Certain methods described herein take advantage of a thermally removable groups, attached either to a silicon-containing reactant or a nitrogen-containing reactant, in order to lower carbon content.
  • One embodiment is a method of forming a silicon nitride material on a substrate, including: (a) providing the substrate in a reaction chamber; (b) providing a carrier gas flow through the reaction chamber; (b) exposing the substrate to a vapor phase flow of a nitrogen-containing reactant wherein the nitrogen-containing reactant is adsorbed onto the surface of the substrate and then purging the reaction chamber; (c) exposing the substrate to a vapor phase flow of a silicon-containing reactant wherein the silicon-containing reactant is adsorbed onto the surface of the substrate; (d) igniting a plasma in the reaction chamber after the vapor phase flow of the silicon-containing reactant has ceased; and (e) heating the substrate to between about 200° C.
  • FIG. 1 shows a temporal progression of exemplary phases in a CFD process.
  • FIG. 2 is an exemplary process flow outlining a CFD process for making a SiN film.
  • FIG. 3 is an exemplary process flow outlining a method of making a SiN film.
  • FIG. 4 is an exemplary process flow outlining a method of making a SiN film.
  • FIG. 5 depicts a CFD processing station.
  • FIG. 6 depicts a schematic view of a multi-station processing tool
  • the present disclosure relates to formation of SiN films, particularly on semiconductor substrates.
  • Methods described herein include ways of controlling the carbon content in SiN films, particularly forming low-carbon content SiN films, as well as conformal film deposition (CFD) methods of forming SiN films.
  • CFD conformal film deposition
  • a “silicon-containing reactant” is a reagent, single or mixture of reagents, used to make a SiN material, where the reagent contains at least one silicon compound.
  • the silicon compound can be, for example, a silane, a halosilane or an aminosilane.
  • a silane contains hydrogen and/or carbon groups, but does not contain a halogen.
  • silanes examples include silane (SiH 4 ), disilane (Si 2 H 6 ), and organo silanes such as methylsilane, ethylsilane, isopropylsilane, t-butylsilane, dimethylsilane, diethylsilane, di-t-butylsilane, allylsilane, sec-butylsilane, thexylsilane, isoamylsilane, t-butyldisilane, di-t-butyldisilane, and the like.
  • a halosilane contains at least one halogen group and may or may not contain hydrogens and/or carbon groups.
  • halosilanes are iodosilanes, bromosilanes, chlorosilanes and fluorosilanes. Although halosilanes, particularly fluorosilanes, may form reactive halide species that can etch silicon materials, in certain embodiments described herein, the silicon-containing reactant is not present when a plasma is struck.
  • chlorosilanes are tetrachlorosilane (SiCl 4 ), trichlorosilane (HSiCl 3 ), dichlorosilane (H 2 SiCl 2 ), monochlorosilane (ClSiH 3 ), chloroallylsilane, chloromethylsilane, dichloromethylsilane, chlorodimethylsilane, chloroethylsilane, t-butylchlorosilane, di-t-butylchlorosilane, chloroisopropylsilane, chloro-sec-butylsilane, t-butyldimethylchlorosilane, thexyldimethylchlorosilane, and the like.
  • aminosilane includes at least one nitrogen atom bonded to a silicon atom, but may also contain hydrogens, oxygens, halogens and carbons.
  • Examples of aminosilanes are mono-, di-, tri- and tetra-aminosilane (H 3 Si(NH 2 ) 4 , H 2 Si(NH 2 ) 2 , HSi(NH 2 ) 3 and Si(NH 2 ) 4 , respectively), as well as substituted mono-, di-, tri- and tetra-aminosilanes, for example, t-butylaminosilane, methylaminosilane, tert-butylsilanamine, bis(tertiarybutylamino)silane (SiH 2 (NHC(CH 3 ) 3 ) 2 (BTBAS), tert-butyl silylcarbamate, SiH(CH 3 )-(N(CH 3 ) 2 ) 2 , SiHCl—(N(CH 3 ) 2 )
  • a “nitrogen-containing reactant” contains at least one nitrogen, for example, ammonia, hydrazine, amines (amines bearing carbon) such as methylamine, dimethylamine, ethylamine, isopropylamine, t-butylamine, di-t-butylamine, cyclopropylamine, sec-butylamine, cyclobutylamine, isoamylamine, 2-methylbutan-2-amine, trimethylamine, diisopropylamine, diethylisopropylamine, di-t-butylhydrazine, as well as aromatic containing amines such as anilines, pyridines, and benzylamines.
  • amines amines bearing carbon
  • Amines may be primary, secondary, tertiary or quaternary (for example, tetraalkylammonium compounds).
  • a nitrogen-containing reactant can contain heteroatoms other than nitrogen, for example, hydroxylamine, t-butyloxycarbonyl amine and N-t-butyl hydroxylamine are nitrogen-containing reactants.
  • Plasma refers to a plasma ignited in a reaction chamber or remotely and brought into the reaction chamber.
  • Plasmas can include the reactants described herein and may include other agents, for example, a carrier gas, or reactive species such as hydrogen gas.
  • the reactants and other agents may be present in a reaction chamber when a plasma is struck, or a remote plasma may be flowed into a chamber where the reactants are present and/or the reactants and/or carrier gas may be ignited into a plasma remotely and brought into the reaction chamber.
  • a “plasma” is meant to include any plasma known to be technologically feasible, including inductively-coupled plasmas and microwave surface wave plasmas.
  • Thermally removable group refers to a moiety, on either or both of the nitrogen-containing reactant and the silicon-containing reactant, that breaks down into volatile components at between about 200° C. and about 550° C. Described herein are non-limiting examples such as secondary and tertiary carbon group, which undergo elimination reactions in this temperature range.
  • groups thermally decompose as described by other mechanisms, for example, a t-butyloxycarbonyl (t-BOC or “BOC”) group thermally decomposes via both an elimination mechanism where the t-butyl portion of the group forms isobutylene, but also the decomposition forms carbon dioxide.
  • a thermally removable group is not limited to a particular mechanism or combination of mechanisms. As long as the group breaks down under the specified temperature range to produce at least one volatile component, then it qualifies as a thermally decomposable group. For example, under a given set of conditions, t-butylethylamine will undergo thermal decomposition of the t-butyl group to form isobutylene while the ethyl group remains, and thus isobutylene and ethylamine are the products of the thermal decomposition.
  • the volatility of a component depends, in part, on the reaction conditions under which the component is generated.
  • isobutylene may be volatile and be removed from a reaction chamber under the conditions of heating and low press because it does not react with the adsorbed reactants, while, for example, ammonia, although generally a volatile compound, undergoes reaction with a silicon-containing reactant adsorbed on the surface of a substrate.
  • SiN films are made using plasma-activated conformal film deposition (CFD).
  • CFD plasma-activated conformal film deposition
  • SiN films are deposited and treated with a hydrogen plasma to reduce the carbon content of the film.
  • a silicon-containing reactant and a nitrogen-containing reactant are used to make a SiN film, where one or both of the reactants includes a thermally removable group.
  • a hydrogen plasma may not be necessary to remove unwanted carbon from the film, for example when the carbon content is substantially embodied by the thermally removable group or groups' volatile component.
  • the SiN film is heated, the carbon is driven off via the thermally removable group or groups breaking down into volatile components that are removed in the gas phase.
  • CFD is used to deposit the SiN films, although methods described herein are not limited to CFD.
  • Other suitable methods include ALD, PEALD, CVD, PECVD, and plasma enhanced cyclic chemical vapor deposition (PECCVD).
  • PECCVD plasma enhanced cyclic chemical vapor deposition
  • Manufacture of semiconductor devices typically involves depositing one or more thin films on a non-planar substrate in an integrated fabrication process.
  • a silicon nitride film may be deposited on top of an elevated gate stack to act as a spacer layer for protecting lightly-doped source and drain regions from subsequent ion implantation processes.
  • CVD chemical vapor deposition
  • a silicon nitride film on the non-planar substrate, which is then anisotropically etched to form the spacer structure.
  • mass transport limitations of CVD gas phase reactions may cause “bread-loafing” deposition effects. Such effects typically exhibit thicker deposition at top surfaces of gate stacks and thinner deposition at the bottom corners of gate stacks.
  • mass transport effects across the wafer surface may result in within-die and within-wafer film thickness variation. These thickness variations may result in over-etching of some regions and under-etching of other regions. This may degrade device performance and/or die yield.
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • ALD processes use surface-mediated deposition reactions to deposit films on a layer-by-layer basis.
  • a substrate surface including a population of surface active sites, is exposed to a gas phase distribution of a first reactant (A).
  • A first reactant
  • Some molecules of reactant A may form a condensed phase atop the substrate surface, including chemisorbed species and physisorbed molecules of reactant A.
  • the reactor is then evacuated to remove gas phase and physisorbed reactant A so that only chemisorbed species remain.
  • a second film reactant (B) is then introduced to the reactor so that some molecules of reactant B adsorb to the substrate surface.
  • Thermal energy provided to the substrate activates surface reactions between adsorbed molecules of reactants A and B, forming a film layer.
  • the reactor is evacuated to remove reaction by-products and unreacted reactant B, ending the ALD cycle. Additional ALD cycles may be included to build film thickness. Plasma, or other energetic means, may be used in conjunction with heating, or as alternatives to heating the substrate in order to drive the reaction between reactant A and B.
  • each ALD cycle may deposit a film layer of, in one example, between one-half and three angstroms thick.
  • ALD processes may be time consuming when depositing films more than a few nanometers thick.
  • some reactants may have long exposure times to deposit a conformal film, which may also reduce wafer throughput time.
  • Conformal films may also be deposited on planar substrates.
  • antireflective layers for lithographic patterning applications may be formed from planar stacks comprising alternating film types. Such antireflective layers may be approximately 100 to 1000 angstroms thick, making ALD processes less attractive than CVD processes. However, such anti-reflective layers may also have a lower tolerance for within-wafer thickness variation than many CVD processes may provide. For example, a 600-angstrom thick antireflective layer may tolerate a thickness range of less than 3 angstroms.
  • CFD to deposit SiN films.
  • CFD does not rely on complete purges of one or more reactants prior to reaction to form SiN.
  • plasma activation of deposition reactions may result in lower deposition temperatures than thermally-activated reactions, potentially reducing the thermal budget of an integrated process.
  • FIG. 1 shows a temporal progression of exemplary phases in a CFD process, 100 , for various process parameters, for example, inert gas flow, reactant A, reactant B and when a plasma is struck.
  • process parameters for example, inert gas flow, reactant A, reactant B and when a plasma is struck.
  • FIG. 1 two deposition cycles 110 A and 110 B are shown.
  • Example CFD process parameters include, but are not limited to, flow rates for inert and reactant species, plasma power and frequency, substrate temperature, and process station pressure.
  • a cycle is the minimum set of operations required to perform a surface deposition reaction one time.
  • the result of one cycle is production of at least a partial film layer on a substrate surface.
  • a CFD cycle will include only those steps necessary to deliver and adsorb each reactant to the substrate surface, and then react those adsorbed reactants to form the partial layer of film.
  • the cycle may include certain ancillary steps such as sweeping one of the reactants or byproducts and/or treating the partial film as deposited.
  • a cycle contains only one instance of a unique sequence of operations.
  • a cycle may include the following operations: (i) delivery/adsorption of reactant A, (ii) delivery/adsorption of reactant B, (iii) sweep B out of the reaction chamber, and (iv) apply plasma to drive a surface reaction of A and B to form the partial film layer on the surface.
  • an inert gas is flowed during all phases of process 100 .
  • reactant A is supplied at a controlled flow rate to a process station to saturate exposed surfaces of a substrate.
  • Reactant A may be any suitable deposition reactant, for example, a nitrogen-containing reactant.
  • reactant A flows continuously throughout deposition cycles 110 A and 110 B.
  • reactants A and B may be allowed to mingle in the gas phase of some embodiments of a CFD process.
  • Continuously supplying reactant A to the process station may reduce or eliminate a reactant A flow rate turn-on and stabilization time compared to an ALD process where reactant A is first turned on, then stabilized and exposed to the substrate, then turned off, and finally removed from a reactor.
  • reactant A exposure phase 120 A may have a duration that exceeds a substrate surface saturation time for reactant A.
  • the embodiment of FIG. 1 includes a reactant A post-saturation exposure time 130 in reactant A exposure phase 120 A.
  • reactant A exposure phase 120 A may include a controlled flow rate of an inert gas.
  • inert gases include, but are not limited to, nitrogen, argon, and helium.
  • the inert gas may be provided to assist with pressure and/or temperature control of the process station, evaporation of a liquid reactant, more rapid delivery of the reactant and/or as a sweep gas for removing process gases from the process station and/or process station plumbing.
  • reactant B is supplied at a controlled flow rate to the process station to saturate the exposed substrate surface.
  • reactant B is a silicon-containing reactant.
  • FIG. 1 depicts reactant B exposure phase 140 A as having a constant flow rate, it will be appreciated that any suitable flow of reactant B, including a variable flow, may be employed within the scope of the present disclosure.
  • reactant B exposure phase 140 A may have any suitable duration.
  • reactant B exposure phase 140 A may have a duration exceeding a substrate surface saturation time for reactant B.
  • the embodiment shown in FIG. 1 depicts a reactant B post-saturation exposure time 15 included in reactant B exposure phase 140 A.
  • surface adsorbed B species may exist as discontinuous islands on the substrate surface, making it difficult to achieve surface saturation of reactant B.
  • Various surface conditions may delay nucleation and saturation of reactant B on the substrate surface.
  • ligands released on adsorption of reactants A and/or B may block some surface active sites, preventing further adsorption of reactant B.
  • continuous adlayers of reactant B may be provided by modulating a flow of and/or discretely pulsing reactant B into the process station during reactant B exposure phase 140 A. This may provide extra time for surface adsorption and desorption processes while conserving reactant B compared to a constant flow scenario.
  • one or more sweep phases may be included between consecutive exposures of reactant B.
  • gas phase reactant B Prior to activation of the plasma, gas phase reactant B may be removed from the process station in sweep phase 160 A in some embodiments. Sweeping the process station may avoid gas phase reactions where reactant B is unstable to plasma activation or where unwanted species might be formed. Further, sweeping the process station may remove surface adsorbed ligands that may otherwise remain and contaminate the film.
  • Example sweep gases may include, but are not limited to, argon, helium, and nitrogen.
  • sweep gas for sweep phase 160 A is supplied by the continuous inert gas stream.
  • sweep phase 160 A may include one or more evacuation subphases for evacuating the process station. Alternatively, it will be appreciated that sweep phase 160 A may be omitted in some embodiments.
  • Sweep phase 160 A may have any suitable duration.
  • increasing a flow rate of a one or more sweep gases may decrease the duration of sweep phase 160 A.
  • a sweep gas flow rate may be adjusted according to various reactant thermodynamic characteristics and/or geometric characteristics of the process station and/or process station plumbing for modifying the duration of sweep phase 160 A.
  • the duration of a sweep phase may be optimized by adjustment of the sweep gas flow rate. This may reduce deposition cycle time, which may improve substrate throughput.
  • plasma energy is provided to activate surface reactions between surface adsorbed reactants A and B.
  • the plasma may directly or indirectly activate gas phase molecules of reactant A to form reactant A radicals. These radicals may then interact with surface adsorbed reactant B, resulting in film-forming surface reactions.
  • Plasma activation phase 180 A concludes deposition cycle 110 A, which in the embodiment of FIG. 1 is followed by deposition cycle 110 B, commencing with reactant A exposure phase 120 B.
  • the plasma ignited in plasma activation phase 180 A may be formed directly above the substrate surface. This may provide a greater plasma density and enhance a surface reaction rate between reactants A and B.
  • plasmas for CFD processes may be generated by applying a radio frequency (RF) field to a low-pressure gas using two capacitively coupled plates. Any suitable gas may be used to form the plasma.
  • the inert gas such as argon or helium is used along with reactant A, a nitrogen-containing reactant, to form the plasma. Ionization of the gas between the plates by the RF field ignites the plasma, creating free electrons in the plasma discharge region. These electrons are accelerated by the RF field and may collide with gas phase reactant molecules.
  • Electrodes include process gas distribution showerheads and substrate support pedestals. It will be appreciated that plasmas for CFD processes may be formed by one or more suitable methods other than capacitive coupling of an RF field to a gas.
  • Plasma activation phase 180 A may have any suitable duration.
  • plasma activation phase 180 A may have a duration that exceeds a time for plasma-activated radicals to interact with all exposed substrate surfaces and adsorbates, forming a continuous film atop the substrate surface.
  • the embodiment shown in FIG. 1 includes a plasma post-saturation exposure time 190 in plasma activation phase 180 A.
  • extending a plasma exposure time and/or providing a plurality of plasma exposure phases may provide a post-reaction treatment of bulk and/or near-surface portions of the deposited film.
  • decreasing surface contamination may prepare the surface for adsorption of reactant A.
  • a silicon nitride film formed from reaction of a silicon-containing reactant and a nitrogen-containing reactant may have a surface that may resist adsorption of subsequent reactants. Treating the silicon nitride surface with a plasma may create hydrogen bonds for facilitating subsequent adsorption and reaction events.
  • the SiN films described herein can be exposed to other-than-plasma treatments.
  • a treatment other than a plasma treatment is employed to modify the properties the as deposited film.
  • Such treatments include electromagnetic radiation treatments, thermal treatments (e.g., anneals or high temperature pulses), and the like. Any of these treatments may be performed alone or in combination with another treatment, including a plasma treatment. Any such treatment can be employed as a substitute for any of the above-described plasma treatments.
  • the treatment involves exposing the film to ultraviolet radiation.
  • the method involves the application of UV-radiation to a film in situ (i.e., during formation of the film) or post deposition of the film. Such treatment serves to reduce or eliminate defect structure and provide improved electrical performance.
  • a UV treatment can be coupled with a plasma treatment. These two operations can be performed concurrently or sequentially. In the sequential option, the UV operation optionally takes place first. In the concurrent option, the two treatments may be provided from separate sources (e.g., an RF power source for the plasma and a lamp for the UV) or from a single source such as a helium plasma that produces UV radiation as a byproduct.
  • sources e.g., an RF power source for the plasma and a lamp for the UV
  • a single source such as a helium plasma that produces UV radiation as a byproduct.
  • film properties such as film stress, dielectric constant, refractive index, etch rate may be adjusted by varying plasma parameters.
  • a single reactant and an inert gas used to supply plasma energy for a surface reaction can be used.
  • some embodiments may use multiple reactants to deposit a film.
  • a silicon nitride film may be formed by reaction of a silicon-containing reactant and one or more of a nitrogen-containing reactant, or one or more silicon-containing reactants and a single nitrogen-containing reactant, or more than one of both the silicon-containing reactant and the nitrogen-containing reactant.
  • reactants When multiple reactants are employed and the flow of one of them is continuous, at least two of them will co-exist in the gas phase during a portion of the CFD cycle. Similarly, when no purge step is performed after delivery of the first reactant, two reactants will co-exist in the reaction chamber. Therefore, it may be important to employ reactants that do not appreciably react with one another in the gas phase absent application of activation energy. Typically, the reactants should not react until present on the substrate surface and exposed to plasma or another appropriate non-thermal activation condition.
  • thermodynamic favorability Gibbb's free energy ⁇ 0
  • activation energy for the reaction which should be sufficiently great so that there is negligible reaction at the desired deposition temperature.
  • a silicon nitride film may be formed from reaction of dichlorosilane and a plasma-activated nitrogen-containing reactant, for example ammonia. Chemisorption of dichlorosilane to a silicon surface (indicated by the rectangle in Scheme 1, etc.) may create a silicon-hydrogen terminated surface, liberating hydrogen chloride (HCl). An example of this chemisorption reaction is depicted in Scheme 1.
  • the cyclic intermediate shown in Scheme 1 may then be transformed into a silicon amide terminated surface via reaction with the same or different plasma-activated nitrogen-containing reactant.
  • dichlorosilane may chemisorb by alternative mechanisms.
  • surface morphology may hinder the formation of the cyclic intermediate depicted in Scheme 1.
  • Scheme 2 An example of another chemisorption mechanism is shown Scheme 2.
  • the remaining chlorine atom of the adsorbed intermediate species shown in Scheme 2 may be liberated and may become activated by the plasma. This may cause etching of the silicon nitride surface, potentially causing the silicon nitride film to become rough or hazy.
  • the residual chlorine atom may readsorb, physically and/or chemically, potentially contaminating the deposited film. This contamination may alter physical and/or electrical properties of the silicon nitride film.
  • the activated chlorine atom may cause etch damage to portions of the process station hardware, potentially reducing the service life of portions of the process station. Also, excess chloride content of the film may be unwanted.
  • a monochlorosilane is used rather than a dichlorosilane. This may reduce film contamination, film damage, and/or process station damage.
  • An example of the chemisorption of chlorosilane is shown in Scheme 3. While the example depicted in Scheme 3 uses chlorosilane as the silicon-containing reactant, it will be appreciated that any suitable monosubstituted halosilane may be used. For example there may be applications where a certain carbon content is desirable. In one embodiment, the carbon content of the SiN film is tailored by choice of carbon containing groups, both carbon amount and type, on one or both of the silicon containing reactant and the nitrogen-containing reactant.
  • the depicted intermediate structures may react with a nitrogen-containing reactant to form a silicon amide terminated surface.
  • a nitrogen-containing reactant for example, ammonia may be activated by a plasma, forming various ammonia radical species. The radical species react with the intermediate, forming the silicon amide terminated surface.
  • Ammonia is a common nitrogen-containing reactant for forming SiN films. Certain embodiments described herein utilize ammonia as a nitrogen-containing reactant.
  • ammonia may physisorb strongly to surfaces of the reactant delivery lines, process station, and exhaust plumbing, which may lead to extended purge and evacuation times. Further, ammonia may have a high reactivity with some gas phase silicon-containing reactants. For example gas-phase mixtures of dichlorosilane (SiH 2 Cl 2 ) and ammonia may create unstable species such as diaminosilane (SiH 2 (NH 2 ) 2 ). Such species may decompose in the gas phase, nucleating small particles. Small particles may also be formed if ammonia reacts with hydrogen chloride, generated during chemisorption of a halosilane, to form ammonium chloride.
  • Such particles may accumulate in the process station where they may contaminate substrate surfaces, potentially leading to integrated device defects, and where they may contaminate process station hardware, potentially leading to tool down time and cleaning.
  • the small particles may also accumulate in exhaust plumbing, may clog pumps and blowers, and may create a need for special environmental exhaust scrubbers and/or cold traps.
  • an amine may be used rather than a nitrogen-containing reactant that does not contain carbon.
  • various radicals formed from plasma activation of alkyl amines such as t-butyl amine, may be supplied to the process station.
  • Substituted amines, such as t-butyl amine may have a lower sticking coefficient on process hardware than ammonia, which may result in comparatively lower phyisorbption rates and comparatively lower process purge time.
  • alkyl amines form halogenated salts that are more volatile than ammonium salts, for example ammonium chloride.
  • t-butylammonium chloride is substantially more volatile than ammonium chloride and thus less deposits are formed on the interior of the chamber and associated plumbing and deposition hardware. This reduces tool down time, device defect creation, and environmental abatement expense.
  • the nitrogen-containing reactant is an amine, having a carbon component and a nitrogen component.
  • the amine serves as a source of ammonia. That is, for example, t-butylamine is the nitrogen-containing reactant, but after processing, the t-butyl portion of the reactant is volatized and removed from the SiN film, while the amine portion (ammonia) is incorporated into the SiN film.
  • the nitrogen-containing reactant serves as a delivery vector for ammonia, or an “ammonia equivalent.” This avoids having the reaction chamber and associated plumbing and hardware exposed to excess ammonia (although some ammonia is inevitably lost from the SiN film during decomposition of the t-butyl group and may contact the reactor and other surfaces).
  • certain amines may react with silicon-containing reactants to form a new silicon-containing reactant.
  • the reaction of t-butyl amine with dichlorosilane may form BTBAS.
  • BTBAS contains silicon, it also contains nitrogen (by convention defined herein, this is a silicon-containing reactant).
  • BTBAS may thermally decompose to form SiN and (2 moles of) isobutylene (BTBAS may also be preformed and used as a silicon-containing reactant with a nitrogen-containing reactant).
  • BTBAS may thermally decompose to form SiN and (2 moles of) isobutylene (BTBAS may also be preformed and used as a silicon-containing reactant with a nitrogen-containing reactant).
  • BBAS (2 moles of) isobutylene
  • certain amines provide alternate routes to form silicon nitride. Described herein are methods of exploiting such alkyl amines.
  • the thermally removable group need not be part of a nitrogen-containing reactant.
  • the thermally removable group is part of the silicon-containing reactant.
  • the thermally removable group is directly attached to a silicon, nitrogen or oxygen of a silicon-containing reactant.
  • the reactant, nitrogen or silicon includes a thermally removable group and at least one group that does not thermally decompose. This may be desirable, for example, when a certain carbon content in the final SiN film is desired, or, for example, when it is desirable to tailor the manner in which the carbon is removed from the SiN film.
  • both a thermally removable group and a non-thermally removable group i.e., one that does not thermally decompose under the same conditions.
  • a SiN film is produced where some of the carbon can be removed, if desired, via thermal decomposition and the remainder of the carbon removed, if desirable, via, for example, hydrogen plasma treatment.
  • methods of the invention contemplate carbon removal from a single film in more than one way.
  • CFD is used to make SiN films.
  • One embodiment is a method of forming a silicon nitride material on a substrate, including: (a) providing the substrate in a reaction chamber; (b) continuously exposing the substrate to a vapor phase flow of a nitrogen-containing reactant wherein the nitrogen-containing reactant is adsorbed onto the surface of the substrate; (c) periodically exposing the substrate to a vapor phase flow of a silicon-containing reactant wherein the silicon-containing reactant is adsorbed onto the surface of the substrate; and (d) periodically igniting a plasma in the reaction chamber when the vapor phase flow of the silicon-containing reactant has ceased.
  • the plasma is ignited to form a plasma from the nitrogen-containing reactant flowing in the reaction chamber.
  • a carrier gas is flowed continuously through the reaction chamber, thus the plasma may also contain components of the carrier gas, such as argon or nitrogen ions and/or radicals.
  • the concentration of the silicon-containing reactant in the reaction chamber is allowed to decrease substantially prior to striking the plasma.
  • the flow of nitrogen-containing reactant, and carrier gas if present, sweeps the excess silicon-containing reactant (that not adsorbed onto the surface of the substrate) out of the chamber without the need for a vacuum purge step, although, in one embodiment, a purge is performed prior to striking the plasma.
  • FIG. 2 depicts an exemplary process flow, 200 , outlining aspects of the method.
  • a substrate is provided to the chamber, see 205 .
  • a flow of nitrogen-containing reactant is established and continued throughout 200 , see 210 .
  • the substrate is periodically exposed to a silicon-containing reactant, see 215 .
  • a plasma is struck, but only when the silicon-containing reactant flow has ceased.
  • the silicon-containing reactant not adsorbed to the surface of the substrate is swept out of the chamber by the flow of the inert gas and/or nitrogen-containing reactant.
  • a purge may be used.
  • the method is complete. The steps may be repeated a number of times to build up a layer of desired thickness.
  • the semi-conductor wafer is heated to between about 50° C. and about 550° C. In one embodiment, the wafer is heated throughout the deposition, in other embodiments the wafer is heated periodically during the deposition or after the deposition steps as an anneal. Heating may also be used in conjunction with thermally removable groups as described in more detail below.
  • steps (b) through (d) are repeated to form a conformal layer on the semiconductor wafer between about 1 nm and about 100 nm thick. In another embodiment, between about 5 nm and about 50 nm thick. In another embodiment, between about 5 nm and about 30 nm thick.
  • any silicon-containing reactant is suitable.
  • the SiN material of the films produced may or may not contain carbon.
  • the carbon in the SiN film may come from the silicon-containing reactant or the nitrogen-containing reactant.
  • the silicon-containing reactant is selected from the group consisting of a silane, a halosilane and an aminosilane, and mixtures thereof.
  • the silicon-containing reactant is a halosilane, an aminosilane or a mixture thereof.
  • the silicon-containing reactant is a halosilane.
  • the halosilane is a chlorosilane.
  • the halosilane is a mono- or dihalosilane, for example a monochlorosilane or a dichlorosilane. In a particular embodiment, the halosilane is a monochlorosilane. In one embodiment, the monochlorosilane is chlorosilane.
  • a silicon-containing reactant is paired with a particular nitrogen-containing reactant.
  • a monochlorosilane is paired with an alkyl amine, for example t-butyl amine, to make SiN films using the methods described herein.
  • dichlorosilane is used with t-butylamine to make SiN using a method described herein.
  • the nitrogen-containing reactant can be any suitable nitrogen-containing reactant.
  • the nitrogen-containing reactant is selected from the group consisting of ammonia, a hydrazine, an amine and mixtures thereof.
  • the nitrogen-containing reactant includes a C 1-10 alkyl amine or a mixture of C 1-10 alkyl amines.
  • the C 1-10 alkyl amine is a primary alkyl amine or a secondary alkyl amine.
  • the C 1-10 alkyl amine is a primary alkyl amine.
  • the C 1-10 alkyl amine is according to formula I:
  • the SiN film produced has an undesirable carbon content.
  • This in-film carbon may result in electrical leakage and may render the film unusable for some dielectric barrier applications.
  • Carbon content can vary, but in some embodiments approximately 10% carbon (by weight) can be considered too high.
  • Methods described herein address unwanted carbon in SiN films. Methods described herein produce SiN films with less than 2% carbon, in one embodiment less than 1% carbon, in yet another embodiment less than 0.5% carbon.
  • the reduction in carbon residue is readily observable in FTIR spectra, although other analytical methods are known to one of ordinary skill in the art that can measure carbon content in these ranges.
  • One embodiment is a method of forming a silicon nitride material on a substrate, including: (a) forming a silicon nitride film on the substrate, said formation including: (i) providing the substrate in a reaction chamber; (ii) exposing the substrate to a silicon-containing reactant in the vapor phase so that the silicon-containing reactant is adsorbed onto the surface of the substrate; (iii) exposing the substrate to an nitrogen-containing reactant in the vapor phase so that the nitrogen-containing reactant is adsorbed onto the surface of the substrate; (iv) igniting a plasma while the nitrogen-containing reactant is present in the vapor phase; and then, (b) exposing the silicon nitride film to a hydrogen containing plasma to remove at least some carbon content of the silicon nitride film.
  • FIG. 3 depicts an exemplary process flow, 300 , outlining aspects of the method.
  • a substrate is provided to the chamber, see 305 .
  • the substrate is exposed to a silicon-containing reactant, see 310 .
  • the substrate is exposed to a nitrogen-containing reactant, see 315 .
  • a plasma is struck while the nitrogen-containing reactant is present in the vapor phase, see 320 , thus forming a SiN material is formed on the substrate.
  • the silicon-containing reactant not adsorbed to the surface of the substrate is swept out of the chamber by the flow of the inert gas and/or nitrogen-containing reactant prior to striking the plasma.
  • a purge may be used to remove vapor phase silicon-containing reactant.
  • One or more iterations of 310 - 320 can be performed to build up a SiN layer.
  • the SiN film is produced to a desired thickness, the film is exposed to a hydrogen plasma, see 325 .
  • the hydrogen plasma is generated using hydrogen (H 2 ) and a carrier gas such as nitrogen, helium or argon.
  • H 2 hydrogen
  • a carrier gas such as nitrogen, helium or argon.
  • Other hydrogen containing gases, or active hydrogen atoms produced by a remote plasma source may be used to treat the deposited film.
  • the carbon content of the film may be tuned to any suitable concentration by varying one or more of the number of treatment pulses and their duration, the intensity of the treatment plasma, the substrate temperature, and the treatment gas composition.
  • the substrate is a semiconductor wafer.
  • (ii) is performed prior to (iii).
  • (iii) is performed prior to (ii).
  • (a) is repeated two or more times prior to (b). That is, the hydrogen plasma treatment removes carbon from the SiN film. It is not always necessary to perform the hydrogen plasma treatment after each reaction to form SiN, rather, a number of layers of the SiN (with carbon) may be deposited before requiring a hydrogen plasma treatment. That is, the hydrogen plasma is effective at removing the carbon after two or more layers are deposited.
  • (a) and (b) are repeated to form a conformal layer on the semiconductor wafer between about 1 nm and about 100 nm thick, in another embodiment, between about 5 nm and about 50 nm thick, in another embodiment, between about 5 nm and about 30 nm thick.
  • One embodiment is a method of forming a silicon nitride material on a substrate, including: (a) providing the substrate in a reaction chamber; (b) providing a carrier gas flow through the reaction chamber; (c) exposing the substrate to a vapor phase flow of a nitrogen-containing reactant wherein the nitrogen-containing reactant is adsorbed onto the surface of the substrate and then purging the reaction chamber; (d) exposing the substrate to a vapor phase flow of a silicon-containing reactant wherein the silicon-containing reactant is adsorbed onto the surface of the substrate; (e) igniting a plasma in the reaction chamber after the vapor phase flow of the silicon-containing reactant has ceased; and (f) heating the substrate to between about 200° C.
  • FIG. 4 depicts an exemplary process flow, 400 , outlining aspects of the method.
  • a substrate is provided to the chamber, see 405 .
  • a carrier flow is established, see 410 .
  • the substrate is exposed to a nitrogen-containing reactant, see 415 .
  • the substrate is exposed to a silicon-containing reactant, see 420 .
  • a plasma is ignited after the flow of the silicon-containing reactant is ceased, see 425 .
  • This reaction forms SiN.
  • One or more iterations of 410 - 425 are performed to build a layer of desired thickness. In this method, 415 and 420 are not necessarily done in the order presented.
  • the nitrogen-containing reactant flow may or may not be continuous.
  • the substrate may be heated during formation of the SiN layer, within, or below, the temperature range necessary to decompose the thermally removable groups, but at least at some point after the SiN film is formed, the substrate is heated to between about 200° C. and about 550° C. in order to break down the thermally removable groups, see 430 . After the substrate is heated sufficient time to remove the desired amount of carbon, the process flow ends.
  • the substrate is a semiconductor wafer.
  • the method further includes repeating (b) through (e) to form a conformal layer on the semiconductor wafer between about 1 nm and about 100 nm thick.
  • (f) is performed throughout (b) through (e).
  • the silicon and nitrogen-containing reactants are as described herein, provided at least one of the silicon and the nitrogen-containing reactant includes at least one thermally removable group.
  • a thermally removable group is a group that breaks down into volatile components at between about 200° C. and about 550° C.
  • secondary and particularly tertiary carbon groups can undergo elimination reactions in this temperature range.
  • t-butyl groups break down to form isobutylene in this temperature range.
  • t-butylamine when heated, undergoes an elimination reaction to form isobutylene and ammonia as depicted in Scheme 4.
  • t-butoxycarbonyl groups (t-BOC) groups also thermally decompose, for example at about 150° C., to form isobutylene, carbon dioxide and the
  • t-butylcarbamate thermally decomposes to give isobutylene ammonia and carbon dioxide.
  • the thermally removable group need not be on the nitrogen-containing reactant.
  • t-butylsilane t-butylsilane
  • one or more thermally removable groups can be used on the silicon-containing reactant, the nitrogen-containing reactant, or both.
  • the semiconductor wafer is heated to between about 200° C. and about 550° C. so that such groups decompose and release their carbon content and thus reduce the carbon content of the SiN film.
  • the reactants are adsorbed onto the substrate, a plasma is used to convert the reactants to a SiN material.
  • Remaining carbon groups are removed by heating the substrate. The heating can be performed during the entire deposition or periodically to decompose the thermally removable groups.
  • the substrate is heated to between about 200° C. and about 550° C., in another embodiment between about 350° C. and about 550° C., in another embodiment between about 450° C.
  • the SiN film is heated to between about 450° C. and about 500° C., for between about 1 second and about 30 seconds, or between about 1 second and about 20 seconds, or between about 1 second and about 10 seconds.
  • a higher temperature may be used to increase the rate of decomposition and/or as an anneal to improve the properties of the SiN film.
  • the thermally removable group may include a secondary or tertiary carbon functionality, and either or both of the silicon-containing reactant and the nitrogen-containing reactant can include one or more of the same or different thermally removable groups.
  • the thermally removable group is according to Formula II:
  • each of R 1 , R 2 and R 3 is, independent of the others, H or C 1-3 alkyl; or two of R 1 , R 2 and R 3 , together with the carbon atom to which they are attached form form a C 3-7 cycloalkyl and the other of R 1 , R 2 and R 3 is H or C 1-3 alkyl; and where each of said thermally removable group, when part of the nitrogen-containing reactant, is attached to a nitrogen or an oxygen of the nitrogen-containing reactant, and, when part of the silicon-containing reactant, is attached to a silicon or a nitrogen or an oxygen of the silicon-containing reactant.
  • each of R 1 , R 2 and R 3 is, independent of the others, C 1-3 alkyl.
  • the thermally removable group is a t-butyl group.
  • One embodiment is a SiN film produced by a method described herein.
  • a suitable apparatus includes hardware for accomplishing the process operations and a system controller having instructions for controlling process operations in accordance with the present invention.
  • FIG. 5 schematically shows a CFD process station 1300 .
  • CFD process station 1300 is depicted as a standalone process station having a process chamber body 1302 for maintaining a low-pressure environment.
  • a plurality of CFD process stations 1300 may be included in a common low-pressure process tool environment.
  • FIG. 5 shows one process station, it will be appreciated that, in some embodiments, a plurality of process stations may be included in a processing tool.
  • FIG. 6 depicts an embodiment of a multi-station processing tool 2400 .
  • one or more hardware parameters of CFD process station 1300 may be adjusted programmatically by one or more computer controllers.
  • a CFD process station 1300 fluidly communicates with reactant delivery system 1301 for delivering process gases to a distribution showerhead 1306 .
  • Reactant delivery system 1301 includes a mixing vessel 1304 for blending and/or conditioning process gases for delivery to showerhead 1306 .
  • One or more mixing vessel inlet valves 1320 may control introduction of process gases to mixing vessel 1304 .
  • Some reactants may be stored in liquid form prior to vaporization at and subsequent delivery to the process station.
  • the apparatus of FIG. 5 includes a vaporization point 1303 for vaporizing liquid reactant to be supplied to mixing vessel 1304 .
  • vaporization point 1303 may be a heated vaporizer.
  • the saturated reactant vapor produced from such vaporizers may condense in downstream delivery piping. Exposure of incompatible gases to the condensed reactant may create small particles. These small particles may clog piping, impede valve operation, contaminate substrates, etc.
  • delivery piping downstream of vaporization point 1303 is heat traced.
  • mixing vessel 1304 is also heat traced.
  • piping downstream of vaporization point 1303 has an increasing temperature profile extending from approximately 100° C. to approximately 150° C. at mixing vessel 1304 .
  • reactant liquid is vaporized at a liquid injector.
  • a liquid injector may inject pulses of a liquid reactant into a carrier gas stream upstream of the mixing vessel.
  • a liquid injector vaporizes reactant by flashing the liquid from a higher pressure to a lower pressure.
  • a liquid injector atomizes the liquid into dispersed microdroplets that are subsequently vaporized in a heated delivery pipe. It will be appreciated that smaller droplets may vaporize faster than larger droplets, reducing a delay between liquid injection and complete vaporization. Faster vaporization may reduce a length of piping downstream from vaporization point 1303 .
  • a liquid injector is mounted directly to mixing vessel 1304 .
  • a liquid injector is mounted directly to showerhead 1306 .
  • a liquid flow controller upstream of vaporization point 1303 is provided for controlling a mass flow of liquid for vaporization and delivery to process station 1300 .
  • the liquid flow controller includes a thermal mass flow meter (MFM) located downstream of the LFC.
  • a plunger valve of the LFC is adjusted responsive to feedback control signals provided by a proportional-integral-derivative (PID) controller in electrical communication with the MFM.
  • PID proportional-integral-derivative
  • the LFC is dynamically switched between a feedback control mode and a direct control mode.
  • the LFC is dynamically switched from a feedback control mode to a direct control mode by disabling a sense tube of the LFC and the PID controller.
  • showerhead 1306 distributes process gases toward substrate 1312 .
  • substrate 1312 is located beneath showerhead 1306 , and is shown resting on a pedestal 1308 .
  • showerhead 1306 may have any suitable shape, and may have any suitable number and arrangement of ports for distributing processes gases to substrate 1312 .
  • a microvolume 1307 is located beneath showerhead 1306 .
  • Performing a CFD process in a microvolume rather than in the entire volume of a process station may reduce reactant exposure and sweep times, may reduce times for altering CFD process conditions (e.g., pressure, temperature, etc.), may limit an exposure of process station robotics to process gases, etc.
  • Example microvolume sizes include, but are not limited to, volumes between 0.1 liter and 2 liters.
  • pedestal 1308 may be raised or lowered to expose substrate 1312 to microvolume 1307 and/or to vary a volume of microvolume 1307 .
  • pedestal 1308 is lowered to allow substrate 1312 to be loaded onto pedestal 1308 .
  • pedestal 1308 is raised to position substrate 1312 within microvolume 1307 .
  • microvolume 1307 completely encloses substrate 1312 as well as a portion of pedestal 1308 to create a region of high flow impedance during a CFD process.
  • pedestal 1308 may be lowered and/or raised during portions the CFD process to modulate process pressure, reactant concentration, etc., within microvolume 1307 .
  • process chamber body 1302 remains at a base pressure during the CFD process
  • lowering pedestal 1308 allows microvolume 1307 to be evacuated.
  • Example ratios of microvolume to process chamber volume include, but are not limited to, volume ratios between 1:500 and 1:10. It will be appreciated that, in some embodiments, pedestal height may be adjusted programmatically by a suitable computer controller.
  • adjusting a height of pedestal 1308 allows a plasma density to be varied during plasma activation and/or treatment cycles included in the CFD process.
  • pedestal 1308 is lowered during another substrate transfer phase to allow removal of substrate 1312 from pedestal 1308 .
  • a position of showerhead 1306 may be adjusted relative to pedestal 1308 to vary a volume of microvolume 1307 .
  • a vertical position of pedestal 1308 and/or showerhead 1306 may be varied by any suitable mechanism.
  • One of ordinary skill in the art would appreciate that such mechanism would include, for example, hydraulics, pneumatics, spring mechanisms, solenoids and the like.
  • pedestal 1308 may include a rotational mechanis, for example along an axis perpendicular to the surface of the substrate, for rotating an orientation of substrate 1312 . It will be appreciated that, in some embodiments, one or more of these example adjustments may be performed programmatically by one or more suitable computer controllers.
  • showerhead 1306 and pedestal 1308 electrically communicate with RF power supply 1314 and matching network 1316 for powering a plasma.
  • the plasma energy is controlled by controlling one or more of a process station pressure, a gas concentration, an RF source power, an RF source frequency, and a plasma power pulse timing.
  • RF power supply 1314 and matching network 1316 may be operated at any suitable power to form a plasma having a desired composition of radical species. Examples of suitable powers include, but are not limited to, powers between 100 W and 5000 W.
  • RF power supply 1314 may provide RF power of any suitable frequency.
  • RF power supply 1314 may be configured to control high- and low-frequency RF power sources independently of one another.
  • Example low-frequency RF frequencies may include, but are not limited to, frequencies between 50 kHz and 500 kHz.
  • Example high-frequency RF frequencies may include, but are not limited to, frequencies between 1.8 MHz and 2.45 GHz. It will be appreciated that any suitable parameters may be modulated discretely or continuously to provide plasma energy for the surface reactions.
  • the plasma power may be intermittently pulsed to reduce ion bombardment with the substrate surface relative to continuously powered plasmas.
  • the plasma is monitored in-situ by one or more plasma monitors.
  • plasma power is monitored by one or more voltage, current sensors (e.g., VI probes).
  • plasma density and/or process gas concentration is measured by one or more optical emission spectroscopy sensors (OES).
  • OES optical emission spectroscopy sensors
  • one or more plasma parameters are programmatically adjusted based on measurements from such in-situ plasma monitors.
  • an OES sensor may be used in a feedback loop for providing programmatic control of plasma power.
  • other monitors may be used to monitor the plasma and other process characteristics. Such monitors include, but are not limited to, infrared (IR) monitors, acoustic monitors, and pressure transducers.
  • the plasma is controlled via input/output control (IOC) sequencing instructions.
  • IOC input/output control
  • the instructions for setting plasma conditions for a plasma process phase may be included in a corresponding plasma activation recipe phase of a CFD process recipe.
  • process recipe phases may be sequentially arranged, so that all instructions for a CFD process phase are executed concurrently with that process phase.
  • time delays may be predictable. Such time delays may include a time to strike the plasma and a time to stabilize the plasma at the indicted power setting.
  • pedestal 1308 may be temperature controlled via heater 1310 .
  • pressure control for CFD process station 1300 may be provided by butterfly valve 1318 . As shown in FIG. 5 , butterfly valve 1318 throttles a vacuum provided by a downstream vacuum pump (not shown). However, in some embodiments, pressure control of process station 1300 may also be adjusted by varying a flow rate of one or more gases introduced to CFD process station 1300 .
  • FIG. 6 shows a schematic view of a multi-station processing tool, 2400 , with an inbound load lock 2402 and an outbound load lock 2404 , either or both of which may comprise a remote plasma source.
  • a robot 2406 at atmospheric pressure, is configured to move wafers from a cassette loaded through a pod 2408 into inbound load lock 2402 via an atmospheric port 2410 .
  • a wafer is placed by the robot 2406 on a pedestal 2412 in the inbound load lock 2402 , the atmospheric port 2410 is closed, and the load lock is pumped down.
  • the wafer may be exposed to a remote plasma treatment in the load lock prior to being introduced into a processing chamber 2414 . Further, the wafer also may be heated in the inbound load lock 2402 as well, for example, to remove moisture and adsorbed gases. Next, a chamber transport port 2416 to processing chamber 2414 is opened, and another robot (not shown) places the wafer into the reactor on a pedestal of a first station shown in the reactor for processing. While the embodiment depicted in FIG. 6 includes load locks, it will be appreciated that, in some embodiments, direct entry of a wafer into a process station may be provided.
  • the depicted processing chamber 2414 comprises four process stations, numbered from 1 to 4 in the embodiment shown in FIG. 6 .
  • Each station has a heated pedestal (shown at 2418 for station 1 ), and gas line inlets.
  • each process station may have different or multiple purposes.
  • a process station may be switchable between a CFD and PECVD process mode.
  • processing chamber 2414 may include one or more matched pairs of CFD and PECVD process stations.
  • a processing chamber may have any suitable number of stations.
  • a processing chamber may have five or more stations, while in other embodiments a processing chamber may have three or fewer stations.
  • FIG. 6 also depicts a wafer handling system 2490 for transferring wafers within processing chamber 2414 .
  • wafer handling system 2490 may transfer wafers between various process stations and/or between a process station and a load lock. It will be appreciated that any suitable wafer handling system may be employed. Non-limiting examples include wafer carousels and wafer handling robots.
  • FIG. 6 also depicts a system controller 2450 employed to control process conditions and hardware states of process tool 2400 .
  • System controller 2450 may include one or more memory devices 2456 , one or more mass storage devices 2454 , and one or more processors 2452 .
  • Processor 2452 may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc.
  • system controller 2450 controls all of the activities of process tool 2400 .
  • System controller 2450 executes system control software 2458 stored in mass storage device 2454 , loaded into memory device 2456 , and executed on processor 2452 .
  • System control software 2458 may include instructions for controlling the timing, mixture of gases, chamber and/or station pressure, chamber and/or station temperature, wafer temperature, target power levels, RF power levels, substrate pedestal, chuck and/or susceptor position, and other parameters of a particular process performed by process tool 2400 .
  • System control software 2458 may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operation of the process tool components necessary to carry out various process tool processes.
  • System control software 2458 may be coded in any suitable computer readable programming language.
  • system control software 2458 may include input/output control (IOC) sequencing instructions for controlling the various parameters described above.
  • IOC input/output control
  • each phase of a CFD process may include one or more instructions for execution by system controller 2450 .
  • the instructions for setting process conditions for a CFD process phase may be included in a corresponding CFD recipe phase.
  • the CFD recipe phases may be sequentially arranged, so that all instructions for a CFD process phase are executed concurrently with that process phase.
  • mass storage device 2454 and/or memory device 2456 associated with system controller 2450 may be employed in some embodiments.
  • programs or sections of programs for this purpose include a substrate positioning program, a process gas control program, a pressure control program, a heater control program, and a plasma control program.
  • a substrate positioning program may include program code for process tool components that are used to load the substrate onto pedestal 2418 and to control the spacing between the substrate and other parts of process tool 2400 .
  • a process gas control program may include code for controlling gas composition and flow rates and optionally for flowing gas into one or more process stations prior to deposition in order to stabilize the pressure in the process station.
  • a pressure control program may include code for controlling the pressure in the process station by regulating, for example, a throttle valve in the exhaust system of the process station, a gas flow into the process station, etc.
  • a heater control program may include code for controlling the current to a heating unit that is used to heat the substrate.
  • the heater control program may control delivery of a heat transfer gas (such as helium) to the substrate.
  • a plasma control program may include code for setting RF power levels applied to the process electrodes in one or more process stations.
  • the user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
  • parameters adjusted by system controller 2450 may relate to process conditions.
  • process conditions include process gas composition and flow rates, temperature, pressure, plasma conditions (such as RF bias power levels), pressure, temperature, etc. These parameters may be provided to the user in the form of a recipe, which may be entered utilizing the user interface.
  • Signals for monitoring the process may be provided by analog and/or digital input connections of system controller 2450 from various process tool sensors.
  • the signals for controlling the process may be output on the analog and digital output connections of process tool 2400 .
  • process tool sensors that may be monitored include mass flow controllers, pressure sensors (such as manometers), thermocouples, etc. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain process conditions.
  • System controller 2450 may provide program instructions for implementing the above-described deposition processes.
  • the program instructions may control a variety of process parameters, such as DC power level, RF bias power level, pressure, temperature, etc.
  • the instructions may control the parameters to operate in-situ deposition of film stacks according to various embodiments described herein.
  • the system controller will typically include one or more memory devices and one or more processors configured to execute the instructions so that the apparatus will perform a method in accordance with the present invention.
  • Machine-readable media containing instructions for controlling process operations in accordance with the present invention may be coupled to the system controller.
  • the apparatus/process described herein may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels and the like. Typically, though not necessarily, such tools/processes will be used or conducted together in a common fabrication facility.
  • Lithographic patterning of a film typically comprises some or all of the following steps, each step enabled with a number of possible tools: (1) application of photoresist on a workpiece, i.e., substrate, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.
  • a SiN film is formed using a method as described herein. The SiN film is used, for example, for one of the purposes described herein. Further, the method includes one or more steps (1)-(6) described above.
  • Table 1 includes a number of reaction partners, and temperature and pressure parameters that may be used to make SiN films in accordance with the embodiments described herein.
  • a 300 mm wafer is placed into vacuum chamber and the chamber evacuated to 0.5 torr.
  • the wafer is supported within the chamber on an aluminum pedestal which is heated throughout the procedure.
  • the pedestal is heated at a constant temperature that is between about 50° C. and about 550° C.
  • the pressure in the chamber is increased to 2 torr using an inert gas such as argon or nitrogen.
  • Dichlorosilane (DCS) is introduced into the reactor as a vapor phase flow at between about 1 slm and about 5 slm (standard liters per minute) for between about 1 second and about 30 seconds in order to adsorb DCS onto the surface of the wafer.
  • the inert gas flow in the reactor purges the remaining vapor phase DCS and any byproducts. Then, a t-butylamine (TBA) vapor phase flow is established in the reactor at between about 1 slm and about 5 slm for between about 1 second and about 30 seconds. A plasma, for example 13.56 MHz at 2.5 kW power, is ignited above the wafer for between about 1 second and about 15 seconds.
  • the inert gas flow in the reactor purges the remaining vapor phase TBA and any byproducts.
  • the DCS flow, inert gas purge, TBA flow, plasma and inert gas purge are repeated to deposit a SiN film of desired thickness. Each cycle as described deposits between about 0.5 ⁇ and about 1.5 ⁇ of a SiN film.
  • the TBA flow is run continuously.
  • the same conditions as described above are used, except the TBA flow is established first and maintained.
  • the DCS flow is introduced into the reactor at the same rate and time as described above, followed by an inert gas purge as described above.
  • the plasma is ignited as described above, followed by an inert gas purge as described above.
  • the DCS flow, inert gas purge, plasma ignition and inert gas purge are repeated to deposit a SiN film of desired thickness. Each cycle as described deposits between about 0.5 ⁇ and about 1.5 ⁇ of a SiN film.

Abstract

Described are methods of making SiN materials on substrates, particularly SiN thin films on semiconductor substrates. Improved SiN films made by the methods are also included.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This application claims priority benefit under 35 USC §119(e) of U.S. provisional patent application No. 61/324,710, filed Apr. 15, 2010, and U.S. provisional patent application No. 61/372,367, filed Aug. 10, 2010, and U.S. provisional patent application No. 61/379,081, filed Sep. 1, 2010, and U.S. provisional patent application No. 61/417,807, filed Nov. 29, 2010, each of which is incorporated herein by reference in its entirety and for all purposes. This application is related to U.S. patent application Ser. No. ______ [Attorney docket No. NOVLP405], and U.S. patent application Ser. No. ______ [Attorney docket No. NVLS003674], each filed on the same day as the instant disclosure and each incorporated herein by reference in its entirety and for all purposes.
  • INTRODUCTION
  • 1. Field
  • The present disclosure relates generally to formation of SiN materials on substrates. More particularly, the disclosure relates to formation of SiN films on semiconductor substrates.
  • 2. Background
  • Silicon nitride (SiN) thin films have unique physical, chemical and mechanical properties and thus are used in a variety of applications, particularly semiconductor devices, for example in diffusion barriers, gate insulators, sidewall spacers, encapsulation layers, strained films in transistors, and the like. One issue with SiN films is the relatively high temperatures used to form the films, for example, in Front End of Line (FEOL) applications, SiN films are typically deposited by chemical vapor deposition (CVD) in a reactor at greater than 750° C. using dichlorosilane and ammonia. However, as SiN films are used in late-stage semiconductor fabrication processes, and as device dimensions continue to shrink, there is an increasing demand for SiN films to be formed at lower temperatures, for example less than 600° C.
  • Another issue with SiN film depositions is the occurrence and/or buildup of amine salts in the reaction chamber. These salts are formed by reaction of amine reactants and acid by products, for example, hydrogen chloride and amine reactants combining It would be useful to have methods which reduce the amount of salts formed and thus improve processing by, for example, reducing downtime needed to clean reactors and improving film quality.
  • Another issue with SiN films is, in certain instances, unwanted carbon content in the film due to carbon content of reactants used to form the SiN film. One way that such carbon content is removed is by high temperature anneal, for example, greater than 600° C., and thus the aforementioned finer features are jeopardized. Of course there are instances where carbon content is desirable, and it would be helpful if one could more precisely tailor the carbon content of the film.
  • A useful way to deposit SiN films is atomic layer deposition (ALD) and variants thereof, for example, plasma enhanced ALD (PEALD). Under ALD processes, the reaction chamber is purged after every reactant is introduced for adsorption onto the substrate surface. It would be helpful to have processes where one or more purges were unnecessary and thus, for example, throughput would be increased.
  • What is need are improved SiN films and methods of making them.
  • SUMMARY
  • Described are methods of making SiN materials on substrates, particularly SiN thin films on semiconductor substrates. Improved SiN films made by the methods are also included.
  • One embodiment is a method of forming a silicon nitride material on a substrate, including: (a) providing the substrate in a reaction chamber; (b) continuously exposing the substrate to a vapor phase flow of a nitrogen-containing reactant wherein the nitrogen-containing reactant is adsorbed onto the surface of the substrate; (c) periodically exposing the substrate to a vapor phase flow of a silicon-containing reactant wherein the silicon-containing reactant is adsorbed onto the surface of the substrate; and (d) periodically igniting a plasma in the reaction chamber when the vapor phase flow of the silicon-containing reactant has ceased. In this embodiment, the plasma is ignited to form a plasma from the nitrogen-containing reactant flowing in the reaction chamber. In one embodiment, a carrier gas is flowed continuously through the reaction chamber, thus the plasma may also contain components of the carrier gas, such as argon or nitrogen ions and/or radicals. Generally, the concentration of the silicon-containing reactant in the reaction chamber is allowed to decrease substantially prior to striking the plasma. The flow of nitrogen-containing reactant, and carrier gas if present, sweeps the excess silicon-containing reactant (that not adsorbed onto the surface of the substrate) out of the chamber without the need for a vacuum purge step, although, in one embodiment, a purge is performed prior to striking the plasma.
  • In some embodiments, the SiN film produced has an undesirable carbon content. This in-film carbon may result in electrical leakage and may render the film unusable for some dielectric barrier applications. Methods described herein produce SiN films with less than 2% carbon, in one embodiment less than 1% carbon, in yet another embodiment less than 0.5% carbon. In some embodiments, the reduction in carbon residue is readily observable in FTIR spectra. One embodiment is a method of forming a silicon nitride material on a substrate, including: (a) forming a silicon nitride film on the substrate, said formation including: (i) providing the substrate in a reaction chamber; (ii) exposing the substrate to a silicon-containing reactant in the vapor phase so that the silicon-containing reactant is adsorbed onto the surface of the substrate; (iii) exposing the substrate to an nitrogen-containing reactant in the vapor phase so that the nitrogen-containing reactant is adsorbed onto the surface of the substrate; (iv) igniting a plasma while the nitrogen-containing reactant is present in the vapor phase; and then, (b) exposing the silicon nitride film to a hydrogen containing plasma. The hydrogen plasma reduces carbon content of the film. In one embodiment, the hydrogen plasma is generated using hydrogen (H2) and a carrier gas such as nitrogen, helium or argon.
  • In general, any method described herein can include heating the substrate to between about 50° C. and about 550° C. during formation of the SiN film. Certain methods described herein take advantage of a thermally removable groups, attached either to a silicon-containing reactant or a nitrogen-containing reactant, in order to lower carbon content. One embodiment is a method of forming a silicon nitride material on a substrate, including: (a) providing the substrate in a reaction chamber; (b) providing a carrier gas flow through the reaction chamber; (b) exposing the substrate to a vapor phase flow of a nitrogen-containing reactant wherein the nitrogen-containing reactant is adsorbed onto the surface of the substrate and then purging the reaction chamber; (c) exposing the substrate to a vapor phase flow of a silicon-containing reactant wherein the silicon-containing reactant is adsorbed onto the surface of the substrate; (d) igniting a plasma in the reaction chamber after the vapor phase flow of the silicon-containing reactant has ceased; and (e) heating the substrate to between about 200° C. and about 550° C.; where at least one of the nitrogen-containing reactant and the silicon-containing reactant bears one or more of a thermally removable group, wherein said thermally removable group decomposes at between about 200° C. and about 550° C.
  • These and other features and advantages of the present invention will be described in more detail below with reference to the associated drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 shows a temporal progression of exemplary phases in a CFD process.
  • FIG. 2 is an exemplary process flow outlining a CFD process for making a SiN film.
  • FIG. 3 is an exemplary process flow outlining a method of making a SiN film.
  • FIG. 4 is an exemplary process flow outlining a method of making a SiN film.
  • FIG. 5 depicts a CFD processing station.
  • FIG. 6 depicts a schematic view of a multi-station processing tool
  • DETAILED DESCRIPTION
  • Overview
  • The present disclosure relates to formation of SiN films, particularly on semiconductor substrates. Methods described herein include ways of controlling the carbon content in SiN films, particularly forming low-carbon content SiN films, as well as conformal film deposition (CFD) methods of forming SiN films.
  • Definitions
  • As used herein, the following definitions shall apply unless otherwise indicated.
  • A “silicon-containing reactant” is a reagent, single or mixture of reagents, used to make a SiN material, where the reagent contains at least one silicon compound. The silicon compound can be, for example, a silane, a halosilane or an aminosilane. A silane contains hydrogen and/or carbon groups, but does not contain a halogen. Examples of silanes are silane (SiH4), disilane (Si2H6), and organo silanes such as methylsilane, ethylsilane, isopropylsilane, t-butylsilane, dimethylsilane, diethylsilane, di-t-butylsilane, allylsilane, sec-butylsilane, thexylsilane, isoamylsilane, t-butyldisilane, di-t-butyldisilane, and the like. A halosilane contains at least one halogen group and may or may not contain hydrogens and/or carbon groups. Examples of halosilanes are iodosilanes, bromosilanes, chlorosilanes and fluorosilanes. Although halosilanes, particularly fluorosilanes, may form reactive halide species that can etch silicon materials, in certain embodiments described herein, the silicon-containing reactant is not present when a plasma is struck. Specific chlorosilanes are tetrachlorosilane (SiCl4), trichlorosilane (HSiCl3), dichlorosilane (H2SiCl2), monochlorosilane (ClSiH3), chloroallylsilane, chloromethylsilane, dichloromethylsilane, chlorodimethylsilane, chloroethylsilane, t-butylchlorosilane, di-t-butylchlorosilane, chloroisopropylsilane, chloro-sec-butylsilane, t-butyldimethylchlorosilane, thexyldimethylchlorosilane, and the like. An aminosilane includes at least one nitrogen atom bonded to a silicon atom, but may also contain hydrogens, oxygens, halogens and carbons. Examples of aminosilanes are mono-, di-, tri- and tetra-aminosilane (H3Si(NH2)4, H2Si(NH2)2, HSi(NH2)3 and Si(NH2)4, respectively), as well as substituted mono-, di-, tri- and tetra-aminosilanes, for example, t-butylaminosilane, methylaminosilane, tert-butylsilanamine, bis(tertiarybutylamino)silane (SiH2(NHC(CH3)3)2 (BTBAS), tert-butyl silylcarbamate, SiH(CH3)-(N(CH3)2)2, SiHCl—(N(CH3)2)2, (Si(CH3)2NH)3 and the like.
  • A “nitrogen-containing reactant” contains at least one nitrogen, for example, ammonia, hydrazine, amines (amines bearing carbon) such as methylamine, dimethylamine, ethylamine, isopropylamine, t-butylamine, di-t-butylamine, cyclopropylamine, sec-butylamine, cyclobutylamine, isoamylamine, 2-methylbutan-2-amine, trimethylamine, diisopropylamine, diethylisopropylamine, di-t-butylhydrazine, as well as aromatic containing amines such as anilines, pyridines, and benzylamines. Amines may be primary, secondary, tertiary or quaternary (for example, tetraalkylammonium compounds). A nitrogen-containing reactant can contain heteroatoms other than nitrogen, for example, hydroxylamine, t-butyloxycarbonyl amine and N-t-butyl hydroxylamine are nitrogen-containing reactants.
  • “Plasma” refers to a plasma ignited in a reaction chamber or remotely and brought into the reaction chamber. Plasmas can include the reactants described herein and may include other agents, for example, a carrier gas, or reactive species such as hydrogen gas. The reactants and other agents may be present in a reaction chamber when a plasma is struck, or a remote plasma may be flowed into a chamber where the reactants are present and/or the reactants and/or carrier gas may be ignited into a plasma remotely and brought into the reaction chamber. A “plasma” is meant to include any plasma known to be technologically feasible, including inductively-coupled plasmas and microwave surface wave plasmas. One of ordinary skill in the art would appreciate that advancements in technology will occur, and thus as yet developed plasma generating techniques are contemplated to be within the scope of the invention.
  • “Thermally removable group” refers to a moiety, on either or both of the nitrogen-containing reactant and the silicon-containing reactant, that breaks down into volatile components at between about 200° C. and about 550° C. Described herein are non-limiting examples such as secondary and tertiary carbon group, which undergo elimination reactions in this temperature range. One of ordinary skill in the art would recognize that other groups thermally decompose as described by other mechanisms, for example, a t-butyloxycarbonyl (t-BOC or “BOC”) group thermally decomposes via both an elimination mechanism where the t-butyl portion of the group forms isobutylene, but also the decomposition forms carbon dioxide. Thus a thermally removable group is not limited to a particular mechanism or combination of mechanisms. As long as the group breaks down under the specified temperature range to produce at least one volatile component, then it qualifies as a thermally decomposable group. For example, under a given set of conditions, t-butylethylamine will undergo thermal decomposition of the t-butyl group to form isobutylene while the ethyl group remains, and thus isobutylene and ethylamine are the products of the thermal decomposition. One of ordinary skill in the art would recognize that the volatility of a component depends, in part, on the reaction conditions under which the component is generated. For example, isobutylene may be volatile and be removed from a reaction chamber under the conditions of heating and low press because it does not react with the adsorbed reactants, while, for example, ammonia, although generally a volatile compound, undergoes reaction with a silicon-containing reactant adsorbed on the surface of a substrate.
  • Methods
  • Described herein are methods of making SiN films. In particular embodiments SiN films are made using plasma-activated conformal film deposition (CFD). In some embodiments, SiN films are deposited and treated with a hydrogen plasma to reduce the carbon content of the film. In some embodiments, a silicon-containing reactant and a nitrogen-containing reactant are used to make a SiN film, where one or both of the reactants includes a thermally removable group. In these embodiments, a hydrogen plasma may not be necessary to remove unwanted carbon from the film, for example when the carbon content is substantially embodied by the thermally removable group or groups' volatile component. When the SiN film is heated, the carbon is driven off via the thermally removable group or groups breaking down into volatile components that are removed in the gas phase. In certain embodiments, although some of the carbon content of the SiN film is removed via exploiting a thermally removable group or groups, there may be some unwanted carbon remaining, and thus a hydrogen plasma treatment may be employed as well. Each of the aforementioned aspects are described in more detail below.
  • In certain embodiments, CFD is used to deposit the SiN films, although methods described herein are not limited to CFD. Other suitable methods include ALD, PEALD, CVD, PECVD, and plasma enhanced cyclic chemical vapor deposition (PECCVD). Methods for forming films using CFD are described in U.S. patent application, Ser. No. ______, [Attorney docket NOVLP405] filed on the same day as the instant disclosure, and which is incorporated by reference herein for all purposes. For context, a short description of CFD is provided.
  • Manufacture of semiconductor devices typically involves depositing one or more thin films on a non-planar substrate in an integrated fabrication process. In some aspects of the integrated process it may be useful to deposit conformal thin films. For example, a silicon nitride film may be deposited on top of an elevated gate stack to act as a spacer layer for protecting lightly-doped source and drain regions from subsequent ion implantation processes.
  • In spacer layer deposition processes, chemical vapor deposition (CVD) processes may be used to form a silicon nitride film on the non-planar substrate, which is then anisotropically etched to form the spacer structure. However, as a distance between gate stacks decreases, mass transport limitations of CVD gas phase reactions may cause “bread-loafing” deposition effects. Such effects typically exhibit thicker deposition at top surfaces of gate stacks and thinner deposition at the bottom corners of gate stacks. Further, because some die may have regions of differing device density, mass transport effects across the wafer surface may result in within-die and within-wafer film thickness variation. These thickness variations may result in over-etching of some regions and under-etching of other regions. This may degrade device performance and/or die yield.
  • Some approaches to addressing these issues involve atomic layer deposition (ALD). In contrast with a CVD process, where thermally activated gas phase reactions are used to deposit films, ALD processes use surface-mediated deposition reactions to deposit films on a layer-by-layer basis. In one example ALD process, a substrate surface, including a population of surface active sites, is exposed to a gas phase distribution of a first reactant (A). Some molecules of reactant A may form a condensed phase atop the substrate surface, including chemisorbed species and physisorbed molecules of reactant A. The reactor is then evacuated to remove gas phase and physisorbed reactant A so that only chemisorbed species remain. A second film reactant (B) is then introduced to the reactor so that some molecules of reactant B adsorb to the substrate surface. Thermal energy provided to the substrate activates surface reactions between adsorbed molecules of reactants A and B, forming a film layer. Finally, the reactor is evacuated to remove reaction by-products and unreacted reactant B, ending the ALD cycle. Additional ALD cycles may be included to build film thickness. Plasma, or other energetic means, may be used in conjunction with heating, or as alternatives to heating the substrate in order to drive the reaction between reactant A and B.
  • Depending on the exposure time of the reactant dosing steps and the sticking coefficients of the reactants, each ALD cycle may deposit a film layer of, in one example, between one-half and three angstroms thick. Thus, ALD processes may be time consuming when depositing films more than a few nanometers thick. Further, some reactants may have long exposure times to deposit a conformal film, which may also reduce wafer throughput time.
  • Conformal films may also be deposited on planar substrates. For example, antireflective layers for lithographic patterning applications may be formed from planar stacks comprising alternating film types. Such antireflective layers may be approximately 100 to 1000 angstroms thick, making ALD processes less attractive than CVD processes. However, such anti-reflective layers may also have a lower tolerance for within-wafer thickness variation than many CVD processes may provide. For example, a 600-angstrom thick antireflective layer may tolerate a thickness range of less than 3 angstroms.
  • Accordingly, various embodiments described herein include CFD to deposit SiN films. Generally, CFD does not rely on complete purges of one or more reactants prior to reaction to form SiN. For example, there may be one or more reactants present in the vapor phase when a plasma (or other activation energy) is struck. Accordingly, one or more of the process steps described in the ALD process may be shortened or eliminated in an example CFD process. Further, in some embodiments, plasma activation of deposition reactions may result in lower deposition temperatures than thermally-activated reactions, potentially reducing the thermal budget of an integrated process.
  • FIG. 1, shows a temporal progression of exemplary phases in a CFD process, 100, for various process parameters, for example, inert gas flow, reactant A, reactant B and when a plasma is struck. In FIG. 1, two deposition cycles 110A and 110B are shown. One of ordinary skill in the art would appreciate that any suitable number of deposition cycles may be included in a CFD process to deposit a desired film thickness. Example CFD process parameters include, but are not limited to, flow rates for inert and reactant species, plasma power and frequency, substrate temperature, and process station pressure.
  • The concept of a CFD “cycle” is relevant to the discussion of various embodiments herein. Generally a cycle is the minimum set of operations required to perform a surface deposition reaction one time. The result of one cycle is production of at least a partial film layer on a substrate surface. Typically, a CFD cycle will include only those steps necessary to deliver and adsorb each reactant to the substrate surface, and then react those adsorbed reactants to form the partial layer of film. Of course, the cycle may include certain ancillary steps such as sweeping one of the reactants or byproducts and/or treating the partial film as deposited. Generally, a cycle contains only one instance of a unique sequence of operations. As an example, a cycle may include the following operations: (i) delivery/adsorption of reactant A, (ii) delivery/adsorption of reactant B, (iii) sweep B out of the reaction chamber, and (iv) apply plasma to drive a surface reaction of A and B to form the partial film layer on the surface.
  • Referring to FIG. 1, an inert gas is flowed during all phases of process 100. At reactant A exposure phase, 120A, reactant A is supplied at a controlled flow rate to a process station to saturate exposed surfaces of a substrate. Reactant A may be any suitable deposition reactant, for example, a nitrogen-containing reactant. In the embodiment shown in FIG. 1, reactant A flows continuously throughout deposition cycles 110A and 110B. Unlike a typical ALD process, where film precursor (reactant) exposures are separated to prevent gas phase reaction, reactants A and B may be allowed to mingle in the gas phase of some embodiments of a CFD process. Continuously supplying reactant A to the process station may reduce or eliminate a reactant A flow rate turn-on and stabilization time compared to an ALD process where reactant A is first turned on, then stabilized and exposed to the substrate, then turned off, and finally removed from a reactor. While the embodiment shown in FIG. 1 depicts reactant A exposure phase 120A as having a constant flow rate, it will be appreciated that any suitable flow of reactant A, including a variable flow, may be employed within the scope of the present disclosure. In some embodiments, reactant A exposure phase 120A may have a duration that exceeds a substrate surface saturation time for reactant A. For example, the embodiment of FIG. 1 includes a reactant A post-saturation exposure time 130 in reactant A exposure phase 120A. Optionally, reactant A exposure phase 120A may include a controlled flow rate of an inert gas. Example inert gases include, but are not limited to, nitrogen, argon, and helium. The inert gas may be provided to assist with pressure and/or temperature control of the process station, evaporation of a liquid reactant, more rapid delivery of the reactant and/or as a sweep gas for removing process gases from the process station and/or process station plumbing.
  • At Reactant B exposure phase 140A of the embodiment shown in FIG. 1, reactant B is supplied at a controlled flow rate to the process station to saturate the exposed substrate surface. In this example, reactant B is a silicon-containing reactant. While the embodiment of FIG. 1 depicts reactant B exposure phase 140A as having a constant flow rate, it will be appreciated that any suitable flow of reactant B, including a variable flow, may be employed within the scope of the present disclosure. Further, it will be appreciated that reactant B exposure phase 140A may have any suitable duration. In some embodiments, reactant B exposure phase 140A may have a duration exceeding a substrate surface saturation time for reactant B. For example, the embodiment shown in FIG. 1 depicts a reactant B post-saturation exposure time 15 included in reactant B exposure phase 140A.
  • In some embodiments, surface adsorbed B species may exist as discontinuous islands on the substrate surface, making it difficult to achieve surface saturation of reactant B. Various surface conditions may delay nucleation and saturation of reactant B on the substrate surface. For example, ligands released on adsorption of reactants A and/or B may block some surface active sites, preventing further adsorption of reactant B. Accordingly, in some embodiments, continuous adlayers of reactant B may be provided by modulating a flow of and/or discretely pulsing reactant B into the process station during reactant B exposure phase 140A. This may provide extra time for surface adsorption and desorption processes while conserving reactant B compared to a constant flow scenario. Additionally, or alternatively, in some embodiments, one or more sweep phases may be included between consecutive exposures of reactant B.
  • Prior to activation of the plasma, gas phase reactant B may be removed from the process station in sweep phase 160A in some embodiments. Sweeping the process station may avoid gas phase reactions where reactant B is unstable to plasma activation or where unwanted species might be formed. Further, sweeping the process station may remove surface adsorbed ligands that may otherwise remain and contaminate the film. Example sweep gases may include, but are not limited to, argon, helium, and nitrogen. In the embodiment shown in FIG. 1, sweep gas for sweep phase 160A is supplied by the continuous inert gas stream. In some embodiments, sweep phase 160A may include one or more evacuation subphases for evacuating the process station. Alternatively, it will be appreciated that sweep phase 160A may be omitted in some embodiments.
  • Sweep phase 160A may have any suitable duration. In some embodiments, increasing a flow rate of a one or more sweep gases may decrease the duration of sweep phase 160A. For example, a sweep gas flow rate may be adjusted according to various reactant thermodynamic characteristics and/or geometric characteristics of the process station and/or process station plumbing for modifying the duration of sweep phase 160A. In one non-limiting example, the duration of a sweep phase may be optimized by adjustment of the sweep gas flow rate. This may reduce deposition cycle time, which may improve substrate throughput.
  • At plasma activation phase 180A of the embodiment shown in FIG. 1, plasma energy is provided to activate surface reactions between surface adsorbed reactants A and B. For example, the plasma may directly or indirectly activate gas phase molecules of reactant A to form reactant A radicals. These radicals may then interact with surface adsorbed reactant B, resulting in film-forming surface reactions. Plasma activation phase 180A concludes deposition cycle 110A, which in the embodiment of FIG. 1 is followed by deposition cycle 110B, commencing with reactant A exposure phase 120B.
  • In some embodiments, the plasma ignited in plasma activation phase 180A may be formed directly above the substrate surface. This may provide a greater plasma density and enhance a surface reaction rate between reactants A and B. For example, plasmas for CFD processes may be generated by applying a radio frequency (RF) field to a low-pressure gas using two capacitively coupled plates. Any suitable gas may be used to form the plasma. In this example, the inert gas such as argon or helium is used along with reactant A, a nitrogen-containing reactant, to form the plasma. Ionization of the gas between the plates by the RF field ignites the plasma, creating free electrons in the plasma discharge region. These electrons are accelerated by the RF field and may collide with gas phase reactant molecules. Collision of these electrons with reactant molecules may form radical species that participate in the deposition process. It will be appreciated that the RF field may be coupled via any suitable electrodes. Non-limiting examples of electrodes include process gas distribution showerheads and substrate support pedestals. It will be appreciated that plasmas for CFD processes may be formed by one or more suitable methods other than capacitive coupling of an RF field to a gas.
  • Plasma activation phase 180A may have any suitable duration. In some embodiments, plasma activation phase 180A may have a duration that exceeds a time for plasma-activated radicals to interact with all exposed substrate surfaces and adsorbates, forming a continuous film atop the substrate surface. For example, the embodiment shown in FIG. 1 includes a plasma post-saturation exposure time 190 in plasma activation phase 180A.
  • In some embodiments, extending a plasma exposure time and/or providing a plurality of plasma exposure phases may provide a post-reaction treatment of bulk and/or near-surface portions of the deposited film. In one embodiment, decreasing surface contamination may prepare the surface for adsorption of reactant A. For example, a silicon nitride film formed from reaction of a silicon-containing reactant and a nitrogen-containing reactant may have a surface that may resist adsorption of subsequent reactants. Treating the silicon nitride surface with a plasma may create hydrogen bonds for facilitating subsequent adsorption and reaction events. The SiN films described herein can be exposed to other-than-plasma treatments.
  • In some embodiments, a treatment other than a plasma treatment is employed to modify the properties the as deposited film. Such treatments include electromagnetic radiation treatments, thermal treatments (e.g., anneals or high temperature pulses), and the like. Any of these treatments may be performed alone or in combination with another treatment, including a plasma treatment. Any such treatment can be employed as a substitute for any of the above-described plasma treatments. In a specific embodiment, the treatment involves exposing the film to ultraviolet radiation. As described below, in a specific embodiment, the method involves the application of UV-radiation to a film in situ (i.e., during formation of the film) or post deposition of the film. Such treatment serves to reduce or eliminate defect structure and provide improved electrical performance.
  • In certain specific embodiments, a UV treatment can be coupled with a plasma treatment. These two operations can be performed concurrently or sequentially. In the sequential option, the UV operation optionally takes place first. In the concurrent option, the two treatments may be provided from separate sources (e.g., an RF power source for the plasma and a lamp for the UV) or from a single source such as a helium plasma that produces UV radiation as a byproduct.
  • In some embodiments, film properties, such as film stress, dielectric constant, refractive index, etch rate may be adjusted by varying plasma parameters.
  • While many examples discussed herein include two reactants (A and B), it will be appreciated that any suitable number of reactants may be employed within the scope of the present disclosure. In some embodiments, a single reactant and an inert gas used to supply plasma energy for a surface reaction can be used. Alternatively, some embodiments may use multiple reactants to deposit a film. For example, in some embodiments, a silicon nitride film may be formed by reaction of a silicon-containing reactant and one or more of a nitrogen-containing reactant, or one or more silicon-containing reactants and a single nitrogen-containing reactant, or more than one of both the silicon-containing reactant and the nitrogen-containing reactant.
  • When multiple reactants are employed and the flow of one of them is continuous, at least two of them will co-exist in the gas phase during a portion of the CFD cycle. Similarly, when no purge step is performed after delivery of the first reactant, two reactants will co-exist in the reaction chamber. Therefore, it may be important to employ reactants that do not appreciably react with one another in the gas phase absent application of activation energy. Typically, the reactants should not react until present on the substrate surface and exposed to plasma or another appropriate non-thermal activation condition. Choosing such reactants involves considerations of at least (1) the thermodynamic favorability (Gibb's free energy <0) of the desired reaction, and (2) the activation energy for the reaction, which should be sufficiently great so that there is negligible reaction at the desired deposition temperature.
  • Selection of one or more reactants may be driven by various film and/or hardware considerations. For example, in some embodiments, a silicon nitride film may be formed from reaction of dichlorosilane and a plasma-activated nitrogen-containing reactant, for example ammonia. Chemisorption of dichlorosilane to a silicon surface (indicated by the rectangle in Scheme 1, etc.) may create a silicon-hydrogen terminated surface, liberating hydrogen chloride (HCl). An example of this chemisorption reaction is depicted in Scheme 1.
  • Figure US20110256734A1-20111020-C00001
  • The cyclic intermediate shown in Scheme 1 may then be transformed into a silicon amide terminated surface via reaction with the same or different plasma-activated nitrogen-containing reactant.
  • However, some molecules of dichlorosilane may chemisorb by alternative mechanisms. For example, surface morphology may hinder the formation of the cyclic intermediate depicted in Scheme 1. An example of another chemisorption mechanism is shown Scheme 2. During subsequent plasma activation of a nitrogen-containing reactant, the remaining chlorine atom of the adsorbed intermediate species shown in Scheme 2 may be liberated and may become activated by the plasma. This may cause etching of the silicon nitride surface, potentially causing the silicon nitride film to become rough or hazy. Further, the residual chlorine atom may readsorb, physically and/or chemically, potentially contaminating the deposited film. This contamination may alter physical and/or electrical properties of the silicon nitride film. Further still, the activated chlorine atom may cause etch damage to portions of the process station hardware, potentially reducing the service life of portions of the process station. Also, excess chloride content of the film may be unwanted.
  • Figure US20110256734A1-20111020-C00002
  • Thus, in some embodiments, a monochlorosilane is used rather than a dichlorosilane. This may reduce film contamination, film damage, and/or process station damage. An example of the chemisorption of chlorosilane is shown in Scheme 3. While the example depicted in Scheme 3 uses chlorosilane as the silicon-containing reactant, it will be appreciated that any suitable monosubstituted halosilane may be used. For example there may be applications where a certain carbon content is desirable. In one embodiment, the carbon content of the SiN film is tailored by choice of carbon containing groups, both carbon amount and type, on one or both of the silicon containing reactant and the nitrogen-containing reactant.
  • Figure US20110256734A1-20111020-C00003
  • As explained above, the depicted intermediate structures may react with a nitrogen-containing reactant to form a silicon amide terminated surface. For example, ammonia may be activated by a plasma, forming various ammonia radical species. The radical species react with the intermediate, forming the silicon amide terminated surface. Ammonia is a common nitrogen-containing reactant for forming SiN films. Certain embodiments described herein utilize ammonia as a nitrogen-containing reactant.
  • However, ammonia may physisorb strongly to surfaces of the reactant delivery lines, process station, and exhaust plumbing, which may lead to extended purge and evacuation times. Further, ammonia may have a high reactivity with some gas phase silicon-containing reactants. For example gas-phase mixtures of dichlorosilane (SiH2Cl2) and ammonia may create unstable species such as diaminosilane (SiH2(NH2)2). Such species may decompose in the gas phase, nucleating small particles. Small particles may also be formed if ammonia reacts with hydrogen chloride, generated during chemisorption of a halosilane, to form ammonium chloride. Such particles may accumulate in the process station where they may contaminate substrate surfaces, potentially leading to integrated device defects, and where they may contaminate process station hardware, potentially leading to tool down time and cleaning. The small particles may also accumulate in exhaust plumbing, may clog pumps and blowers, and may create a need for special environmental exhaust scrubbers and/or cold traps.
  • Thus, in some embodiments, an amine may be used rather than a nitrogen-containing reactant that does not contain carbon. For example, various radicals formed from plasma activation of alkyl amines, such as t-butyl amine, may be supplied to the process station. Substituted amines, such as t-butyl amine, may have a lower sticking coefficient on process hardware than ammonia, which may result in comparatively lower phyisorbption rates and comparatively lower process purge time.
  • Further, such alkyl amines form halogenated salts that are more volatile than ammonium salts, for example ammonium chloride. For example, t-butylammonium chloride is substantially more volatile than ammonium chloride and thus less deposits are formed on the interior of the chamber and associated plumbing and deposition hardware. This reduces tool down time, device defect creation, and environmental abatement expense.
  • In other embodiments, the nitrogen-containing reactant is an amine, having a carbon component and a nitrogen component. In some embodiments, the amine serves as a source of ammonia. That is, for example, t-butylamine is the nitrogen-containing reactant, but after processing, the t-butyl portion of the reactant is volatized and removed from the SiN film, while the amine portion (ammonia) is incorporated into the SiN film. In this way, the nitrogen-containing reactant serves as a delivery vector for ammonia, or an “ammonia equivalent.” This avoids having the reaction chamber and associated plumbing and hardware exposed to excess ammonia (although some ammonia is inevitably lost from the SiN film during decomposition of the t-butyl group and may contact the reactor and other surfaces). Further still, certain amines (nitrogen-containing reactants) may react with silicon-containing reactants to form a new silicon-containing reactant. For example, the reaction of t-butyl amine with dichlorosilane may form BTBAS. Although BTBAS contains silicon, it also contains nitrogen (by convention defined herein, this is a silicon-containing reactant). Because t-butyl amine decomposes at temperatures above 300° C. to form isobutylene and ammonia, analogously BTBAS may thermally decompose to form SiN and (2 moles of) isobutylene (BTBAS may also be preformed and used as a silicon-containing reactant with a nitrogen-containing reactant). Thus, certain amines provide alternate routes to form silicon nitride. Described herein are methods of exploiting such alkyl amines.
  • In some embodiments, where thermal decomposition pathways are exploited, the thermally removable group need not be part of a nitrogen-containing reactant. For example, in certain embodiments the thermally removable group is part of the silicon-containing reactant. In one embodiment the thermally removable group is directly attached to a silicon, nitrogen or oxygen of a silicon-containing reactant. In some embodiments, the reactant, nitrogen or silicon, includes a thermally removable group and at least one group that does not thermally decompose. This may be desirable, for example, when a certain carbon content in the final SiN film is desired, or, for example, when it is desirable to tailor the manner in which the carbon is removed from the SiN film. For example, in one embodiment, among the nitrogen and silicon-containing reactants, there is included both a thermally removable group and a non-thermally removable group, i.e., one that does not thermally decompose under the same conditions. In this way a SiN film is produced where some of the carbon can be removed, if desired, via thermal decomposition and the remainder of the carbon removed, if desirable, via, for example, hydrogen plasma treatment. Thus methods of the invention contemplate carbon removal from a single film in more than one way.
  • Each of the aforementioned aspects are described in more detail below.
  • As described above, in one embodiment, CFD is used to make SiN films. One embodiment is a method of forming a silicon nitride material on a substrate, including: (a) providing the substrate in a reaction chamber; (b) continuously exposing the substrate to a vapor phase flow of a nitrogen-containing reactant wherein the nitrogen-containing reactant is adsorbed onto the surface of the substrate; (c) periodically exposing the substrate to a vapor phase flow of a silicon-containing reactant wherein the silicon-containing reactant is adsorbed onto the surface of the substrate; and (d) periodically igniting a plasma in the reaction chamber when the vapor phase flow of the silicon-containing reactant has ceased. In this embodiment, the plasma is ignited to form a plasma from the nitrogen-containing reactant flowing in the reaction chamber. In one embodiment, a carrier gas is flowed continuously through the reaction chamber, thus the plasma may also contain components of the carrier gas, such as argon or nitrogen ions and/or radicals. Generally, the concentration of the silicon-containing reactant in the reaction chamber is allowed to decrease substantially prior to striking the plasma. The flow of nitrogen-containing reactant, and carrier gas if present, sweeps the excess silicon-containing reactant (that not adsorbed onto the surface of the substrate) out of the chamber without the need for a vacuum purge step, although, in one embodiment, a purge is performed prior to striking the plasma.
  • FIG. 2 depicts an exemplary process flow, 200, outlining aspects of the method. A substrate is provided to the chamber, see 205. A flow of nitrogen-containing reactant is established and continued throughout 200, see 210. The substrate is periodically exposed to a silicon-containing reactant, see 215. Also, periodically, a plasma is struck, but only when the silicon-containing reactant flow has ceased. In one embodiment, the silicon-containing reactant not adsorbed to the surface of the substrate is swept out of the chamber by the flow of the inert gas and/or nitrogen-containing reactant. In another embodiment, a purge may be used. After the plasma treatment, the method is complete. The steps may be repeated a number of times to build up a layer of desired thickness.
  • In one embodiment, using any of the methods described herein, the semi-conductor wafer is heated to between about 50° C. and about 550° C. In one embodiment, the wafer is heated throughout the deposition, in other embodiments the wafer is heated periodically during the deposition or after the deposition steps as an anneal. Heating may also be used in conjunction with thermally removable groups as described in more detail below.
  • The method is particularly useful for forming SiN films on semiconductor wafers. In one embodiment, steps (b) through (d) are repeated to form a conformal layer on the semiconductor wafer between about 1 nm and about 100 nm thick. In another embodiment, between about 5 nm and about 50 nm thick. In another embodiment, between about 5 nm and about 30 nm thick.
  • For the methods described herein, generally any silicon-containing reactant is suitable. The SiN material of the films produced may or may not contain carbon. The carbon in the SiN film may come from the silicon-containing reactant or the nitrogen-containing reactant. In one embodiment, the silicon-containing reactant is selected from the group consisting of a silane, a halosilane and an aminosilane, and mixtures thereof. In one embodiment, the silicon-containing reactant is a halosilane, an aminosilane or a mixture thereof. In one embodiment, the silicon-containing reactant is a halosilane. In one embodiment, the halosilane is a chlorosilane. In one embodiment, the halosilane is a mono- or dihalosilane, for example a monochlorosilane or a dichlorosilane. In a particular embodiment, the halosilane is a monochlorosilane. In one embodiment, the monochlorosilane is chlorosilane.
  • In certain embodiments, a silicon-containing reactant is paired with a particular nitrogen-containing reactant. For example, a monochlorosilane is paired with an alkyl amine, for example t-butyl amine, to make SiN films using the methods described herein. In one embodiment, dichlorosilane is used with t-butylamine to make SiN using a method described herein.
  • For methods described herein, the nitrogen-containing reactant can be any suitable nitrogen-containing reactant. In one embodiment, the nitrogen-containing reactant is selected from the group consisting of ammonia, a hydrazine, an amine and mixtures thereof. In one embodiment, the nitrogen-containing reactant includes a C1-10 alkyl amine or a mixture of C1-10 alkyl amines. In one embodiment, the C1-10 alkyl amine is a primary alkyl amine or a secondary alkyl amine. In one embodiment, the C1-10 alkyl amine is a primary alkyl amine. In one embodiment, the C1-10 alkyl amine is according to formula I:
  • Figure US20110256734A1-20111020-C00004
      • wherein each of R1, R2 and R3 is, independent of the others, H or C1-3 alkyl; or two of R1, R2 and R3, together with the carbon atom to which they are attached form form a C3-7 cycloalkyl and the other of R1, R2 and R3 is H or C1-3 alkyl. In one embodiment, the C1-10 alkyl amine has a secondary or tertiary carbon attached directly to the nitrogen. In one embodiment, the C1-10 alkyl amine is selected from the group consisting of isopropylamine, cyclopropylamine, sec-butylamine, tert-butyl amine, cyclobutylamine, isoamylamine, 2-methylbutan-2-amine and thexylamine (2,3-dimethylbutan-2-amine). In one embodiment, in the C1-10 alkyl amine of Formula I, each of R1, R2 and R3 is C1-3 alkyl. In one embodiment, the C1-10 alkyl amine is tert-butyl amine. TBA is particularly useful for the reasons described.
  • In some embodiments, the SiN film produced has an undesirable carbon content. This in-film carbon may result in electrical leakage and may render the film unusable for some dielectric barrier applications. Carbon content can vary, but in some embodiments approximately 10% carbon (by weight) can be considered too high. Methods described herein address unwanted carbon in SiN films. Methods described herein produce SiN films with less than 2% carbon, in one embodiment less than 1% carbon, in yet another embodiment less than 0.5% carbon. In some embodiments, the reduction in carbon residue is readily observable in FTIR spectra, although other analytical methods are known to one of ordinary skill in the art that can measure carbon content in these ranges. One embodiment is a method of forming a silicon nitride material on a substrate, including: (a) forming a silicon nitride film on the substrate, said formation including: (i) providing the substrate in a reaction chamber; (ii) exposing the substrate to a silicon-containing reactant in the vapor phase so that the silicon-containing reactant is adsorbed onto the surface of the substrate; (iii) exposing the substrate to an nitrogen-containing reactant in the vapor phase so that the nitrogen-containing reactant is adsorbed onto the surface of the substrate; (iv) igniting a plasma while the nitrogen-containing reactant is present in the vapor phase; and then, (b) exposing the silicon nitride film to a hydrogen containing plasma to remove at least some carbon content of the silicon nitride film.
  • FIG. 3 depicts an exemplary process flow, 300, outlining aspects of the method. A substrate is provided to the chamber, see 305. The substrate is exposed to a silicon-containing reactant, see 310. The substrate is exposed to a nitrogen-containing reactant, see 315. A plasma is struck while the nitrogen-containing reactant is present in the vapor phase, see 320, thus forming a SiN material is formed on the substrate. In one embodiment, the silicon-containing reactant not adsorbed to the surface of the substrate is swept out of the chamber by the flow of the inert gas and/or nitrogen-containing reactant prior to striking the plasma. In another embodiment, a purge may be used to remove vapor phase silicon-containing reactant. One or more iterations of 310-320 can be performed to build up a SiN layer. After the SiN film is produced to a desired thickness, the film is exposed to a hydrogen plasma, see 325. After treatment with hydrogen plasma to remove the desired amount of carbon, the method is done. In one embodiment, the hydrogen plasma is generated using hydrogen (H2) and a carrier gas such as nitrogen, helium or argon. Other hydrogen containing gases, or active hydrogen atoms produced by a remote plasma source, may be used to treat the deposited film. Further, in some embodiments, the carbon content of the film may be tuned to any suitable concentration by varying one or more of the number of treatment pulses and their duration, the intensity of the treatment plasma, the substrate temperature, and the treatment gas composition.
  • As described in relation to previous methods, in one embodiment, the substrate is a semiconductor wafer. In one embodiment, (ii) is performed prior to (iii). In another embodiment, (iii) is performed prior to (ii). In certain embodiments, (a) is repeated two or more times prior to (b). That is, the hydrogen plasma treatment removes carbon from the SiN film. It is not always necessary to perform the hydrogen plasma treatment after each reaction to form SiN, rather, a number of layers of the SiN (with carbon) may be deposited before requiring a hydrogen plasma treatment. That is, the hydrogen plasma is effective at removing the carbon after two or more layers are deposited. In one embodiment, (a) and (b) are repeated to form a conformal layer on the semiconductor wafer between about 1 nm and about 100 nm thick, in another embodiment, between about 5 nm and about 50 nm thick, in another embodiment, between about 5 nm and about 30 nm thick.
  • Methods described above employ hydrogen plasma to reduce carbon content in a SiN film. Other methods described herein take advantage of a thermal decomposable groups, attached either to a silicon-containing reactant or a nitrogen-containing reactant, in order to lower carbon content. One embodiment is a method of forming a silicon nitride material on a substrate, including: (a) providing the substrate in a reaction chamber; (b) providing a carrier gas flow through the reaction chamber; (c) exposing the substrate to a vapor phase flow of a nitrogen-containing reactant wherein the nitrogen-containing reactant is adsorbed onto the surface of the substrate and then purging the reaction chamber; (d) exposing the substrate to a vapor phase flow of a silicon-containing reactant wherein the silicon-containing reactant is adsorbed onto the surface of the substrate; (e) igniting a plasma in the reaction chamber after the vapor phase flow of the silicon-containing reactant has ceased; and (f) heating the substrate to between about 200° C. and about 550° C.; where at least one of the nitrogen-containing reactant and the silicon-containing reactant bears one or more of a thermally removable group, wherein said thermally removable group decomposes at between about 200° C. and about 550° C.
  • FIG. 4 depicts an exemplary process flow, 400, outlining aspects of the method. A substrate is provided to the chamber, see 405. A carrier flow is established, see 410. The substrate is exposed to a nitrogen-containing reactant, see 415. The substrate is exposed to a silicon-containing reactant, see 420. A plasma is ignited after the flow of the silicon-containing reactant is ceased, see 425. This reaction forms SiN. One or more iterations of 410-425 are performed to build a layer of desired thickness. In this method, 415 and 420 are not necessarily done in the order presented. The nitrogen-containing reactant flow may or may not be continuous. The substrate may be heated during formation of the SiN layer, within, or below, the temperature range necessary to decompose the thermally removable groups, but at least at some point after the SiN film is formed, the substrate is heated to between about 200° C. and about 550° C. in order to break down the thermally removable groups, see 430. After the substrate is heated sufficient time to remove the desired amount of carbon, the process flow ends.
  • In one embodiment, the substrate is a semiconductor wafer. In one embodiment, the method further includes repeating (b) through (e) to form a conformal layer on the semiconductor wafer between about 1 nm and about 100 nm thick. In one embodiment, (f) is performed throughout (b) through (e). The silicon and nitrogen-containing reactants are as described herein, provided at least one of the silicon and the nitrogen-containing reactant includes at least one thermally removable group.
  • A thermally removable group is a group that breaks down into volatile components at between about 200° C. and about 550° C. For example, secondary and particularly tertiary carbon groups can undergo elimination reactions in this temperature range. In a particular example, t-butyl groups break down to form isobutylene in this temperature range. For example, t-butylamine, when heated, undergoes an elimination reaction to form isobutylene and ammonia as depicted in Scheme 4. As another example, t-butoxycarbonyl groups (t-BOC) groups also thermally decompose, for example at about 150° C., to form isobutylene, carbon dioxide and the
  • Figure US20110256734A1-20111020-C00005
  • radical to which the t-BOC group was attached. For example, as depicted in Scheme 5, t-butylcarbamate thermally decomposes to give isobutylene ammonia and carbon dioxide. The thermally removable group need not be on the nitrogen-containing reactant. For example, t-butylsilane,
  • Figure US20110256734A1-20111020-C00006
  • when heated, also undergoes an elimination reaction to form isobutylene and a silane. In another example, tert-butyl silylcarbamate thermally decomposes to form isobutylene, silanamine and carbon dioxide, as depicted in Scheme 6.
  • Figure US20110256734A1-20111020-C00007
  • Thus one or more thermally removable groups can be used on the silicon-containing reactant, the nitrogen-containing reactant, or both. Thus the semiconductor wafer is heated to between about 200° C. and about 550° C. so that such groups decompose and release their carbon content and thus reduce the carbon content of the SiN film. The reactants are adsorbed onto the substrate, a plasma is used to convert the reactants to a SiN material. Remaining carbon groups are removed by heating the substrate. The heating can be performed during the entire deposition or periodically to decompose the thermally removable groups. In one embodiment, the substrate is heated to between about 200° C. and about 550° C., in another embodiment between about 350° C. and about 550° C., in another embodiment between about 450° C. and about 550° C., and in another embodiment, between about 450° C. and about 500° C. In one embodiment, for example where TBA is used, the SiN film is heated to between about 450° C. and about 500° C., for between about 1 second and about 30 seconds, or between about 1 second and about 20 seconds, or between about 1 second and about 10 seconds. Although any particular thermally removable group will breakdown at a certain temperature threshold, a higher temperature may be used to increase the rate of decomposition and/or as an anneal to improve the properties of the SiN film.
  • As described above, the thermally removable group may include a secondary or tertiary carbon functionality, and either or both of the silicon-containing reactant and the nitrogen-containing reactant can include one or more of the same or different thermally removable groups. In one embodiment, the thermally removable group is according to Formula II:
  • Figure US20110256734A1-20111020-C00008
  • wherein each of R1, R2 and R3 is, independent of the others, H or C1-3 alkyl; or two of R1, R2 and R3, together with the carbon atom to which they are attached form form a C3-7 cycloalkyl and the other of R1, R2 and R3 is H or C1-3 alkyl; and where each of said thermally removable group, when part of the nitrogen-containing reactant, is attached to a nitrogen or an oxygen of the nitrogen-containing reactant, and, when part of the silicon-containing reactant, is attached to a silicon or a nitrogen or an oxygen of the silicon-containing reactant. In one embodiment, each of R1, R2 and R3 is, independent of the others, C1-3 alkyl. In one embodiment, the thermally removable group is a t-butyl group.
  • One embodiment is a SiN film produced by a method described herein.
  • Apparatus
  • Another aspect of the invention is an apparatus configured to accomplish the methods described herein. A suitable apparatus includes hardware for accomplishing the process operations and a system controller having instructions for controlling process operations in accordance with the present invention.
  • It will be appreciated that any suitable process station may be employed with one or more of the embodiments described above. For example, FIG. 5 schematically shows a CFD process station 1300. For simplicity, CFD process station 1300 is depicted as a standalone process station having a process chamber body 1302 for maintaining a low-pressure environment. However, it will be appreciated that a plurality of CFD process stations 1300 may be included in a common low-pressure process tool environment. While the embodiment depicted in FIG. 5 shows one process station, it will be appreciated that, in some embodiments, a plurality of process stations may be included in a processing tool. For example, FIG. 6 depicts an embodiment of a multi-station processing tool 2400. Further, it will be appreciated that, in some embodiments, one or more hardware parameters of CFD process station 1300, including those discussed in detail below, may be adjusted programmatically by one or more computer controllers.
  • A CFD process station 1300 fluidly communicates with reactant delivery system 1301 for delivering process gases to a distribution showerhead 1306. Reactant delivery system 1301 includes a mixing vessel 1304 for blending and/or conditioning process gases for delivery to showerhead 1306. One or more mixing vessel inlet valves 1320 may control introduction of process gases to mixing vessel 1304.
  • Some reactants, like BTBAS, may be stored in liquid form prior to vaporization at and subsequent delivery to the process station. For example, the apparatus of FIG. 5 includes a vaporization point 1303 for vaporizing liquid reactant to be supplied to mixing vessel 1304. In some embodiments, vaporization point 1303 may be a heated vaporizer. The saturated reactant vapor produced from such vaporizers may condense in downstream delivery piping. Exposure of incompatible gases to the condensed reactant may create small particles. These small particles may clog piping, impede valve operation, contaminate substrates, etc. Some approaches to addressing these issues involve sweeping and/or evacuating the delivery piping to remove residual reactant. However, sweeping the delivery piping may increase process station cycle time, degrading process station throughput. Thus, in some embodiments, delivery piping downstream of vaporization point 1303 is heat traced. In some examples, mixing vessel 1304 is also heat traced. In one non-limiting example, piping downstream of vaporization point 1303 has an increasing temperature profile extending from approximately 100° C. to approximately 150° C. at mixing vessel 1304.
  • In some embodiments, reactant liquid is vaporized at a liquid injector. For example, a liquid injector may inject pulses of a liquid reactant into a carrier gas stream upstream of the mixing vessel. In one embodiment, a liquid injector vaporizes reactant by flashing the liquid from a higher pressure to a lower pressure. In another embodiment, a liquid injector atomizes the liquid into dispersed microdroplets that are subsequently vaporized in a heated delivery pipe. It will be appreciated that smaller droplets may vaporize faster than larger droplets, reducing a delay between liquid injection and complete vaporization. Faster vaporization may reduce a length of piping downstream from vaporization point 1303. In one embodiment, a liquid injector is mounted directly to mixing vessel 1304. In another embodiment, a liquid injector is mounted directly to showerhead 1306.
  • In some embodiments, a liquid flow controller upstream of vaporization point 1303 is provided for controlling a mass flow of liquid for vaporization and delivery to process station 1300. In one example, the liquid flow controller (LFC) includes a thermal mass flow meter (MFM) located downstream of the LFC. A plunger valve of the LFC is adjusted responsive to feedback control signals provided by a proportional-integral-derivative (PID) controller in electrical communication with the MFM. However, it may take one second or more to stabilize liquid flow using feedback control. This may extend a time for dosing a liquid reactant. Thus, in some embodiments, the LFC is dynamically switched between a feedback control mode and a direct control mode. In some embodiments, the LFC is dynamically switched from a feedback control mode to a direct control mode by disabling a sense tube of the LFC and the PID controller.
  • Showerhead 1306 distributes process gases toward substrate 1312. In the embodiment shown in FIG. 13, substrate 1312 is located beneath showerhead 1306, and is shown resting on a pedestal 1308. It will be appreciated that showerhead 1306 may have any suitable shape, and may have any suitable number and arrangement of ports for distributing processes gases to substrate 1312.
  • In some embodiments, a microvolume 1307 is located beneath showerhead 1306. Performing a CFD process in a microvolume rather than in the entire volume of a process station may reduce reactant exposure and sweep times, may reduce times for altering CFD process conditions (e.g., pressure, temperature, etc.), may limit an exposure of process station robotics to process gases, etc. Example microvolume sizes include, but are not limited to, volumes between 0.1 liter and 2 liters.
  • In some embodiments, pedestal 1308 may be raised or lowered to expose substrate 1312 to microvolume 1307 and/or to vary a volume of microvolume 1307. For example, in a substrate transfer phase, pedestal 1308 is lowered to allow substrate 1312 to be loaded onto pedestal 1308. During a CFD process phase, pedestal 1308 is raised to position substrate 1312 within microvolume 1307. In some embodiments, microvolume 1307 completely encloses substrate 1312 as well as a portion of pedestal 1308 to create a region of high flow impedance during a CFD process.
  • Optionally, pedestal 1308 may be lowered and/or raised during portions the CFD process to modulate process pressure, reactant concentration, etc., within microvolume 1307. In one embodiment where process chamber body 1302 remains at a base pressure during the CFD process, lowering pedestal 1308 allows microvolume 1307 to be evacuated. Example ratios of microvolume to process chamber volume include, but are not limited to, volume ratios between 1:500 and 1:10. It will be appreciated that, in some embodiments, pedestal height may be adjusted programmatically by a suitable computer controller.
  • In another embodiment, adjusting a height of pedestal 1308 allows a plasma density to be varied during plasma activation and/or treatment cycles included in the CFD process. At the conclusion of the CFD process phase, pedestal 1308 is lowered during another substrate transfer phase to allow removal of substrate 1312 from pedestal 1308.
  • While the example microvolume variations described herein refer to a height-adjustable pedestal, it will be appreciated that, in some embodiments, a position of showerhead 1306 may be adjusted relative to pedestal 1308 to vary a volume of microvolume 1307. Further, it will be appreciated that a vertical position of pedestal 1308 and/or showerhead 1306 may be varied by any suitable mechanism. One of ordinary skill in the art would appreciate that such mechanism would include, for example, hydraulics, pneumatics, spring mechanisms, solenoids and the like. In some embodiments, pedestal 1308 may include a rotational mechanis, for example along an axis perpendicular to the surface of the substrate, for rotating an orientation of substrate 1312. It will be appreciated that, in some embodiments, one or more of these example adjustments may be performed programmatically by one or more suitable computer controllers.
  • Returning to the embodiment shown in FIG. 5, showerhead 1306 and pedestal 1308 electrically communicate with RF power supply 1314 and matching network 1316 for powering a plasma. In some embodiments, the plasma energy is controlled by controlling one or more of a process station pressure, a gas concentration, an RF source power, an RF source frequency, and a plasma power pulse timing. For example, RF power supply 1314 and matching network 1316 may be operated at any suitable power to form a plasma having a desired composition of radical species. Examples of suitable powers include, but are not limited to, powers between 100 W and 5000 W. Likewise, RF power supply 1314 may provide RF power of any suitable frequency. In some embodiments, RF power supply 1314 may be configured to control high- and low-frequency RF power sources independently of one another. Example low-frequency RF frequencies may include, but are not limited to, frequencies between 50 kHz and 500 kHz. Example high-frequency RF frequencies may include, but are not limited to, frequencies between 1.8 MHz and 2.45 GHz. It will be appreciated that any suitable parameters may be modulated discretely or continuously to provide plasma energy for the surface reactions. In one non-limiting example, the plasma power may be intermittently pulsed to reduce ion bombardment with the substrate surface relative to continuously powered plasmas.
  • In some embodiments, the plasma is monitored in-situ by one or more plasma monitors. In one embodiment, plasma power is monitored by one or more voltage, current sensors (e.g., VI probes). In another embodiment, plasma density and/or process gas concentration is measured by one or more optical emission spectroscopy sensors (OES). In some embodiments, one or more plasma parameters are programmatically adjusted based on measurements from such in-situ plasma monitors. For example, an OES sensor may be used in a feedback loop for providing programmatic control of plasma power. It will be appreciated that, in some embodiments, other monitors may be used to monitor the plasma and other process characteristics. Such monitors include, but are not limited to, infrared (IR) monitors, acoustic monitors, and pressure transducers.
  • In some embodiments, the plasma is controlled via input/output control (IOC) sequencing instructions. For example, the instructions for setting plasma conditions for a plasma process phase may be included in a corresponding plasma activation recipe phase of a CFD process recipe. In some embodiments, process recipe phases may be sequentially arranged, so that all instructions for a CFD process phase are executed concurrently with that process phase. It will be appreciated that some aspects of plasma generation may have well-characterized transient and/or stabilization times that may prolong a plasma process phase. Put another way, such time delays may be predictable. Such time delays may include a time to strike the plasma and a time to stabilize the plasma at the indicted power setting.
  • In some embodiments, pedestal 1308 may be temperature controlled via heater 1310. Further, in some embodiments, pressure control for CFD process station 1300 may be provided by butterfly valve 1318. As shown in FIG. 5, butterfly valve 1318 throttles a vacuum provided by a downstream vacuum pump (not shown). However, in some embodiments, pressure control of process station 1300 may also be adjusted by varying a flow rate of one or more gases introduced to CFD process station 1300.
  • As described above, one or more process stations may be included in a multi-station processing tool. FIG. 6 shows a schematic view of a multi-station processing tool, 2400, with an inbound load lock 2402 and an outbound load lock 2404, either or both of which may comprise a remote plasma source. A robot 2406, at atmospheric pressure, is configured to move wafers from a cassette loaded through a pod 2408 into inbound load lock 2402 via an atmospheric port 2410. A wafer is placed by the robot 2406 on a pedestal 2412 in the inbound load lock 2402, the atmospheric port 2410 is closed, and the load lock is pumped down. Where the inbound load lock 2402 comprises a remote plasma source, the wafer may be exposed to a remote plasma treatment in the load lock prior to being introduced into a processing chamber 2414. Further, the wafer also may be heated in the inbound load lock 2402 as well, for example, to remove moisture and adsorbed gases. Next, a chamber transport port 2416 to processing chamber 2414 is opened, and another robot (not shown) places the wafer into the reactor on a pedestal of a first station shown in the reactor for processing. While the embodiment depicted in FIG. 6 includes load locks, it will be appreciated that, in some embodiments, direct entry of a wafer into a process station may be provided.
  • The depicted processing chamber 2414 comprises four process stations, numbered from 1 to 4 in the embodiment shown in FIG. 6. Each station has a heated pedestal (shown at 2418 for station 1), and gas line inlets. It will be appreciated that in some embodiments, each process station may have different or multiple purposes. For example, in some embodiments, a process station may be switchable between a CFD and PECVD process mode. Additionally or alternatively, in some embodiments, processing chamber 2414 may include one or more matched pairs of CFD and PECVD process stations. While the depicted processing chamber 2414 comprises four stations, it will be understood that a processing chamber according to the present disclosure may have any suitable number of stations. For example, in some embodiments, a processing chamber may have five or more stations, while in other embodiments a processing chamber may have three or fewer stations.
  • FIG. 6 also depicts a wafer handling system 2490 for transferring wafers within processing chamber 2414. In some embodiments, wafer handling system 2490 may transfer wafers between various process stations and/or between a process station and a load lock. It will be appreciated that any suitable wafer handling system may be employed. Non-limiting examples include wafer carousels and wafer handling robots. FIG. 6 also depicts a system controller 2450 employed to control process conditions and hardware states of process tool 2400. System controller 2450 may include one or more memory devices 2456, one or more mass storage devices 2454, and one or more processors 2452. Processor 2452 may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc.
  • In some embodiments, system controller 2450 controls all of the activities of process tool 2400. System controller 2450 executes system control software 2458 stored in mass storage device 2454, loaded into memory device 2456, and executed on processor 2452. System control software 2458 may include instructions for controlling the timing, mixture of gases, chamber and/or station pressure, chamber and/or station temperature, wafer temperature, target power levels, RF power levels, substrate pedestal, chuck and/or susceptor position, and other parameters of a particular process performed by process tool 2400. System control software 2458 may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operation of the process tool components necessary to carry out various process tool processes. System control software 2458 may be coded in any suitable computer readable programming language.
  • In some embodiments, system control software 2458 may include input/output control (IOC) sequencing instructions for controlling the various parameters described above. For example, each phase of a CFD process may include one or more instructions for execution by system controller 2450. The instructions for setting process conditions for a CFD process phase may be included in a corresponding CFD recipe phase. In some embodiments, the CFD recipe phases may be sequentially arranged, so that all instructions for a CFD process phase are executed concurrently with that process phase.
  • Other computer software and/or programs stored on mass storage device 2454 and/or memory device 2456 associated with system controller 2450 may be employed in some embodiments. Examples of programs or sections of programs for this purpose include a substrate positioning program, a process gas control program, a pressure control program, a heater control program, and a plasma control program.
  • A substrate positioning program may include program code for process tool components that are used to load the substrate onto pedestal 2418 and to control the spacing between the substrate and other parts of process tool 2400.
  • A process gas control program may include code for controlling gas composition and flow rates and optionally for flowing gas into one or more process stations prior to deposition in order to stabilize the pressure in the process station. A pressure control program may include code for controlling the pressure in the process station by regulating, for example, a throttle valve in the exhaust system of the process station, a gas flow into the process station, etc.
  • A heater control program may include code for controlling the current to a heating unit that is used to heat the substrate. Alternatively, the heater control program may control delivery of a heat transfer gas (such as helium) to the substrate.
  • A plasma control program may include code for setting RF power levels applied to the process electrodes in one or more process stations.
  • In some embodiments, there may be a user interface associated with system controller 2450. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
  • In some embodiments, parameters adjusted by system controller 2450 may relate to process conditions. Non-limiting examples include process gas composition and flow rates, temperature, pressure, plasma conditions (such as RF bias power levels), pressure, temperature, etc. These parameters may be provided to the user in the form of a recipe, which may be entered utilizing the user interface.
  • Signals for monitoring the process may be provided by analog and/or digital input connections of system controller 2450 from various process tool sensors. The signals for controlling the process may be output on the analog and digital output connections of process tool 2400. Non-limiting examples of process tool sensors that may be monitored include mass flow controllers, pressure sensors (such as manometers), thermocouples, etc. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain process conditions.
  • System controller 2450 may provide program instructions for implementing the above-described deposition processes. The program instructions may control a variety of process parameters, such as DC power level, RF bias power level, pressure, temperature, etc. The instructions may control the parameters to operate in-situ deposition of film stacks according to various embodiments described herein.
  • The system controller will typically include one or more memory devices and one or more processors configured to execute the instructions so that the apparatus will perform a method in accordance with the present invention. Machine-readable media containing instructions for controlling process operations in accordance with the present invention may be coupled to the system controller.
  • Patterning Method/Apparatus:
  • The apparatus/process described herein may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels and the like. Typically, though not necessarily, such tools/processes will be used or conducted together in a common fabrication facility. Lithographic patterning of a film typically comprises some or all of the following steps, each step enabled with a number of possible tools: (1) application of photoresist on a workpiece, i.e., substrate, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper. In one embodiment, a SiN film is formed using a method as described herein. The SiN film is used, for example, for one of the purposes described herein. Further, the method includes one or more steps (1)-(6) described above.
  • EXAMPLES
  • The invention is further understood by reference to the following examples, which are intended to be purely exemplary. The present invention is not limited in scope by the exemplified embodiments, which are intended as illustrations of single aspects of the invention only. Any methods that are functionally equivalent are within the scope of the invention. Various modifications of the invention in addition to those described herein will become apparent to those skilled in the art from the foregoing description and accompanying figures. Moreover, such modifications fall within the scope of the appended claims.
  • Example 1
  • Table 1 includes a number of reaction partners, and temperature and pressure parameters that may be used to make SiN films in accordance with the embodiments described herein.
  • TABLE 1
    Reactant A Reactant B Reactant C Temp(° C.) Press. (torr) Ref. index
    BTBAS NH3 50-550 1-4 1.80-2.05
    BTBAS N2/H2 50-550 1-4 1.80-2.05
    BTBAS NH3 N2/H2 50-550 1-4 1.80-2.05
    SiH3Cl NH3 Optionally 50-550 1-4
    N2/H2
    SiH3Cl TBA Optionally
    N2/H2
    SiH2Cl2 NH3 Optionally 50-550 1-4 1.80-2.05
    N2/H2
    SiH2Cl2 TBA Optionally
    N2/H2
    SiH(CH3)—(N(CH3)2)2 NH3 Optionally 50-550 1-4 1.80-2.05
    N2/H2
    SiH(CH3)(Cl2) NH3 Optionally 50-550 1-4 1.80-2.05
    N2/H2
    SiHCl—(N(CH3)2)2 NH3 Optionally 50-550 1-4 1.80-2.05
    N2/H2
    (Si(CH3)2NH)3 NH3 Optionally 50-550 1-4 1.80-2.05
    N2/H2
  • Example 2
  • A 300 mm wafer is placed into vacuum chamber and the chamber evacuated to 0.5 torr. The wafer is supported within the chamber on an aluminum pedestal which is heated throughout the procedure. For example, the pedestal is heated at a constant temperature that is between about 50° C. and about 550° C. The pressure in the chamber is increased to 2 torr using an inert gas such as argon or nitrogen. Dichlorosilane (DCS) is introduced into the reactor as a vapor phase flow at between about 1 slm and about 5 slm (standard liters per minute) for between about 1 second and about 30 seconds in order to adsorb DCS onto the surface of the wafer. After the DCS flow is ceased, the inert gas flow in the reactor purges the remaining vapor phase DCS and any byproducts. Then, a t-butylamine (TBA) vapor phase flow is established in the reactor at between about 1 slm and about 5 slm for between about 1 second and about 30 seconds. A plasma, for example 13.56 MHz at 2.5 kW power, is ignited above the wafer for between about 1 second and about 15 seconds. The inert gas flow in the reactor purges the remaining vapor phase TBA and any byproducts. The DCS flow, inert gas purge, TBA flow, plasma and inert gas purge are repeated to deposit a SiN film of desired thickness. Each cycle as described deposits between about 0.5 Å and about 1.5 Å of a SiN film.
  • Alternatively, in a CFD run, the TBA flow is run continuously. In these runs, the same conditions as described above are used, except the TBA flow is established first and maintained. The DCS flow is introduced into the reactor at the same rate and time as described above, followed by an inert gas purge as described above. The plasma is ignited as described above, followed by an inert gas purge as described above. The DCS flow, inert gas purge, plasma ignition and inert gas purge are repeated to deposit a SiN film of desired thickness. Each cycle as described deposits between about 0.5 Å and about 1.5 Å of a SiN film.
  • The SiN films produced have the following characteristics:
      • Non-Uniformity 3%-5% (max-min/average)
      • Non-uniformity <1% (1 s)
      • Refractive Index 1.8-1.9
      • Film stress: +20 MPa to −180 MPa
      • Dielectric constant: 5.5-6.5
      • Wet etch ratio 0.1-1.0 (to thermal oxide)
  • Although the foregoing has been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing the processes, systems and apparatus described. Accordingly, the described embodiments are to be considered as illustrative and not restrictive.

Claims (42)

1. A method of forming a silicon nitride material on a substrate, comprising:
(a) providing the substrate in a reaction chamber;
(b) continuously exposing the substrate to a vapor phase flow of a nitrogen-containing reactant wherein the nitrogen-containing reactant is adsorbed onto the surface of the substrate;
(c) periodically exposing the substrate to a vapor phase flow of a silicon-containing reactant wherein the silicon-containing reactant is adsorbed onto the surface of the substrate; and
(d) periodically igniting a plasma in the reaction chamber when the vapor phase flow of the silicon-containing reactant has ceased.
2. The method of claim 1, further comprising continuously flowing a carrier gas through the reaction chamber.
3. The method of claim 1 or 2, further comprising purging to remove unabsorbed silicon-containing reactant after (c) but before (d).
4. The method of claim 1, wherein the substrate is a semiconductor wafer.
5. The method of claim 4, further comprising repeating (b) through (d) to form a conformal layer on the semiconductor wafer between about 1 nm and about 100 nm thick.
6. The method of claim 1, wherein the silicon-containing reactant is selected from the group consisting of a silane, a halosilane and an aminosilane, and mixtures thereof.
7. The method of claim 1, wherein the nitrogen-containing reactant is selected from the group consisting of ammonia, a hydrazine, an amine and mixtures thereof.
8. The method of claim 7, wherein the nitrogen-containing reactant comprises a C1-10alkyl amine.
9. The method of claim 8, wherein the C1-10 alkyl amine is tert-butyl amine.
10. The method of claim 8, wherein the silicon-containing reactant is a monochlorosilane or a dichlorosilane.
11. The method of claim 4, wherein the semi-conductor wafer is heated to between about 50° C. and about 550° C.
12. A method of forming a silicon nitride material on a substrate, comprising:
(a) forming a silicon nitride film on the substrate, said formation comprising:
(i) providing the substrate in a reaction chamber;
(ii) exposing the substrate to a silicon-containing reactant in the vapor phase so that the silicon-containing reactant is adsorbed onto the surface of the substrate;
(iii) exposing the substrate to a nitrogen-containing reactant in the vapor phase so that the nitrogen-containing reactant is adsorbed onto the surface of the substrate;
(iv) igniting a plasma while the nitrogen-containing reactant is present in the vapor phase;
and then,
(b) exposing the silicon nitride film to a hydrogen containing plasma to remove at least some carbon content of the silicon nitride film.
13. The method of claim 12, wherein the substrate is a semiconductor wafer.
14. The method of claim 12, wherein (ii) is performed prior to (iii).
15. The method of claim 12, wherein (iii) is performed prior to (ii).
16. The method of claim 13, wherein (a) is repeated two or more times prior to (b).
17. The method of claim 13, further comprising repeating (a) and (b) to form a conformal layer on the semiconductor wafer between about 1 nm and about 100 nm thick.
18. The method of claim 12, wherein the silicon-containing reactant is selected from the group consisting of a silane, a halosilane and an aminosilane, and mixtures thereof.
19. The method of claim 12, wherein the nitrogen-containing reactant comprises a C1-10alkyl amine.
20. The method of claim 18, wherein the silicon-containing reactant is a chlorosilane.
21. The method of claim 12, further comprising continuously flowing a carrier gas through the reaction chamber after (i).
22. The method of claim 13, wherein the semi-conductor wafer is heated to between about 50° C. and about 550° C.
23. A method of forming a silicon nitride material on a substrate, comprising:
(a) providing the substrate in a reaction chamber;
(b) providing a carrier gas flow through the reaction chamber;
(c) exposing the substrate to a vapor phase flow of a nitrogen-containing reactant wherein the nitrogen-containing reactant is adsorbed onto the surface of the substrate and then purging the reaction chamber;
(d) exposing the substrate to a vapor phase flow of a silicon-containing reactant wherein the silicon-containing reactant is adsorbed onto the surface of the substrate;
(e) igniting a plasma in the reaction chamber after the vapor phase flow of the silicon-containing reactant has ceased; and
(f) heating the substrate to between about 200° C. and about 550° C.;
wherein at least one of the nitrogen-containing reactant and the silicon-containing reactant bears one or more of a thermally removable group, wherein said thermally removable group decomposes at between about 200° C. and about 550° C.
24. The method of claim 23, wherein the thermally removable group is according to Formula II:
Figure US20110256734A1-20111020-C00009
wherein each of R1, R2 and R3 is, independent of the others, H or C1-3 alkyl; or two of R1, R2 and R3, together with the carbon atom to which they are attached form form a C3-7 cycloalkyl and the other of R1, R2 and R3 is H or C1-3 alkyl; and wherein each of said thermally removable group, when part of the nitrogen-containing reactant, is attached to a nitrogen or an oxygen of the nitrogen-containing reactant, and, when part of the silicon-containing reactant, is attached to a silicon or a nitrogen or an oxygen of the silicon-containing reactant.
25. The method of claim 23, wherein the substrate is a semiconductor wafer.
26. The method of claim 25, further comprising repeating (b) through (e) to form a conformal layer on the semiconductor wafer between about 1 nm and about 100 nm thick.
27. The method of claim 23, wherein (f) is performed throughout (b) through (e).
28. The method of claim 23, wherein the silicon-containing reactant is selected from the group consisting of a silane, a halosilane and an aminosilane, and mixtures thereof.
29. The method of claim 23, wherein the nitrogen-containing reactant is selected from the group consisting of ammonia, a hydrazine, an amine and mixtures thereof.
30. The method of claim 29, wherein the nitrogen-containing reactant is a C1-10 alkyl amine according to formula I:
Figure US20110256734A1-20111020-C00010
wherein each of R1, R2 and R3 is, independent of the others, H or C1-3 alkyl; or two of R1, R2 and R3, together with the carbon atom to which they are attached form form a C3-7 cycloalkyl and the other of R1, R2 and R3 is H or C1-3 alkyl.
31. The method of claim 30, wherein the C1-10 alkyl amine is selected from the group consisting of isopropylamine, cyclopropylamine, sec-butylamine, tert-butyl amine, cyclobutylamine, isoamylamine, 2-methylbutan-2-amine and thexylamine.
32. The method of claim 31, wherein the C1-10 alkyl amine is tert-butyl amine.
33. The method of claim 29, wherein the silicon-containing reactant is a chlorosilane.
34. The method of claim 32, wherein the semi-conductor wafer is heated to between about 450° C. and about 500° C.
35. An apparatus for depositing a silicon nitride film on a semiconductor wafer, the apparatus comprising:
(a) a reaction chamber;
(b) a source of activation energy to form the silicon nitride film;
(c) a reactant inlet; and
(d) a controller comprising instructions for:
continuously flowing a nitrogen-containing reactant into the reaction chamber during a deposition cycle;
periodically flowing a silicon-containing reactant into the reaction chamber during the deposition cycle;
periodically igniting a plasma in the reaction chamber when the flow of the silicon-containing reactant has ceased.
36. The apparatus of claim 35, wherein the source of activation energy is a plasma generator.
37. The apparatus of claim 35, further including a vacuum port.
38. The apparatus of claim 36, wherein the plasma generator comprises induction coils and/or a microwave source.
39. An apparatus for depositing a silicon nitride film on a semiconductor wafer, the apparatus comprising:
(a) a reaction chamber;
(b) a source of activation energy to form the silicon nitride film;
(c) a reactant inlet; and
(d) a controller comprising instructions for:
flowing a nitrogen-containing reactant into the reaction chamber during a deposition cycle;
flowing a silicon-containing reactant into the reaction chamber during the deposition cycle;
periodically igniting a plasma in the reaction chamber when the flow of the silicon-containing reactant has ceased and while the nitrogen-containing reactant is present in the vapor phase in the reaction chamber.
40. The apparatus of claim 39, wherein the source of activation energy is a plasma generator.
41. The apparatus of claim 39, further including a vacuum port.
42. The apparatus of claim 40, wherein the plasma generator comprises induction coils and/or a microwave source.
US13/084,305 2010-04-15 2011-04-11 Silicon nitride films and methods Abandoned US20110256734A1 (en)

Priority Applications (15)

Application Number Priority Date Filing Date Title
US13/084,305 US20110256734A1 (en) 2010-04-15 2011-04-11 Silicon nitride films and methods
KR1020127004928A KR20130057409A (en) 2010-04-15 2011-04-13 Improved silicon nitride films and methods
SG2012076311A SG184566A1 (en) 2010-04-15 2011-04-13 Improved silicon nitride films and methods
PCT/US2011/032303 WO2011130397A2 (en) 2010-04-15 2011-04-13 Improved silicon nitride films and methods
TW100113037A TW201207148A (en) 2010-04-15 2011-04-14 Improved silicon nitride films and methods
US13/224,240 US9611544B2 (en) 2010-04-15 2011-09-01 Plasma activated conformal dielectric film deposition
US13/242,084 US8637411B2 (en) 2010-04-15 2011-09-23 Plasma activated conformal dielectric film deposition
US13/472,282 US8647993B2 (en) 2011-04-11 2012-05-15 Methods for UV-assisted conformal film deposition
US13/607,386 US8956983B2 (en) 2010-04-15 2012-09-07 Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US14/133,239 US8999859B2 (en) 2010-04-15 2013-12-18 Plasma activated conformal dielectric film deposition
US14/194,549 US9997357B2 (en) 2010-04-15 2014-02-28 Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US14/607,997 US9570274B2 (en) 2010-04-15 2015-01-28 Plasma activated conformal dielectric film deposition
US15/426,889 US10043655B2 (en) 2010-04-15 2017-02-07 Plasma activated conformal dielectric film deposition
US15/976,793 US10559468B2 (en) 2010-04-15 2018-05-10 Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US16/556,122 US11011379B2 (en) 2010-04-15 2019-08-29 Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US32471010P 2010-04-15 2010-04-15
US37236710P 2010-08-10 2010-08-10
US37908110P 2010-09-01 2010-09-01
US41780710P 2010-11-29 2010-11-29
US13/084,305 US20110256734A1 (en) 2010-04-15 2011-04-11 Silicon nitride films and methods

Related Parent Applications (2)

Application Number Title Priority Date Filing Date
US13/084,399 Continuation-In-Part US8728956B2 (en) 2010-04-15 2011-04-11 Plasma activated conformal film deposition
US13/084,399 Continuation US8728956B2 (en) 2010-04-15 2011-04-11 Plasma activated conformal film deposition

Related Child Applications (3)

Application Number Title Priority Date Filing Date
US13/084,399 Continuation-In-Part US8728956B2 (en) 2010-04-15 2011-04-11 Plasma activated conformal film deposition
US13/242,084 Continuation-In-Part US8637411B2 (en) 2010-04-15 2011-09-23 Plasma activated conformal dielectric film deposition
US13/472,282 Continuation-In-Part US8647993B2 (en) 2011-04-11 2012-05-15 Methods for UV-assisted conformal film deposition

Publications (1)

Publication Number Publication Date
US20110256734A1 true US20110256734A1 (en) 2011-10-20

Family

ID=44788514

Family Applications (3)

Application Number Title Priority Date Filing Date
US13/084,399 Active 2031-08-17 US8728956B2 (en) 2010-04-15 2011-04-11 Plasma activated conformal film deposition
US13/084,305 Abandoned US20110256734A1 (en) 2010-04-15 2011-04-11 Silicon nitride films and methods
US14/231,554 Active US9230800B2 (en) 2010-04-15 2014-03-31 Plasma activated conformal film deposition

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US13/084,399 Active 2031-08-17 US8728956B2 (en) 2010-04-15 2011-04-11 Plasma activated conformal film deposition

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/231,554 Active US9230800B2 (en) 2010-04-15 2014-03-31 Plasma activated conformal film deposition

Country Status (5)

Country Link
US (3) US8728956B2 (en)
KR (3) KR101762978B1 (en)
SG (3) SG184567A1 (en)
TW (2) TWI567225B (en)
WO (2) WO2011130326A2 (en)

Cited By (408)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120036732A1 (en) * 2009-11-12 2012-02-16 Varadarajan Bhadri N Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using uv curing in ammonia
CN103225071A (en) * 2012-01-20 2013-07-31 诺发系统公司 Method for depositing a chlorine-free conformal SiN film
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20130344699A1 (en) * 2012-06-22 2013-12-26 Tokyo Electron Limited Sidewall protection of low-k material during etching and ashing
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8647993B2 (en) * 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
KR20140051807A (en) * 2012-10-23 2014-05-02 램 리써치 코포레이션 Sub-saturated atomic layer deposition and conformal film deposition
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US20140273292A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Methods of forming silicon nitride spacers
US20140260624A1 (en) * 2013-03-13 2014-09-18 Applied Materials, Inc. Acoustically-monitored semiconductor substrate processing systems and methods
US20140273529A1 (en) * 2013-03-15 2014-09-18 Victor Nguyen PEALD of Films Comprising Silicon Nitride
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9021985B2 (en) * 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US20150125628A1 (en) * 2013-11-06 2015-05-07 Asm Ip Holding B.V. Method of depositing thin film
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US20150147483A1 (en) * 2013-11-26 2015-05-28 Asm Ip Holding B.V. Method for Forming Conformal Nitrided, Oxidized, or Carbonized Dielectric Film by Atomic Layer Deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9145607B2 (en) 2013-10-22 2015-09-29 Lam Research Corporation Tandem source activation for cyclical deposition of films
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9177784B2 (en) 2012-05-07 2015-11-03 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US20150329965A1 (en) * 2012-12-21 2015-11-19 Prasad Narhar Gadgil Methods of low temperature deposition of ceramic thin films
US9194045B2 (en) 2012-04-03 2015-11-24 Novellus Systems, Inc. Continuous plasma and RF bias to regulate damage in a substrate processing system
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9355886B2 (en) 2010-04-15 2016-05-31 Novellus Systems, Inc. Conformal film deposition for gapfill
US9362109B2 (en) 2013-10-16 2016-06-07 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9368352B2 (en) 2011-11-04 2016-06-14 Asm International N.V. Methods for forming doped silicon oxide thin films
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
WO2016153929A1 (en) 2015-03-23 2016-09-29 Gelest Technologies, Inc. N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
WO2016205073A1 (en) 2015-06-16 2016-12-22 Gelest Technologies, Inc. Hydridosilapyrroles, hydridosilaazapyrroles, thiasilacyclopentanes, method for preparation thereof, and reaction products therefrom
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20170125536A1 (en) * 2015-10-28 2017-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modifying capping layer in semiconductor structure
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
CN108277476A (en) * 2018-03-14 2018-07-13 深圳市志橙半导体材料有限公司 A kind of depositing SiC processing equipment using thermal cvd
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10508340B2 (en) * 2013-03-15 2019-12-17 Applied Materials, Inc. Atmospheric lid with rigid plate for carousel processing chambers
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10580645B2 (en) * 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
CN110872699A (en) * 2018-08-29 2020-03-10 Asm Ip私人控股有限公司 Film forming method
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
WO2020068770A1 (en) * 2018-09-24 2020-04-02 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
WO2020072625A1 (en) * 2018-10-03 2020-04-09 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10825680B2 (en) 2015-12-18 2020-11-03 Lam Research Corporation Directional deposition on patterned structures
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896821B2 (en) 2018-09-28 2021-01-19 Lam Research Corporation Asymmetric wafer bow compensation by physical vapor deposition
US10903070B2 (en) 2018-09-28 2021-01-26 Lam Research Corporation Asymmetric wafer bow compensation by chemical vapor deposition
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087961B2 (en) 2018-03-02 2021-08-10 Lam Research Corporation Quartz component with protective coating
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
WO2022125820A1 (en) * 2020-12-10 2022-06-16 Eugenus, Inc. Conformal and smooth titanium nitride layers and methods of forming the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11450513B2 (en) 2018-03-30 2022-09-20 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482413B2 (en) 2019-10-08 2022-10-25 Eugenus, Inc. Conformal and smooth titanium nitride layers and methods of forming the same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551926B2 (en) 2021-01-22 2023-01-10 Micron Technology, Inc. Methods of forming a microelectronic device, and related systems and additional methods
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923190B2 (en) 2020-08-07 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition

Families Citing this family (111)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
KR101843609B1 (en) 2011-03-04 2018-05-14 노벨러스 시스템즈, 인코포레이티드 Hybrid ceramic showerhead
US9123530B2 (en) * 2011-03-23 2015-09-01 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
US20130115773A1 (en) * 2011-11-04 2013-05-09 Globalfoundries Inc. Prevention of ILD Loss in Replacement Gate Technologies by Surface Treatmen
JP6039996B2 (en) * 2011-12-09 2016-12-07 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program
JP6239079B2 (en) * 2011-12-09 2017-11-29 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program
JP6049395B2 (en) * 2011-12-09 2016-12-21 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program
WO2013103594A1 (en) 2012-01-06 2013-07-11 Novellus Systems, Inc. Adaptive heat transfer methods and systems for uniform heat transfer
US8912101B2 (en) * 2012-03-15 2014-12-16 Asm Ip Holding B.V. Method for forming Si-containing film using two precursors by ALD
US20130255784A1 (en) * 2012-03-30 2013-10-03 Applied Materials, Inc. Gas delivery systems and methods of use thereof
KR101881894B1 (en) 2012-04-06 2018-07-26 삼성디스플레이 주식회사 Thin film depositing apparatus and the thin film depositing method using the same
US8956704B2 (en) * 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
EP2679537A1 (en) * 2012-06-27 2014-01-01 Imec Method for producing metal lines on top of a non-flat mems topography
US20140046475A1 (en) * 2012-08-09 2014-02-13 Applied Materials, Inc. Method and apparatus deposition process synchronization
US9171715B2 (en) 2012-09-05 2015-10-27 Asm Ip Holding B.V. Atomic layer deposition of GeO2
JP6257071B2 (en) * 2012-09-12 2018-01-10 株式会社日立国際電気 Substrate processing apparatus and semiconductor device manufacturing method
EP2770373A1 (en) 2013-02-20 2014-08-27 Imec Conformal anti-reflective coating
US9328422B2 (en) * 2013-03-06 2016-05-03 Corning Incorporated Crystallization and bleaching of diamond-like carbon and silicon oxynitride thin films
US20140329027A1 (en) * 2013-05-02 2014-11-06 Applied Materials, Inc. Low temperature flowable curing for stress accommodation
CN104282614B (en) * 2013-07-01 2017-09-01 中芯国际集成电路制造(上海)有限公司 A kind of method for forming fleet plough groove isolation structure
US9798317B2 (en) * 2013-07-03 2017-10-24 Tokyo Electron Limited Substrate processing method and control apparatus
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
TWI649803B (en) * 2013-09-30 2019-02-01 蘭姆研究公司 Gapfill of variable aspect ratio features with a composite peald and pecvd method
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
JP2016541119A (en) 2013-12-05 2016-12-28 東京エレクトロン株式会社 DC overlay freeze
US9218963B2 (en) * 2013-12-19 2015-12-22 Asm Ip Holding B.V. Cyclical deposition of germanium
US9328416B2 (en) 2014-01-17 2016-05-03 Lam Research Corporation Method for the reduction of defectivity in vapor deposited films
KR102351585B1 (en) 2014-03-06 2022-01-13 어플라이드 머티어리얼스, 인코포레이티드 Plasma foreline thermal reactor system
KR102357926B1 (en) * 2014-03-07 2022-02-04 주성엔지니어링(주) Method of manufacturing a thin film
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9797042B2 (en) 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
CN105336661B (en) * 2014-05-29 2019-01-22 中芯国际集成电路制造(北京)有限公司 The forming method of semiconductor structure
US9685325B2 (en) 2014-07-19 2017-06-20 Applied Materials, Inc. Carbon and/or nitrogen incorporation in silicon based films using silicon precursors with organic co-reactants by PE-ALD
US9617638B2 (en) 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US11072860B2 (en) 2014-08-22 2021-07-27 Lam Research Corporation Fill on demand ampoule refill
US10094018B2 (en) * 2014-10-16 2018-10-09 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US9624578B2 (en) * 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition
US10096464B2 (en) * 2014-10-04 2018-10-09 Applied Materials, Inc. Atomic layer deposition of high density silicon dioxide
US9184060B1 (en) 2014-11-14 2015-11-10 Lam Research Corporation Plated metal hard mask for vertical NAND hole etch
US9502263B2 (en) * 2014-12-15 2016-11-22 Applied Materials, Inc. UV assisted CVD AlN film for BEOL etch stop application
US10100407B2 (en) 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
FI126970B (en) * 2014-12-22 2017-08-31 Picosun Oy Atomic deposit where the first and second starting species are present at the same time
US9640385B2 (en) * 2015-02-16 2017-05-02 Applied Materials, Inc. Gate electrode material residual removal process
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
JP6968701B2 (en) 2015-05-02 2021-11-17 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated A method for depositing a dielectric thin film with a low dielectric constant and a low wet etching rate.
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9484202B1 (en) * 2015-06-03 2016-11-01 Applied Materials, Inc. Apparatus and methods for spacer deposition and selective removal in an advanced patterning process
US9385318B1 (en) 2015-07-28 2016-07-05 Lam Research Corporation Method to integrate a halide-containing ALD film on sensitive materials
KR20170019668A (en) * 2015-08-12 2017-02-22 (주)디엔에프 The manufacturing method of the silicon nitride film by using plasma enhanced atomic layer deposition
US9508547B1 (en) 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US20170051405A1 (en) * 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US10550469B2 (en) * 2015-09-04 2020-02-04 Lam Research Corporation Plasma excitation for spatial atomic layer deposition (ALD) reactors
US9620356B1 (en) * 2015-10-29 2017-04-11 Applied Materials, Inc. Process of selective epitaxial growth for void free gap fill
JP6509095B2 (en) * 2015-11-04 2019-05-08 東京エレクトロン株式会社 Method of forming nitride film
US10388515B2 (en) * 2015-11-16 2019-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Treatment to control deposition rate
US9997351B2 (en) * 2015-12-08 2018-06-12 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for filling a cavity using angled ion beam
CN106920744B (en) * 2015-12-25 2019-12-13 北京大学 Method for stimulating diffusion of non-metal atoms in silicon in room temperature environment
US10115601B2 (en) * 2016-02-03 2018-10-30 Tokyo Electron Limited Selective film formation for raised and recessed features using deposition and etching processes
US9768070B1 (en) * 2016-05-20 2017-09-19 Samsung Electronics Co., Ltd. Method for manufacturing semiconductor device
US9738977B1 (en) 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
US10410869B2 (en) * 2016-06-28 2019-09-10 Applied Materials, Inc. CVD based oxide-metal multi structure for 3D NAND memory devices
WO2018016871A1 (en) * 2016-07-22 2018-01-25 (주)디엔에프 Method for manufacturing silicon nitride thin film using plasma atomic layer deposition
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US9824884B1 (en) 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US9837270B1 (en) * 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
JP6728087B2 (en) * 2017-02-22 2020-07-22 東京エレクトロン株式会社 Film forming method and film forming apparatus
US9911595B1 (en) * 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
FR3064283B1 (en) * 2017-03-22 2022-04-29 Kobus Sas PROCESS AND REACTOR DEVICE FOR PRODUCING THIN LAYERS IMPLEMENTING A SUCCESSION OF DEPOSIT STAGES, AND APPLICATIONS OF THIS PROCESS
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10483102B2 (en) * 2017-04-07 2019-11-19 Applied Materials, Inc. Surface modification to improve amorphous silicon gapfill
US9984869B1 (en) * 2017-04-17 2018-05-29 Asm Ip Holding B.V. Method of plasma-assisted cyclic deposition using ramp-down flow of reactant gas
DE102017206612A1 (en) * 2017-04-19 2018-10-25 Centrotherm Photovoltaics Ag Method and device for forming a layer on a semiconductor substrate and semiconductor substrate
US10752989B2 (en) 2017-07-26 2020-08-25 Moxtek, Inc. Methods of applying silane coatings
JP6873007B2 (en) * 2017-08-09 2021-05-19 東京エレクトロン株式会社 Silicon nitride film deposition method and film deposition equipment
JP6913569B2 (en) * 2017-08-25 2021-08-04 東京エレクトロン株式会社 How to process the object to be processed
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
WO2019046301A1 (en) * 2017-09-03 2019-03-07 Applied Materials, Inc. Conformal halogen doping in 3d structures using conformal dopant film deposition
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
KR102470206B1 (en) 2017-10-13 2022-11-23 삼성디스플레이 주식회사 Manufacturing method for metal oxide and display device comprising the metal oxide
US10510852B2 (en) 2017-11-28 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k feature formation processes and structures formed thereby
JP2021506126A (en) 2017-12-07 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation Oxidation resistant protective layer in chamber adjustment
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10541309B2 (en) 2017-12-25 2020-01-21 United Microelectronics Corp Semiconductor structure and method for fabricating the same
US10651083B2 (en) * 2018-03-05 2020-05-12 International Business Machines Corporation Graded interconnect cap
JP7003011B2 (en) * 2018-07-27 2022-01-20 東京エレクトロン株式会社 Silicon nitride film film forming method and film forming equipment
CN110896116B (en) * 2018-09-10 2023-01-17 浙江清华柔性电子技术研究院 Crystalline silicon solar cell diffusion layer and preparation method thereof, cell and module
KR102617145B1 (en) 2018-10-02 2023-12-27 삼성전자주식회사 Variable resistance memory device
US10971357B2 (en) 2018-10-04 2021-04-06 Applied Materials, Inc. Thin film treatment process
KR20220056248A (en) * 2018-10-19 2022-05-04 램 리써치 코포레이션 Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
CN111436219B (en) * 2018-11-14 2023-09-19 株式会社日立高新技术 Plasma processing apparatus and method for processing sample to be processed using the same
JP7090568B2 (en) 2019-01-30 2022-06-24 東京エレクトロン株式会社 Film formation method
CN113557589A (en) * 2019-03-20 2021-10-26 应用材料公司 Method for growing thick oxide films at low temperature of thermal oxide quality
KR20210158862A (en) * 2019-05-23 2021-12-31 어플라이드 머티어리얼스, 인코포레이티드 In-situ atomic layer deposition process
JP2022534793A (en) * 2019-06-07 2022-08-03 ラム リサーチ コーポレーション In situ control of film properties during atomic layer deposition
TW202108813A (en) * 2019-06-08 2021-03-01 美商應用材料股份有限公司 Low deposition rates for flowable pecvd
JP7339032B2 (en) * 2019-06-28 2023-09-05 東京エレクトロン株式会社 Substrate processing method and substrate processing apparatus
JP7259649B2 (en) * 2019-08-30 2023-04-18 東京エレクトロン株式会社 Film forming apparatus and film forming method
CN114467164A (en) * 2019-09-12 2022-05-10 应用材料公司 Repellent web and deposition method
US11532475B2 (en) * 2019-12-24 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition process for forming semiconductor device and system
KR102317442B1 (en) * 2020-01-20 2021-10-26 주성엔지니어링(주) Method for processing substrate
KR20210094462A (en) * 2020-01-20 2021-07-29 에이에스엠 아이피 홀딩 비.브이. Method for deposition of silicon nitride layer using pretreatment, structure formed using the method, and system for performing the method
US11817313B2 (en) * 2020-02-05 2023-11-14 Applied Materials, Inc. Methods for pressure ramped plasma purge
TWI823050B (en) 2020-02-19 2023-11-21 美商應用材料股份有限公司 Hydrogen free silicon dioxide
KR102437091B1 (en) * 2020-08-14 2022-08-26 한국기계연구원 Real-time control method for pecvd and reaction chamber for pecvd

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US20060286776A1 (en) * 2005-06-21 2006-12-21 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US20090075490A1 (en) * 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films

Family Cites Families (207)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
KR100275738B1 (en) 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
AU3229600A (en) 1999-02-12 2000-08-29 Gelest, Inc. Chemical vapor deposition of tungsten nitride
KR100273473B1 (en) 1999-04-06 2000-11-15 이경수 Method for forming a thin film
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US20030008070A1 (en) 2001-06-12 2003-01-09 Applied Materials,Inc Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor
KR100721503B1 (en) * 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 Method for forming a thin film
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (en) 2000-12-06 2003-06-02 삼성전자주식회사 Method of forming thin film by atomic layer deposition
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
KR100408733B1 (en) 2001-02-02 2003-12-11 주성엔지니어링(주) Thin Film Deposition Method
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR101027485B1 (en) 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 Improved process for deposition of semiconductor films
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP2003045864A (en) 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc Substrate processing system
WO2003023835A1 (en) 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
DE10208450B4 (en) 2002-02-27 2004-09-16 Infineon Technologies Ag Process for the deposition of thin layers by means of ALD / CVD processes in connection with fast thermal processes
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
US7250083B2 (en) 2002-03-08 2007-07-31 Sundew Technologies, Llc ALD method and apparatus
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
KR100468729B1 (en) 2002-04-25 2005-01-29 삼성전자주식회사 Method for Atomic Layer Deposition of silicon oxide film using HCD source
KR100472777B1 (en) * 2002-06-26 2005-03-10 동부전자 주식회사 Thin Film Deposition Method
JP5005170B2 (en) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド Method for forming ultra-high quality silicon-containing compound layer
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
KR100542736B1 (en) 2002-08-17 2006-01-11 삼성전자주식회사 Method of forming oxide layer using atomic layer deposition method and method of forming capacitor of semiconductor device using the same
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6774040B2 (en) 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
AU2003279751A1 (en) 2002-10-03 2004-04-23 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
KR100496265B1 (en) 2002-11-29 2005-06-17 한국전자통신연구원 Method of forming a thin film in a semiconductor device
KR100546852B1 (en) * 2002-12-28 2006-01-25 동부아남반도체 주식회사 Method For Manufacturing Semiconductor Devices
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US6930058B2 (en) 2003-04-21 2005-08-16 Micron Technology, Inc. Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge
EP1616043B1 (en) 2003-04-23 2020-09-23 Eugenus Inc. Transient enhanced atomic layer deposition
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
JP4329403B2 (en) 2003-05-19 2009-09-09 東京エレクトロン株式会社 Plasma processing equipment
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
KR100568859B1 (en) 2003-08-21 2006-04-10 삼성전자주식회사 Method for manufacturing transistor of dynamic random access memory semiconductor
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
KR100560654B1 (en) 2004-01-08 2006-03-16 삼성전자주식회사 Nitrogenous compound for forming silicon nitride film and method of forming silicon nitride film using the same
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
JP4279176B2 (en) 2004-03-02 2009-06-17 株式会社アルバック Method for forming silicon nitride film
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (en) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 Method of manufacturing semiconductor device
JP4396547B2 (en) 2004-06-28 2010-01-13 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
JP4595702B2 (en) 2004-07-15 2010-12-08 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (en) 2004-07-28 2008-11-12 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100648252B1 (en) 2004-11-22 2006-11-24 삼성전자주식회사 Method of forming a tungsten layer and method of forming a semicondcutor device using the same
US7482247B1 (en) * 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7838072B2 (en) * 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
KR100622609B1 (en) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 Thin film deposition method
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US7109129B1 (en) * 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
JP4258518B2 (en) 2005-03-09 2009-04-30 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
KR100640638B1 (en) 2005-03-10 2006-10-31 삼성전자주식회사 Method for forming high dielectric film by atomic layer deposition and method of fabricating semiconductor device having high dielectric film
JP4506677B2 (en) 2005-03-11 2010-07-21 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2006261434A (en) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Method for forming silicon oxide film
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7176084B2 (en) * 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP2007019145A (en) 2005-07-06 2007-01-25 Tokyo Electron Ltd Method of forming silicon oxynitride film, device of forming same and program
JP2007043147A (en) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd Method of forming silicon-rich nanocrystal structure using atomic layer deposition process and method of manufacturing nonvolatile semiconductor device using the same
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
JP4305427B2 (en) 2005-08-02 2009-07-29 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
KR100652427B1 (en) 2005-08-22 2006-12-01 삼성전자주식회사 Method of forming conductive polysilicon thin film using ald and method of manufacturing semiconductor device using the same
US20070065576A1 (en) 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
WO2007064376A2 (en) 2005-11-28 2007-06-07 Honeywell International Inc. Organometallic precursors and related intermediates for deposition processes, their production and methods of use
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
JP4434149B2 (en) 2006-01-16 2010-03-17 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
KR20080106984A (en) * 2006-03-31 2008-12-09 어플라이드 머티어리얼스, 인코포레이티드 Method to improve the step coverage and pattern loading for dielectric films
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
JP4929811B2 (en) 2006-04-05 2012-05-09 東京エレクトロン株式会社 Plasma processing equipment
FR2900276B1 (en) 2006-04-25 2008-09-12 St Microelectronics Sa PEALD DEPOSITION OF A SILICON MATERIAL
KR100756809B1 (en) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 Semiconductor device and method for fabricating the same
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
KR100791334B1 (en) 2006-07-26 2008-01-07 삼성전자주식회사 Method of forming a metal oxide by atomic layer deposition
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
JP4929932B2 (en) 2006-09-01 2012-05-09 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
CN101517713B (en) 2006-09-19 2011-02-09 东京毅力科创株式会社 Plasma cleaning process and plasma CVD method
TWI462179B (en) 2006-09-28 2014-11-21 Tokyo Electron Ltd Film formation method and apparatus for forming silicon oxide film
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
WO2008100963A1 (en) 2007-02-12 2008-08-21 Lotus Applied Technology, Llc Fabrication of composite materials using atomic layer deposition
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (en) 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Manufacturing method of semiconductor device, manufacturing method of display device, semiconductor device, display device, and electronic device
JP5151260B2 (en) 2007-06-11 2013-02-27 東京エレクトロン株式会社 Film forming method and film forming apparatus
US8017182B2 (en) * 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090057665A (en) * 2007-12-03 2009-06-08 주식회사 아이피에스 Method for depositing thin film containing metal
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
JP4935684B2 (en) 2008-01-12 2012-05-23 東京エレクトロン株式会社 Film forming method and film forming apparatus
JP4935687B2 (en) 2008-01-19 2012-05-23 東京エレクトロン株式会社 Film forming method and film forming apparatus
JP5297048B2 (en) 2008-01-28 2013-09-25 三菱重工業株式会社 Plasma processing method and plasma processing apparatus
JP4959733B2 (en) 2008-02-01 2012-06-27 東京エレクトロン株式会社 Thin film forming method, thin film forming apparatus, and program
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5405031B2 (en) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 Solution for immersion used in the production of siliceous film and method for producing siliceous film using the same
JP2009260151A (en) 2008-04-18 2009-11-05 Tokyo Electron Ltd Method of forming metal doped layer, film forming apparatus, and storage medium
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (en) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 Forming method of amorphous silicone thin film
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
JP5190307B2 (en) 2008-06-29 2013-04-24 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
US8373254B2 (en) * 2008-07-29 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for reducing integrated circuit corner peeling
JP5233562B2 (en) 2008-10-04 2013-07-10 東京エレクトロン株式会社 Film forming method and film forming apparatus
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
KR20110084275A (en) 2008-10-27 2011-07-21 어플라이드 머티어리얼스, 인코포레이티드 Vapor deposition method for ternary compounds
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP5408483B2 (en) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
JP2011023718A (en) 2009-07-15 2011-02-03 Asm Japan Kk METHOD FOR FORMING STRESS-TUNED DIELECTRIC FILM HAVING Si-N BOND BY PEALD
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
KR101758944B1 (en) 2009-12-09 2017-07-18 노벨러스 시스템즈, 인코포레이티드 Novel gap fill integration
JP2013515376A (en) 2009-12-22 2013-05-02 アプライド マテリアルズ インコーポレイテッド PECVD (plasma chemical vapor deposition) multi-step process using continuous plasma
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP5514129B2 (en) 2010-02-15 2014-06-04 東京エレクトロン株式会社 Film forming method, film forming apparatus, and method of using film forming apparatus
JP5742185B2 (en) 2010-03-19 2015-07-01 東京エレクトロン株式会社 Film forming apparatus, film forming method, rotation speed optimization method, and storage medium
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
KR101710658B1 (en) 2010-06-18 2017-02-27 삼성전자 주식회사 Three dimensional stacked structure semiconductor device having through silicon via and method for signaling thereof
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (en) 2010-08-02 2012-05-25 주식회사 유진테크 Method of cyclic deposition thin film
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US20120108079A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
TW201319299A (en) 2011-09-13 2013-05-16 Applied Materials Inc Activated silicon precursors for low temperature plasma enhanced deposition
WO2013043330A1 (en) 2011-09-23 2013-03-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8956704B2 (en) 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
KR102207992B1 (en) 2012-10-23 2021-01-26 램 리써치 코포레이션 Sub-saturated atomic layer deposition and conformal film deposition
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US20060286776A1 (en) * 2005-06-21 2006-12-21 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20090075490A1 (en) * 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films

Cited By (590)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US9147589B2 (en) 2009-11-12 2015-09-29 Novellus Systems, Inc. Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia
US8528224B2 (en) * 2009-11-12 2013-09-10 Novellus Systems, Inc. Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia
US20120036732A1 (en) * 2009-11-12 2012-02-16 Varadarajan Bhadri N Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using uv curing in ammonia
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US10043655B2 (en) 2010-04-15 2018-08-07 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9570290B2 (en) 2010-04-15 2017-02-14 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9793110B2 (en) 2010-04-15 2017-10-17 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9355886B2 (en) 2010-04-15 2016-05-31 Novellus Systems, Inc. Conformal film deposition for gapfill
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US10361076B2 (en) 2010-04-15 2019-07-23 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US10559468B2 (en) 2010-04-15 2020-02-11 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US10043657B2 (en) 2010-04-15 2018-08-07 Lam Research Corporation Plasma assisted atomic layer deposition metal oxide for patterning applications
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9570274B2 (en) 2010-04-15 2017-02-14 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8999859B2 (en) 2010-04-15 2015-04-07 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US11133180B2 (en) 2010-04-15 2021-09-28 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9230800B2 (en) 2010-04-15 2016-01-05 Novellus Systems, Inc. Plasma activated conformal film deposition
US9673041B2 (en) 2010-04-15 2017-06-06 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for patterning applications
US11011379B2 (en) 2010-04-15 2021-05-18 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8647993B2 (en) * 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10510530B2 (en) 2011-11-04 2019-12-17 Asm International N.V. Methods for forming doped silicon oxide thin films
US9368352B2 (en) 2011-11-04 2016-06-14 Asm International N.V. Methods for forming doped silicon oxide thin films
US9564314B2 (en) 2011-11-04 2017-02-07 Asm International N.V. Methods for forming doped silicon oxide thin films
US9875893B2 (en) 2011-11-04 2018-01-23 Asm International N.V. Methods for forming doped silicon oxide thin films
US10784105B2 (en) 2011-11-04 2020-09-22 Asm International N.V. Methods for forming doped silicon oxide thin films
US10147600B2 (en) 2011-11-04 2018-12-04 Asm International N.V. Methods for forming doped silicon oxide thin films
US11302527B2 (en) 2011-11-04 2022-04-12 Asm International N.V. Methods for forming doped silicon oxide thin films
US9340874B2 (en) 2011-11-23 2016-05-17 Asm Ip Holding B.V. Chamber sealing member
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
JP2018174327A (en) * 2012-01-20 2018-11-08 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated METHOD FOR DEPOSITING CHLORINE-FREE CONFORMAL SiN FILM
US9670579B2 (en) 2012-01-20 2017-06-06 Novellus Systems, Inc. Method for depositing a chlorine-free conformal SiN film
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9070555B2 (en) 2012-01-20 2015-06-30 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP2013153164A (en) * 2012-01-20 2013-08-08 Novellus Systems Incorporated METHOD FOR DEPOSITING A CHLORINE-FREE CONFORMAL SiN FILM
CN103225071A (en) * 2012-01-20 2013-07-31 诺发系统公司 Method for depositing a chlorine-free conformal SiN film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9194045B2 (en) 2012-04-03 2015-11-24 Novellus Systems, Inc. Continuous plasma and RF bias to regulate damage in a substrate processing system
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US9177784B2 (en) 2012-05-07 2015-11-03 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
KR20150021584A (en) * 2012-06-22 2015-03-02 도쿄엘렉트론가부시키가이샤 Sidewall protection of low-k material during etching and ashing
WO2013192323A1 (en) * 2012-06-22 2013-12-27 Tokyo Electron Limited Sidewall protection of low-k material during etching and ashing
US20130344699A1 (en) * 2012-06-22 2013-12-26 Tokyo Electron Limited Sidewall protection of low-k material during etching and ashing
US8859430B2 (en) * 2012-06-22 2014-10-14 Tokyo Electron Limited Sidewall protection of low-K material during etching and ashing
KR101683405B1 (en) * 2012-06-22 2016-12-06 도쿄엘렉트론가부시키가이샤 Sidewall protection of low-k material during etching and ashing
US9299595B2 (en) 2012-06-27 2016-03-29 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9021985B2 (en) * 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
KR20140051807A (en) * 2012-10-23 2014-05-02 램 리써치 코포레이션 Sub-saturated atomic layer deposition and conformal film deposition
KR102207992B1 (en) 2012-10-23 2021-01-26 램 리써치 코포레이션 Sub-saturated atomic layer deposition and conformal film deposition
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US10008428B2 (en) 2012-11-08 2018-06-26 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9786570B2 (en) 2012-11-08 2017-10-10 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US10741458B2 (en) 2012-11-08 2020-08-11 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US20150329965A1 (en) * 2012-12-21 2015-11-19 Prasad Narhar Gadgil Methods of low temperature deposition of ceramic thin films
CN105143503A (en) * 2012-12-21 2015-12-09 普拉萨德·纳哈·加吉尔 Methods of low temperature deposition of ceramic thin films
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9228259B2 (en) 2013-02-01 2016-01-05 Asm Ip Holding B.V. Method for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9429247B2 (en) * 2013-03-13 2016-08-30 Applied Materials, Inc. Acoustically-monitored semiconductor substrate processing systems and methods
US20140260624A1 (en) * 2013-03-13 2014-09-18 Applied Materials, Inc. Acoustically-monitored semiconductor substrate processing systems and methods
US11069522B2 (en) 2013-03-14 2021-07-20 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US10424477B2 (en) * 2013-03-14 2019-09-24 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
JP7123115B2 (en) 2013-03-14 2022-08-22 エーエスエム アイピー ホールディング ビー.ブイ. Si precursor for deposition of SiN at low temperature
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US10395917B2 (en) 2013-03-14 2019-08-27 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US11289327B2 (en) 2013-03-14 2022-03-29 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
JP2021061414A (en) * 2013-03-14 2021-04-15 エーエスエム アイピー ホールディング ビー.ブイ. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
US20180151344A1 (en) * 2013-03-14 2018-05-31 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
US9905416B2 (en) 2013-03-14 2018-02-27 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9257293B2 (en) * 2013-03-14 2016-02-09 Applied Materials, Inc. Methods of forming silicon nitride spacers
US11587783B2 (en) 2013-03-14 2023-02-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140273292A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Methods of forming silicon nitride spacers
US10508340B2 (en) * 2013-03-15 2019-12-17 Applied Materials, Inc. Atmospheric lid with rigid plate for carousel processing chambers
US9984868B2 (en) * 2013-03-15 2018-05-29 Applied Materials, Inc. PEALD of films comprising silicon nitride
US20140273529A1 (en) * 2013-03-15 2014-09-18 Victor Nguyen PEALD of Films Comprising Silicon Nitride
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9922817B2 (en) 2013-10-16 2018-03-20 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US10410856B2 (en) 2013-10-16 2019-09-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US10790137B2 (en) 2013-10-16 2020-09-29 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9362109B2 (en) 2013-10-16 2016-06-07 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9145607B2 (en) 2013-10-22 2015-09-29 Lam Research Corporation Tandem source activation for cyclical deposition of films
US11434567B2 (en) 2013-10-22 2022-09-06 Lam Research Corporation Substrate processing system with tandem source activation for CVD
US10577688B2 (en) 2013-10-22 2020-03-03 Lam Research Corporation Tandem source activation for CVD of films
US9738972B2 (en) 2013-10-22 2017-08-22 Lam Research Corporation Tandem source activation for CVD of films
US20150125628A1 (en) * 2013-11-06 2015-05-07 Asm Ip Holding B.V. Method of depositing thin film
US9905423B2 (en) 2013-11-07 2018-02-27 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US10192742B2 (en) 2013-11-07 2019-01-29 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) * 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150147483A1 (en) * 2013-11-26 2015-05-28 Asm Ip Holding B.V. Method for Forming Conformal Nitrided, Oxidized, or Carbonized Dielectric Film by Atomic Layer Deposition
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US10199211B2 (en) 2013-12-11 2019-02-05 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US10515794B2 (en) 2013-12-11 2019-12-24 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US9837263B2 (en) 2013-12-11 2017-12-05 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US10818489B2 (en) 2013-12-11 2020-10-27 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based material
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
TWI716334B (en) * 2014-09-17 2021-01-11 荷蘭商Asm Ip 控股公司 METHOD OF FORMING SiN THIN FILM ON SURFACE OF SUBSTRATE IN REACTION SPACE
US11367613B2 (en) 2014-09-17 2022-06-21 Asm Ip Holding B.V. Deposition of SiN
US10741386B2 (en) * 2014-09-17 2020-08-11 Asm Ip Holding B.V. Deposition of SiN
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10262854B2 (en) 2014-09-17 2019-04-16 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9875891B2 (en) 2014-11-24 2018-01-23 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
WO2016153929A1 (en) 2015-03-23 2016-09-29 Gelest Technologies, Inc. N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom
US11001599B2 (en) 2015-03-23 2021-05-11 Gelest Technologies, Inc. N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom
US11702434B2 (en) 2015-03-23 2023-07-18 Gelest, Inc. N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10479806B2 (en) 2015-06-16 2019-11-19 Gelest Technologies, Inc. Hydridosilapyrroles, hydridosilaazapyrroles, thiasilacyclopentanes, method for preparation thereof, and reaction products therefrom
US10081642B2 (en) 2015-06-16 2018-09-25 Gelest Technologies, Inc. Hydridosilapyrroles, hydridosilaazapyrroles, thiasilacyclopentanes, method for preparation thereof, and reaction products therefrom
WO2016205073A1 (en) 2015-06-16 2016-12-22 Gelest Technologies, Inc. Hydridosilapyrroles, hydridosilaazapyrroles, thiasilacyclopentanes, method for preparation thereof, and reaction products therefrom
US9815858B2 (en) 2015-06-16 2017-11-14 Gelest Technologies, Inc. Hydridosilapyrroles, hydridosilaazapyrroles, thiasilacyclopentanes, method for preparation thereof, and reaction products therefrom
US11434252B2 (en) 2015-06-16 2022-09-06 Gelest, Inc. Hydridosilapyrroles, hydridosilaazapyrroles, method for preparation thereof, and reaction products therefrom
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US11479856B2 (en) 2015-07-09 2022-10-25 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US11784043B2 (en) 2015-08-24 2023-10-10 ASM IP Holding, B.V. Formation of SiN thin films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US11133181B2 (en) 2015-08-24 2021-09-28 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10141505B2 (en) 2015-09-24 2018-11-27 Lam Research Corporation Bromine containing silicon precursors for encapsulation layers
US9865815B2 (en) 2015-09-24 2018-01-09 Lam Research Coporation Bromine containing silicon precursors for encapsulation layers
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US20170125536A1 (en) * 2015-10-28 2017-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modifying capping layer in semiconductor structure
US9837504B2 (en) * 2015-10-28 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modifying capping layer in semiconductor structure
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US10825680B2 (en) 2015-12-18 2020-11-03 Lam Research Corporation Directional deposition on patterned structures
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10957514B2 (en) 2016-06-30 2021-03-23 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10373806B2 (en) 2016-06-30 2019-08-06 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10679848B2 (en) 2016-07-01 2020-06-09 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US10658172B2 (en) 2017-09-13 2020-05-19 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11087961B2 (en) 2018-03-02 2021-08-10 Lam Research Corporation Quartz component with protective coating
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
CN108277476A (en) * 2018-03-14 2018-07-13 深圳市志橙半导体材料有限公司 A kind of depositing SiC processing equipment using thermal cvd
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11450513B2 (en) 2018-03-30 2022-09-20 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
US10580645B2 (en) * 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
CN110872699A (en) * 2018-08-29 2020-03-10 Asm Ip私人控股有限公司 Film forming method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
WO2020068770A1 (en) * 2018-09-24 2020-04-02 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
US10896821B2 (en) 2018-09-28 2021-01-19 Lam Research Corporation Asymmetric wafer bow compensation by physical vapor deposition
US10903070B2 (en) 2018-09-28 2021-01-26 Lam Research Corporation Asymmetric wafer bow compensation by chemical vapor deposition
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
TWI767661B (en) * 2018-10-03 2022-06-11 美商慧盛材料美國責任有限公司 Methods for making silicon and nitrogen containing films
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728487B (en) * 2018-10-03 2021-05-21 美商慧盛材料美國責任有限公司 Methods for making silicon and nitrogen containing films
WO2020072625A1 (en) * 2018-10-03 2020-04-09 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11482413B2 (en) 2019-10-08 2022-10-25 Eugenus, Inc. Conformal and smooth titanium nitride layers and methods of forming the same
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11923190B2 (en) 2020-08-07 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11923181B2 (en) 2020-11-23 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2020-11-24 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
WO2022125820A1 (en) * 2020-12-10 2022-06-16 Eugenus, Inc. Conformal and smooth titanium nitride layers and methods of forming the same
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11551926B2 (en) 2021-01-22 2023-01-10 Micron Technology, Inc. Methods of forming a microelectronic device, and related systems and additional methods
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Also Published As

Publication number Publication date
SG184566A1 (en) 2012-11-29
TW201144475A (en) 2011-12-16
TW201207148A (en) 2012-02-16
WO2011130326A2 (en) 2011-10-20
TWI567225B (en) 2017-01-21
US9230800B2 (en) 2016-01-05
WO2011130326A3 (en) 2011-12-15
KR101826490B1 (en) 2018-03-22
US20110256726A1 (en) 2011-10-20
US20140209562A1 (en) 2014-07-31
KR20130057409A (en) 2013-05-31
WO2011130397A2 (en) 2011-10-20
WO2011130397A3 (en) 2012-04-19
US8728956B2 (en) 2014-05-20
SG184567A1 (en) 2012-11-29
SG10201502936RA (en) 2015-06-29
KR101762978B1 (en) 2017-07-28
KR20130062256A (en) 2013-06-12
KR20170089040A (en) 2017-08-02

Similar Documents

Publication Publication Date Title
US9670579B2 (en) Method for depositing a chlorine-free conformal SiN film
US20110256734A1 (en) Silicon nitride films and methods
US8647993B2 (en) Methods for UV-assisted conformal film deposition
KR102328850B1 (en) Sub-saturated atomic layer deposition and conformal film deposition
US10763108B2 (en) Geometrically selective deposition of a dielectric film
US10804099B2 (en) Selective inhibition in atomic layer deposition of silicon-containing films
JP6710032B2 (en) Method and apparatus for uniformly reducing the in-surface wet etching rate of a silicon nitride film formed by ALD
US9502238B2 (en) Deposition of conformal films by atomic layer deposition and atomic layer etch
US20140030444A1 (en) High pressure, high power plasma activated conformal film deposition
US20160329206A1 (en) Methods of modulating residual stress in thin films
US11107683B2 (en) Selective growth of metal-containing hardmask thin films
US20230175117A1 (en) Seam mitigation and integrated liner for gap fill
US20230307290A1 (en) Reducing intralevel capacitance in semiconductor devices
WO2023114898A1 (en) Method to smooth sidewall roughness and maintain reentrant structures during dielectric gap fill
TW202346626A (en) High pressure inert oxidation and in-situ annealing process to improve film seam quality and wer
WO2023159012A1 (en) High pressure inert oxidation and in-situ annealing process to improve film seam quality and wer
WO2023205284A1 (en) Lateral gap fill
WO2023164717A1 (en) Surface inhibition atomic layer deposition

Legal Events

Date Code Title Description
AS Assignment

Owner name: NOVELLUS SYSTEMS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HAUSMANN, DENNIS M.;HENRI, JON;SRIRAM, MANDYAM;AND OTHERS;SIGNING DATES FROM 20110415 TO 20110418;REEL/FRAME:026609/0472

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION