JPH07109576A - Formation of film by plasma cvd - Google Patents

Formation of film by plasma cvd

Info

Publication number
JPH07109576A
JPH07109576A JP5277897A JP27789793A JPH07109576A JP H07109576 A JPH07109576 A JP H07109576A JP 5277897 A JP5277897 A JP 5277897A JP 27789793 A JP27789793 A JP 27789793A JP H07109576 A JPH07109576 A JP H07109576A
Authority
JP
Japan
Prior art keywords
gas
film
substrate
reaction chamber
undecomposed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP5277897A
Other languages
Japanese (ja)
Inventor
Shinichi Kobayashi
伸一 小林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shinko Seiki Co Ltd
Original Assignee
Shinko Seiki Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shinko Seiki Co Ltd filed Critical Shinko Seiki Co Ltd
Priority to JP5277897A priority Critical patent/JPH07109576A/en
Publication of JPH07109576A publication Critical patent/JPH07109576A/en
Pending legal-status Critical Current

Links

Landscapes

  • Chemical Vapour Deposition (AREA)

Abstract

PURPOSE:To form a thin film having a low content of undecomposed element on the surface of a substrate by plasma CVD. CONSTITUTION:A discharge gas and a raw material decomposing gas are continuously introduced into an evacuated reaction chamber 1, a film forming gas is intermittently introduced by the intermittent opening and closing of piezoelectric valves A and B to be opened and closed by a controller 33, a power is supplied between a substrate 2 and an electrode 3, and the raw material is decomposed. The process of depositing the raw material decomposition product to the surface of the substrate 2 and the process of removing the undecomposed element in the deposit are separately set by the intermittent opening and closing of the piezoelectric valves A and B.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】この発明は基板表面にプラズマC
VD法にて未分解元素の含有率の低い薄膜を形成する方
法に関するものである。
This invention relates to plasma C on the surface of a substrate.
The present invention relates to a method for forming a thin film having a low content of undecomposed elements by the VD method.

【0002】[0002]

【従来の技術】プラズマCVD法は、化学蒸着法の一種
として被処理物表面への皮膜形成に広く採用されてい
る。このプラズマCVD法にて基板表面に薄膜を形成さ
せる場合、これまでは原料ガス分解物を基板表面に堆積
させると同時に堆積物中の未分解元素を除去するという
方法で行っている。これは、まず反応室内に導入された
複数の原料ガスを、供給された電力により夫々を構成す
る原子に分解させ、プラズマを発生させ、これら原料ガ
ス分解物が基板に堆積すると同時に熱による表面反応、
ガスやプラズマに曝し、堆積物中の未分解元素を除去す
るというものである。
2. Description of the Related Art The plasma CVD method is widely used as a type of chemical vapor deposition method for forming a film on the surface of an object to be processed. In the case of forming a thin film on the surface of a substrate by this plasma CVD method, a method of depositing a decomposed material of a source gas on the surface of the substrate and removing undecomposed elements in the deposited material has hitherto been performed. This is because first of all, a plurality of source gases introduced into the reaction chamber are decomposed by the supplied electric power into the atoms that compose each of them, plasma is generated, and at the same time when these source gas decomposed products are deposited on the substrate, surface reaction by heat is caused. ,
It is to remove undecomposed elements in the deposit by exposing it to gas or plasma.

【0003】[0003]

【発明が解決しようとする課題】しかしながら、上記の
ような方法の場合、原料ガスを反応室内に連続して導入
しており、基板表面への原料ガス分解物の堆積が連続的
に行われることにより、先に堆積した堆積物中に含まれ
ている未分解元素が完全に除去されないうちに次々とそ
の上に新たな堆積物が積層されていくので、先に堆積し
た堆積物中の未分解元素が含まれたまま成膜が行われる
こととなり、結果的に未分解元素の含有率の高い皮膜が
できやすいという問題があった。
However, in the case of the above method, the source gas is continuously introduced into the reaction chamber, and the decomposition products of the source gas are continuously deposited on the substrate surface. As a result, undecomposed elements contained in the previously deposited deposit are not completely removed, and new deposits are successively stacked on top of it, so undecomposed elements in the previously deposited deposit are There is a problem that the film formation is performed with the element contained, and as a result, a film having a high content of undecomposed elements is likely to be formed.

【0004】この発明は、上記に鑑みて、未分解元素が
除去できて、良質な薄膜を形成することができるプラズ
マCVDによる成膜方法を提供することを目的とするも
のである。
In view of the above, it is an object of the present invention to provide a film formation method by plasma CVD capable of removing undecomposed elements and forming a high quality thin film.

【0005】[0005]

【課題を解決するための手段】即ち、この発明は、排気
された反応室に複数の成膜用原料ガス、放電ガスおよび
原料分解用ガスを導入し、上記反応室に間隔を隔てて設
けた基板と電極との間に電力を供給して、上記原料ガス
を分解させ、上記基板表面に皮膜を形成させるプラズマ
CVDによる成膜方法において、上記複数の成膜用原料
ガスを間欠的に導入して、基板表面への上記原料ガス分
解物の堆積過程と堆積物中の未分解元素の除去過程とを
別個に設定するとともに、この両過程を周期5秒以下で
繰り返し行うことを特徴とするプラズマCVDによる成
膜方法である。
That is, according to the present invention, a plurality of film-forming raw material gases, discharge gas and raw material decomposing gas are introduced into an evacuated reaction chamber and provided in the reaction chamber at intervals. In the film formation method by plasma CVD in which electric power is supplied between the substrate and the electrode to decompose the raw material gas to form a film on the surface of the substrate, the plural raw material gases for film formation are intermittently introduced. And a process of depositing the above-mentioned decomposed material of the source gas on the surface of the substrate and a process of removing undecomposed elements in the deposit are separately set, and both of these processes are repeated at a cycle of 5 seconds or less. This is a film forming method by CVD.

【0006】[0006]

【作用】プラズマCVDによる成膜方法において、成膜
用原料ガスとしては、化合物を用いる場合が多いが、こ
の化合物の分解を反応室内で完全に行うことは非常に難
しく、このため基板表面に成膜した薄膜中には未分解元
素が残留しやすい。この発明では、複数の成膜用原料ガ
スを間欠的に供給し、これによって基板表面への原料ガ
ス分解物の堆積過程と堆積物中の未分解元素の除去過程
とを成膜工程の1周期内で個々に分けて設定するように
したことで、未分解元素量の少ない薄膜が得られるので
ある。
In the film-forming method by plasma CVD, a compound is often used as a film-forming raw material gas, but it is very difficult to completely decompose this compound in the reaction chamber. Undecomposed elements tend to remain in the formed thin film. According to the present invention, a plurality of source gases for film formation are intermittently supplied, whereby the deposition process of the source gas decomposition product on the substrate surface and the removal process of undecomposed elements in the deposition are performed in one cycle of the film formation process. By separately setting the values in the above, a thin film with a small amount of undecomposed elements can be obtained.

【0007】次に、図1に示すこの発明で使用するプラ
ズマCVD装置についてその概略を説明する。図におい
て、1は反応室であり、その内部には下方に基板(被処
理物)2が配置され、この基板2に対向するように電極
3が配置されている。この電極3はマッチングボックス
(整合器)5を経て電極4に接続されている。基板2は
その下方に基板加熱用ヒータ6を具えている。また、上
記反応室1は排気系主弁7、ルーツポンプ9を介して油
回転真空ポンプ8に接続されており、この油回転真空ポ
ンプ8の作動によって反応室1内が排気されるようにな
っている。なお、反応室1は、その外周に反応室加熱用
ヒータ10が取り付けられていて加熱できるようになっ
ている。
The plasma CVD apparatus used in the present invention shown in FIG. 1 will be outlined below. In the figure, reference numeral 1 is a reaction chamber, a substrate (object to be processed) 2 is disposed below the reaction chamber, and an electrode 3 is disposed so as to face the substrate 2. The electrode 3 is connected to the electrode 4 via a matching box (matching device) 5. The substrate 2 has a heater 6 for heating the substrate below the substrate 2. The reaction chamber 1 is connected to an oil rotary vacuum pump 8 via an exhaust system main valve 7 and a roots pump 9, and the reaction chamber 1 is exhausted by the operation of the oil rotary vacuum pump 8. ing. A reaction chamber heater 10 is attached to the outer periphery of the reaction chamber 1 so that the reaction chamber 1 can be heated.

【0008】反応室1には、マスフローコントローラ
(以下、これをMFCという)21、22によって流量
を制御されたH2 ガス、Ar ガスがバルブ25、26を
介して導入される。また、反応室1には成膜用原料ガス
として、例えばSiH4 ガスがMFC23で流量制御さ
れ、バルブ開閉制御機33で断続的に開閉されるように
なっているピエゾバルブAを通って導入される。
H 2 gas and Ar gas, the flow rates of which are controlled by mass flow controllers (hereinafter referred to as MFCs) 21 and 22, are introduced into the reaction chamber 1 through valves 25 and 26. Further, for example, SiH 4 gas as a film-forming source gas is introduced into the reaction chamber 1 through a piezo valve A whose flow rate is controlled by the MFC 23 and which is intermittently opened and closed by a valve opening / closing controller 33. .

【0009】さらに、成膜用原料ガスとして、例えばT
iCl4 のような常温で液体のものを用いる場合は、容
器31内にTiCl4 を入れ、加熱して気化させてお
き、この気化させた反応ガスを反応室1へ導入する反応
ガス導入管34にバルブ29、30を操作して導き、こ
の反応ガス導入管34にキャリアガスとして用いるMF
C24で流量制御されたH2 ガスをバルブ27、29、
30を開閉して供給し、このキャリアH2 ガスとともに
反応ガスをバルブ開閉制御機33で断続的に開閉される
ピエゾバルブBを経て反応室1内に導入される。この反
応ガスを反応室1内に導入するに当たっては、該ガスが
その供給途中の反応ガス導入管34内で凝縮するのを防
止するために反応ガス導入管34はその周囲に取り付け
られた反応ガス導入管加熱用ヒータ35により加熱され
ることが好ましい。
Further, as a film forming source gas, for example, T
When a liquid such as iCl 4 that is liquid at room temperature is used, TiCl 4 is placed in the container 31, heated and vaporized, and the vaporized reaction gas is introduced into the reaction chamber 1 through a reaction gas introduction pipe 34. MF used as a carrier gas for the reaction gas introducing pipe 34 by operating the valves 29, 30 to
The H 2 gas whose flow rate is controlled by C24 is controlled by valves 27, 29,
30 is supplied by opening and closing, and the reaction gas together with the carrier H 2 gas is introduced into the reaction chamber 1 through the piezo valve B which is intermittently opened and closed by the valve opening / closing controller 33. In introducing this reaction gas into the reaction chamber 1, the reaction gas introduction pipe 34 is attached to the periphery of the reaction gas introduction pipe 34 in order to prevent the gas from condensing in the reaction gas introduction pipe 34 during the supply thereof. It is preferably heated by the heater 35 for heating the introduction tube.

【0010】[0010]

【実施例】次に、上記で説明した図1の装置を用いて、
この発明の実施例を詳細に説明する。 実施例1(珪化チタン膜の作製) まず、反応室1に接続している排気系主弁7を開き、油
回転真空ポンプ8、ルーツポンプ9を作動して反応室1
内を真空排気したのち、反応室加熱用ヒータ10にて反
応室1内を加熱した。一方、容器31内に収容されてい
る常温で液体のTiCl4 32を加熱して気化させる。
同時に気化させたTiCl4 ガスを反応室1内に送る反
応ガス導入管34もその周囲に取り付けた加熱用ヒータ
35により加熱しておく。次に、反応室1内の基板2を
基板加熱用ヒータ6にて400℃に加熱した。
EXAMPLE Next, using the apparatus of FIG. 1 described above,
Embodiments of the present invention will be described in detail. Example 1 (Production of titanium silicide film) First, the exhaust system main valve 7 connected to the reaction chamber 1 was opened, and the oil rotary vacuum pump 8 and the roots pump 9 were operated to activate the reaction chamber 1.
After evacuating the inside, the reaction chamber 1 was heated by the heater 10 for heating the reaction chamber. On the other hand, liquid TiCl 4 32 contained in the container 31 at normal temperature is heated and vaporized.
At the same time, the reaction gas introduction pipe 34 for sending the vaporized TiCl 4 gas into the reaction chamber 1 is also heated by the heating heater 35 attached to the periphery thereof. Next, the substrate 2 in the reaction chamber 1 was heated to 400 ° C. by the substrate heating heater 6.

【0011】次いで、加熱され、15〜25Pa圧に調
整された反応室1内に夫々MFC21、22、23、2
4にて流量制御されたH2 ガス、Arガス、SiH4
スと同じく流量制御されたキャリアH2 ガスとともに気
化したTiCl4 ガスを供給する。この時、H2 ガス、
Arガスはバルブ25、26を開いて連続に供給する。
そして、成膜用原料ガスであるSiH4 ガスと気化した
TiCl4 ガスの供給は、バルブ開閉制御機33によっ
て時間的に変化させ、図2に示すように1周期500m
sの間でTiCl4 ガスはバルブ27、29、30を開
としてキャリアH2 ガスとともに10msピエゾパルブ
Bを開いて、またSiH4 ガスは250msピエゾパル
ブAを開いて供給する。
Next, the MFCs 21, 22, 23 and 2 are heated in the reaction chamber 1 adjusted to a pressure of 15 to 25 Pa, respectively.
The vaporized TiCl 4 gas is supplied together with the H 2 gas, the Ar gas, and the SiH 4 gas whose flow rates are controlled at 4, together with the carrier H 2 gas whose flow rate is controlled. At this time, H 2 gas,
Ar gas is continuously supplied by opening the valves 25 and 26.
Then, the supply of the film-forming raw material gas SiH 4 gas and the vaporized TiCl 4 gas is temporally changed by the valve opening / closing controller 33, and as shown in FIG.
During s, the TiCl 4 gas is supplied by opening the valves 27, 29 and 30 to open the piezo valve B for 10 ms together with the carrier H 2 gas, and the SiH 4 gas by opening the piezo valve A for 250 ms.

【0012】そして、周波数13.56MHz、1KW
の容量をもつRF電源4から600Wの電力を反応室1
内に投入する。ここで放電が起こり、電極3と基板2と
の間にプラズマが発生する。このプラズマによって、図
2のようにピエゾパルブAが開かれている250msの
間は供給されたTiCl4 ガス、SiH4 ガスが分解さ
れ、基板2表面にTi、Siが堆積する。その後、ピエ
ゾパルブAが250ms閉じられると、その間は堆積が
行われず、表面反応によって堆積物中の未分解元素Cl
を揮発性分子HClとして除去する。
The frequency of 13.56 MHz, 1 kW
Power of 600W from the RF power source 4 with the capacity of
Throw in. Discharge occurs here and plasma is generated between the electrode 3 and the substrate 2. This plasma decomposes the supplied TiCl 4 gas and SiH 4 gas for 250 ms while the piezo valve A is opened as shown in FIG. 2, and deposits Ti and Si on the surface of the substrate 2. After that, when the piezo pulp A was closed for 250 ms, no deposition was performed during that time, and the undecomposed element Cl in the deposit was caused by the surface reaction.
Is removed as the volatile molecular HCl.

【0013】このような1周期500msによる操作を
1サイクルとして何度も繰り返すことにより、基板2表
面へのTi、Siの堆積と、堆積物中の未分解元素Cl
の除去とが図2のように別々の過程で行われることにな
り、基板2表面に未分解元素Clの含有量の少ない珪化
チタン薄膜が得られる。
By repeating such an operation for one cycle of 500 ms as one cycle, Ti and Si are deposited on the surface of the substrate 2 and the undecomposed element Cl in the deposit.
2 is performed in separate steps as shown in FIG. 2, and a titanium silicide thin film having a small content of undecomposed element Cl is obtained on the surface of the substrate 2.

【0014】かくして得られた珪化チタン薄膜と、原料
ガスを連続的に供給して基板表面への成膜と未分解元素
の除去を同時に行う従来のプラズマCVD法(以下、従
来法という)にて得た珪化チタン薄膜とについて、X線
光電子分光分析装置(日本電子社製、JESCA−4)
にて膜の組成を分析したところ、この実施例で得た珪化
チタン薄膜中のCl濃度は5%以下であったのに対し、
従来法によるものは10%以上のClが含まれているこ
とが認められた。そして、これらの珪化チタン薄膜を大
気中に1時間放置したところ、本実施例の珪化チタン薄
膜は何ら異常はなかったが、従来法のものは腐食が起こ
っていた。
By the conventional plasma CVD method (hereinafter referred to as a conventional method) in which the titanium silicide thin film thus obtained and the raw material gas are continuously supplied to simultaneously form a film on the substrate surface and remove undecomposed elements. About the obtained titanium silicide thin film, an X-ray photoelectron spectroscopy analyzer (JESCA-4, manufactured by JEOL Ltd.)
When the composition of the film was analyzed by, the Cl concentration in the titanium silicide thin film obtained in this example was 5% or less.
It was confirmed that the conventional method contained 10% or more of Cl. When these titanium silicide thin films were left to stand in the atmosphere for 1 hour, the titanium silicide thin films of this example had no abnormality, but the conventional ones had corrosion.

【0015】実施例2(窒化珪素膜の作製) 図1の装置を用い、Arガスに代えてN2 ガスを用い、
成膜用原料ガスをSiH4 ガスとN2 ガスとした。実施
例1と同様に反応室1内を排気、加熱した後、基板加熱
用ヒータ6にて基板2を225℃に加熱した。次いで、
加熱され、15〜25Pa圧に調整された反応室1内に
夫々MFC21、22、23にて流量制御されたH2
ス、N2 ガス、SiH4 ガスを供給する。この時、H2
ガスはバルブ25を開いて連続に供給する。また、成膜
用原料ガスの1つであるN2 ガスも放電用ガスでもある
ので、連続的に供給した。そして、もう一方の成膜用原
料ガスであるSiH4 ガスはバルブ開閉制御機33によ
って時間的に変化させ、図3に示すように1周期250
msの間で10msピエゾパルブAを開いて供給する。
Example 2 (Production of Silicon Nitride Film) Using the apparatus of FIG. 1, N 2 gas was used in place of Ar gas,
The film forming raw material gases were SiH 4 gas and N 2 gas. After exhausting and heating the inside of the reaction chamber 1 in the same manner as in Example 1, the substrate 2 was heated to 225 ° C. by the substrate heating heater 6. Then
The H 2 gas, the N 2 gas, and the SiH 4 gas whose flow rates are controlled by the MFCs 21, 22, and 23 are supplied into the reaction chamber 1 which is heated and adjusted to a pressure of 15 to 25 Pa. At this time, H 2
The gas is supplied continuously by opening the valve 25. Further, N 2 gas, which is one of the film-forming source gases, is also a discharge gas, so it was continuously supplied. Then, the other film forming source gas, SiH 4 gas, is temporally changed by the valve opening / closing controller 33, and as shown in FIG.
Open and supply 10 ms PiezoPalve A between ms.

【0016】そして、1KWの容量をもつRF電源4か
ら600Wの電力を反応室1内に投入する。ここで放電
が起こり、電極3と基板2との間にプラズマが発生す
る。このプラズマによって、図3のようにピエゾパルブ
Aが開かれている10msから若干の間は供給されたS
iH4 ガス、N2 ガスが分解され、基板2表面にSi、
2 が堆積する。その後、ピエゾパルブAが閉じられる
と、その間は堆積が行われず、表面反応によって堆積物
中の未分解元素である水素が除去される。
Then, 600 W of electric power is supplied to the reaction chamber 1 from the RF power source 4 having a capacity of 1 KW. Discharge occurs here and plasma is generated between the electrode 3 and the substrate 2. As a result of this plasma, as shown in FIG.
iH 4 gas and N 2 gas are decomposed and Si,
N 2 is deposited. After that, when the piezo pulp A is closed, the deposition is not performed during that time, and hydrogen which is an undecomposed element in the deposit is removed by the surface reaction.

【0017】このような1周期250msによる操作を
1サイクルとして何度も繰り返すことにより、基板2表
面への原料ガス分解物の堆積と、堆積物中の未分解元素
である水素の除去とが別々の過程で行われることにな
り、基板2表面に水素の含有量の少ない窒化珪素の薄膜
が得られる。
By repeating this operation for one cycle of 250 ms as one cycle many times, the deposition of the source gas decomposition product on the surface of the substrate 2 and the removal of hydrogen, which is an undecomposed element in the deposition, are separated. As a result of this process, a silicon nitride thin film having a low hydrogen content can be obtained on the surface of the substrate 2.

【0018】かくして得られた窒化珪素薄膜と、従来法
にて得た窒化珪素薄膜とについて、フーリエ変換赤外分
光装置(日本電子社製、JIR−6500)にて膜中の
水素原子密度を測定したところ、下記表1の結果を得
た。また、本実施例および従来法において、夫々基板温
度を変えて作製した膜についても測定を行った。その結
果、本実施例の膜は従来法にて得た膜より水素原子密度
がかなり低いことがわかった。
With respect to the silicon nitride thin film thus obtained and the silicon nitride thin film obtained by the conventional method, the hydrogen atom density in the film was measured by a Fourier transform infrared spectrometer (JIR-6500 manufactured by JEOL Ltd.). Then, the results shown in Table 1 below were obtained. In addition, in the present example and the conventional method, measurement was also performed on films produced by changing the substrate temperature. As a result, it was found that the film of this example had a much lower hydrogen atom density than the film obtained by the conventional method.

【0019】[0019]

【表1】 [Table 1]

【0020】実施例3(窒化チタン膜の作製) 図1の装置を用い、SiH4 ガスに代えてN2 ガスを用
い、成膜用原料ガスをTiCl4 ガスとN2 ガスとし
た。実施例1と同様に反応室1内を排気、加熱した後、
基板加熱用ヒータ6にて基板2を400℃に加熱した。
一方容器31内に収容されている常温で液体のTiCl
4 32を加熱して気化させる。同時に気化させたTiC
4 ガスを反応室1内に送る反応ガス導入管34も加熱
用ヒータ35により加熱しておく。
Example 3 (Production of Titanium Nitride Film) Using the apparatus shown in FIG. 1, N 2 gas was used instead of SiH 4 gas, and TiCl 4 gas and N 2 gas were used as the film forming source gases. After exhausting and heating the inside of the reaction chamber 1 in the same manner as in Example 1,
The substrate 2 was heated to 400 ° C. by the substrate heating heater 6.
On the other hand, TiCl, which is liquid at room temperature and is contained in the container 31,
4 32 is heated to vaporize. TiC vaporized at the same time
The reaction gas introducing pipe 34 for sending the l 4 gas into the reaction chamber 1 is also heated by the heater 35 for heating.

【0021】次いで、加熱され、15〜25Pa圧に調
整された反応室1内に夫々MFC21、22、23,2
4にて流量制御されたH2 ガス、Arガス、N2 ガスお
よび気化したTiCl4 ガスを供給する。即ち、H2
スおよびArガスはバルブ25、26を開いて連続的に
供給する。そして成膜用原料ガスである気化したTiC
4 ガスとN2 ガスは、夫々のピエゾバルブB、Aの開
閉をバルブ開閉制御機33によって時間的に変化させて
断続的に供給する。図4に示すように、1周期500m
sの間でまずピエゾバルブBを10ms開き、バルブ2
7、29、30を開として導入したキャリアH2 ガスと
ともにTiCl4 ガスを反応室1内に供給する。
Then, the MFCs 21, 22, 23, 2 are respectively heated in the reaction chamber 1 adjusted to a pressure of 15 to 25 Pa.
The H 2 gas, the Ar gas, the N 2 gas, and the vaporized TiCl 4 gas whose flow rates are controlled in 4 are supplied. That is, the H 2 gas and the Ar gas are continuously supplied by opening the valves 25 and 26. And vaporized TiC which is a raw material gas for film formation
The l 4 gas and the N 2 gas are intermittently supplied by changing the opening / closing of the respective piezo valves B and A by the valve opening / closing controller 33 with time. As shown in FIG. 4, one cycle is 500 m
First, open the piezo valve B for 10ms between
TiCl 4 gas is supplied into the reaction chamber 1 together with carrier H 2 gas introduced by opening 7, 29 and 30.

【0022】そして、1KWの容量をもつRF電源4か
ら600Wの電力を反応室1内に投入する。ここで放電
が起こり、プラズマが発生する。これによって、図4の
ようにTiCl4 ガスが分解し、ClがHClとして除
去される。次いで、バルブ開閉制御機33によりピエゾ
バルブAを75ms開いて成膜用かつ分解用ガスである
2 ガスを反応室1内に供給する。この75msの間の
2 ガス供給によって反応室1内にTiCl4 ガスとN
2 ガスが存在する時、これらの原料ガスが分解して基板
2の表面にTiNの堆積が行われる。その後ピエゾバル
ブAを250ms閉じる(ピエゾバルブBは既に閉じて
いる)ことにより、堆積は行われず、表面反応によって
堆積物中の未分解元素Clが除去される。
Then, 600 W of electric power is supplied to the reaction chamber 1 from the RF power source 4 having a capacity of 1 KW. Electric discharge occurs here and plasma is generated. As a result, the TiCl 4 gas is decomposed as shown in FIG. 4, and Cl is removed as HCl. Next, the valve opening / closing controller 33 opens the piezo valve A for 75 ms to supply N 2 gas, which is a film forming and decomposition gas, into the reaction chamber 1. By supplying the N 2 gas for 75 ms, TiCl 4 gas and N 2 are fed into the reaction chamber 1.
When two gases are present, these source gases are decomposed and TiN is deposited on the surface of the substrate 2. After that, the piezo valve A is closed for 250 ms (the piezo valve B is already closed), so that the deposition is not performed and the surface reaction removes the undecomposed element Cl in the deposit.

【0023】このような1周期500msによる操作を
1サイクルとして数回繰り返すことにより、TiNの堆
積と堆積物中の未分解元素Clの除去とが別々に行わ
れ、基板2表面に未分解元素の含有量の少ないTiN膜
が得られた。
By repeating such an operation for one cycle of 500 ms several times as one cycle, the deposition of TiN and the removal of the undecomposed element Cl in the deposit are carried out separately, and the undecomposed element on the surface of the substrate 2 is removed. A TiN film with a low content was obtained.

【0024】かくして得られたTiN膜について、従来
法で得たTiN膜とともに実施例1と同じ方法で膜の組
成を分析したところ、本実施例のTiN膜中のCl濃度
は5%以下であったが、従来法によるもののCl濃度は
10%以上であった。また、これらの膜の大気中1時間
放置で従来法の膜は腐食が見られたが、本実施例の膜は
何らの異常も認められなかった。
When the composition of the thus obtained TiN film was analyzed by the same method as in Example 1 together with the TiN film obtained by the conventional method, the Cl concentration in the TiN film of this example was 5% or less. However, the Cl concentration was 10% or more by the conventional method. Further, although the films of the conventional method were found to corrode when these films were left in the atmosphere for 1 hour, no abnormality was observed in the films of this example.

【0025】実施例4 図1中のSiH4 ガスに代えてO2 ガスを、またキャリ
アH2 ガスに代えてSiH4 ガスを成膜用原料ガスとし
て用いた。実施例1と同様に反応室1内を排気、加熱し
たのち、基板2を300℃に加熱した。次いで、15〜
25Pa圧にした反応室1内に夫々MFC21、22、
23、24で流量制御されたH2 ガス、Arガス、O2
ガス、SiH4 ガスを供給した。このうち、H2 ガスと
Arガスは連続的に供給した。そして、成膜用原料ガス
のSiH4 ガスとO2 ガスは1周期500msの間でピ
エゾバルブA、Bを図5のように時間的に変化させて開
き、断続的に供給した。
The O 2 gas in place of the SiH 4 gas in Example 4 FIG. 1, also using SiH 4 gas in place of the carrier H 2 gas as a film-forming raw material gas. After exhausting and heating the inside of the reaction chamber 1 as in Example 1, the substrate 2 was heated to 300 ° C. Then 15-
In the reaction chamber 1 set to 25 Pa pressure, the MFCs 21 and 22, respectively,
H 2 gas, Ar gas, O 2 whose flow rate is controlled by 23 and 24
Gas and SiH 4 gas were supplied. Of these, H 2 gas and Ar gas were continuously supplied. Then, the SiH 4 gas and the O 2 gas, which are raw material gases for film formation, were intermittently supplied by opening the piezo valves A and B by temporally changing them as shown in FIG. 5 in one cycle of 500 ms.

【0026】まず、バルブ27、28を開としてバルブ
開閉制御機33にてピエゾバルブBを10ms開いてS
iH4 ガスを反応室1内に供給した。そして、1KWの
容量をもつRF電源4から600Wの電力を反応室1内
に投入する。これによって放電が起こり、プラズマが発
生し、SiH4 ガスの分解が起こり、まずSiH4 の分
解物が基板上に堆積する。その後、堆積したSiH4
分解物中に含まれている未分解元素のHが除去される。
そして、250ms後にピエゾバルブBが閉のままバル
ブ開閉制御機33にてピエゾバルブAを10ms開いて
原料ガスであり、かつ分解用ガスであるO2 ガスを供給
する。これによって、その後ピエゾバルブA、Bが閉と
なっても表面反応によって堆積物とO2 等が反応してS
iO2 膜が生成すると同時に堆積物中の未分解元素Hを
除去することができる。
First, the valves 27 and 28 are opened, the piezo valve B is opened for 10 ms by the valve opening / closing controller 33, and S is set.
iH 4 gas was supplied into the reaction chamber 1. Then, electric power of 600 W is supplied from the RF power source 4 having a capacity of 1 KW into the reaction chamber 1. This causes electric discharge, plasma is generated, SiH 4 gas is decomposed, and first, a decomposed product of SiH 4 is deposited on the substrate. Thereafter, the undecomposed element H contained in the deposited decomposed product of SiH 4 is removed.
After 250 ms, the piezo valve B is closed and the piezo valve A is opened for 10 ms by the valve opening / closing controller 33 to supply O 2 gas which is a raw material gas and a decomposition gas. As a result, even if the piezo valves A and B are subsequently closed, the deposit reacts with O 2 etc. due to the surface reaction, and S
At the same time when the iO 2 film is formed, the undecomposed element H in the deposit can be removed.

【0027】このような図5に示す500ms周期によ
る操作を1サイクルとして数回繰り返すことにより、基
板表面に未分解元素の含有量の少ないSiO2 膜を形成
することができた。
By repeating such an operation with a cycle of 500 ms shown in FIG. 5 as one cycle, a SiO 2 film containing a small amount of undecomposed elements could be formed on the surface of the substrate.

【0028】これに対して、従来法でSiO2 膜を形成
しようとすると、原料ガスが連続的に反応室に供給され
るので、反応室内で効率よく原料ガスを分解することが
できず、このため表面に粉が付着したような膜しか得ら
れなかった。
On the other hand, when a SiO 2 film is formed by the conventional method, the raw material gas is continuously supplied to the reaction chamber, so that the raw material gas cannot be efficiently decomposed in the reaction chamber. Therefore, only a film with powder adhering to the surface was obtained.

【0029】上記の実施例においては、プラズマを発生
させる電力を何れも連続的に投入しているが、これは間
欠的投入であってもよい。その電源として周波数13.
56MHz、容量1KWの電源を用いたが、容量は30
0W〜15KWの範囲を用いることができ、また直流電
源、低周波電源、マイクロ波電源などを用いることもで
き、要するにプラズマ発生手段は限定されない。
In the above embodiment, all the electric power for generating plasma is continuously supplied, but this may be intermittent supply. As its power source, frequency 13.
A power source of 56 MHz and a capacity of 1 kW was used, but a capacity of 30
A range of 0 W to 15 KW can be used, and a DC power supply, a low frequency power supply, a microwave power supply, or the like can be used. In short, the plasma generating means is not limited.

【0030】この発明の成膜方法によれば、上記の実施
例のほか、H2 ガス、Arガスに成膜用原料ガスとして
TiCl4 とSiCl4 を用いて珪化チタン膜を得る場
合、また、H2 ガス、Arガスに成膜用原料ガスとして
SiCl4 とCH4 を用いて炭化珪素膜を得る場合、さ
らにはH2 ガス、Arガスに成膜用原料ガスとして塩化
ホウ素(BCl3 、B2 Cl4 )とN2 用いて窒化ホウ
素膜を得る場合などにも未分解元素の除去が充分に行え
て夫々良質な膜を得ることができる。
According to the film forming method of the present invention, in addition to the above embodiment, when a titanium silicide film is obtained by using TiCl 4 and SiCl 4 as a film forming raw material gas in H 2 gas and Ar gas, When a silicon carbide film is obtained by using SiCl 4 and CH 4 as a film forming raw material gas for H 2 gas and Ar gas, further boron chloride (BCl 3 , B as a film forming raw material gas for H 2 gas and Ar gas). Even when a boron nitride film is obtained using 2 Cl 4 ) and N 2, undecomposed elements can be sufficiently removed, and a good quality film can be obtained.

【0031】この発明の方法によれば、500℃以下の
低い基板温度で、しかも膜の堆積過程と堆積物中の未分
解元素の除去過程とを分けることによって未分解元素量
の少ない皮膜を得ることができるので、切削工具や機械
部品の耐摩耗性の向上のために、原料ガスの未分解元素
の含有率が少ない硬質皮膜を600℃以下の基板温度で
プラズマCVD法で得る場合や、LSIなどの電子部品
製造過程で、原料ガスの未分解元素の含有率が少ない導
電性膜、半導体膜、絶縁性膜、バリア膜を600℃以下
の基板温度でプラズマCVD法にて得る場合などにこの
発明の成膜方法が有効である。
According to the method of the present invention, a film having a small amount of undecomposed elements is obtained at a low substrate temperature of 500 ° C. or lower and by separating the film deposition process and the removal process of undecomposed elements in the deposit. Therefore, in order to improve the wear resistance of cutting tools and machine parts, when a hard coating with a low content of undecomposed elements in the raw material gas is obtained by a plasma CVD method at a substrate temperature of 600 ° C. or lower, In the process of manufacturing electronic components such as, when a conductive film, a semiconductor film, an insulating film, or a barrier film having a low content of undecomposed elements in the source gas is obtained by the plasma CVD method at a substrate temperature of 600 ° C. or less, The film forming method of the invention is effective.

【0032】[0032]

【発明の効果】以上説明したように、この発明によれ
ば、反応室内に成膜用原料ガス、放電用ガスおよび原料
分解用ガスを導入し、RF電力を印加して反応室内の基
板表面に薄膜を形成するに際して、成膜用原料ガスの導
入を調整するピエゾバルブの開閉をバルブ開閉制御機に
よって時間的に変化させて断続的に行うこととしたの
で、原料ガス分解物の基板表面への堆積過程と、堆積物
中の未分解元素の除去過程とを別個に設定することがで
き、従って未分解元素の含有量の少ない薄膜を得ること
ができる。
As described above, according to the present invention, the film forming material gas, the discharge gas and the material decomposing gas are introduced into the reaction chamber, and RF power is applied to the substrate surface in the reaction chamber. When forming a thin film, it was decided to open and close the piezo valve that regulates the introduction of the raw material gas for film formation intermittently by changing the time with a valve opening / closing controller. The process and the process of removing the undecomposed element in the deposit can be set separately, so that a thin film having a low content of the undecomposed element can be obtained.

【図面の簡単な説明】[Brief description of drawings]

【図1】この発明で使用するプラズマCVD装置の1例
を示す概略図である。
FIG. 1 is a schematic view showing an example of a plasma CVD apparatus used in the present invention.

【図2】実施例1におけるピエゾバルブA、Bの開閉と
成膜の時間変化を示す説明図である。
FIG. 2 is an explanatory diagram showing opening / closing of the piezo valves A and B and time change of film formation in Example 1.

【図3】実施例2におけるピエゾバルブAの開閉と成膜
の時間変化を示す説明図である。
FIG. 3 is an explanatory diagram showing opening / closing of a piezo valve A and time change of film formation in Example 2.

【図4】実施例3におけるピエゾバルブA、Bの開閉と
成膜の時間変化を示す説明図である。
FIG. 4 is an explanatory diagram showing opening / closing of the piezo valves A and B and time change of film formation in Example 3.

【図5】実施例4におけるピエゾバルブA、Bの開閉と
成膜の時間変化を示す説明図である。
FIG. 5 is an explanatory diagram showing opening / closing of piezo valves A and B and time change of film formation in Example 4.

【符号の説明】[Explanation of symbols]

1 反応室 2 基板 3 電極 4 電源 33 バルブ開閉制御機 A ピエゾバルブ B ピエゾバルブ 1 Reaction chamber 2 Substrate 3 Electrode 4 Power supply 33 Valve open / close controller A Piezo valve B Piezo valve

Claims (1)

【特許請求の範囲】[Claims] 【請求項1】 排気された反応室に複数の成膜用原料ガ
ス、放電ガスおよび原料分解用ガスを導入し、上記反応
室に間隔を隔てて設けた基板と電極との間に電力を供給
して、上記原料ガスを分解させ、上記基板表面に皮膜を
形成させるプラズマCVDによる成膜方法において、上
記複数の成膜用原料ガスを間欠的に導入して、基板表面
への上記原料ガス分解物の堆積過程と堆積物中の未分解
元素の除去過程とを別個に設定するとともに、この両過
程を周期5秒以下で繰り返し行うことを特徴とするプラ
ズマCVDによる成膜方法。
1. A plurality of film-forming source gases, a discharge gas and a source-decomposing gas are introduced into an evacuated reaction chamber, and electric power is supplied between a substrate and an electrode provided in the reaction chamber at intervals. Then, in the film forming method by plasma CVD for decomposing the raw material gas and forming a film on the substrate surface, the plural raw material gases for film formation are intermittently introduced to decompose the raw material gas on the substrate surface. A method for forming a film by plasma CVD, characterized in that a deposition process of an object and a removal process of undecomposed elements in the deposit are separately set, and both processes are repeated at a cycle of 5 seconds or less.
JP5277897A 1993-10-07 1993-10-07 Formation of film by plasma cvd Pending JPH07109576A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP5277897A JPH07109576A (en) 1993-10-07 1993-10-07 Formation of film by plasma cvd

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP5277897A JPH07109576A (en) 1993-10-07 1993-10-07 Formation of film by plasma cvd

Publications (1)

Publication Number Publication Date
JPH07109576A true JPH07109576A (en) 1995-04-25

Family

ID=17589816

Family Applications (1)

Application Number Title Priority Date Filing Date
JP5277897A Pending JPH07109576A (en) 1993-10-07 1993-10-07 Formation of film by plasma cvd

Country Status (1)

Country Link
JP (1) JPH07109576A (en)

Cited By (290)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6273954B2 (en) 1998-09-03 2001-08-14 Mitsubishi Denki Kabushiki Kaisha System for manufacturing a semiconductor device
JP2003508932A (en) * 1999-09-08 2003-03-04 エーエスエム アメリカ インコーポレイテッド Improved apparatus and method for growing thin films
WO2003021650A1 (en) * 2001-09-03 2003-03-13 Tokyo Electron Limited Film forming method
WO2004074543A1 (en) * 2003-02-20 2004-09-02 Tokyo Electron Limited Method for forming film
JP2011054968A (en) * 2009-09-03 2011-03-17 Asm Japan Kk METHOD FOR FORMING CONFORMAL DIELECTRIC FILM HAVING Si-N COMBINATION BY PECVD
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10364493B2 (en) 2016-08-25 2019-07-30 Asm Ip Holding B.V. Exhaust apparatus and substrate processing apparatus having an exhaust line with a first ring having at least one hole on a lateral side thereof placed in the exhaust line
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector

Cited By (367)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6273954B2 (en) 1998-09-03 2001-08-14 Mitsubishi Denki Kabushiki Kaisha System for manufacturing a semiconductor device
JP2003508932A (en) * 1999-09-08 2003-03-04 エーエスエム アメリカ インコーポレイテッド Improved apparatus and method for growing thin films
JP4994551B2 (en) * 1999-09-08 2012-08-08 アーエスエム インターナショナル エヌフェー Improved apparatus and method for growing thin films
WO2003021650A1 (en) * 2001-09-03 2003-03-13 Tokyo Electron Limited Film forming method
US7935384B2 (en) 2001-09-03 2011-05-03 Tokyo Electron Limited Film forming method
WO2004074543A1 (en) * 2003-02-20 2004-09-02 Tokyo Electron Limited Method for forming film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011054968A (en) * 2009-09-03 2011-03-17 Asm Japan Kk METHOD FOR FORMING CONFORMAL DIELECTRIC FILM HAVING Si-N COMBINATION BY PECVD
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10364493B2 (en) 2016-08-25 2019-07-30 Asm Ip Holding B.V. Exhaust apparatus and substrate processing apparatus having an exhaust line with a first ring having at least one hole on a lateral side thereof placed in the exhaust line
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US12000042B2 (en) 2016-12-15 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11996304B2 (en) 2019-07-16 2024-05-28 Asm Ip Holding B.V. Substrate processing device
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Similar Documents

Publication Publication Date Title
JPH07109576A (en) Formation of film by plasma cvd
KR100421572B1 (en) Method of passivating a CVD chamber
JP5101868B2 (en) Method and system for performing different deposition processes in a single chamber
EP0095887B2 (en) Apparatus for plasma chemical vapour deposition
US5326723A (en) Method for improving stability of tungsten chemical vapor deposition
KR100428521B1 (en) Method for single chamber processing of PECVD-Ti and CVD-TiN films in IC manufacturing
TW482828B (en) Method of low temperature plasma enhanced chemical vapor deposition of TiN film over titanium for use in via level applications
Dubois et al. The adsorption and thermal decomposition of trimethylamine alane on aluminum and silicon single crystal surfaces: kinetic and mechanistic studies
US20100099264A1 (en) Etching high-k materials
JPS6345373A (en) Adhesion of tungsten silicide high in silicon content
EP2052098A1 (en) Method of cleaning film forming apparatus and film forming apparatus
US5827408A (en) Method and apparatus for improving the conformality of sputter deposited films
EP1154036A1 (en) Gas reactions to eliminate contaminates in a CVD chamber
JP5178342B2 (en) Deposit removing method and deposited film forming method
JP3186872B2 (en) Film forming method by pulse plasma CVD
JPS6314421A (en) Plasma chemical vapor deposition method
TWI545628B (en) N-metal film deposition with initiation layer
EP1154037A1 (en) Methods for improving chemical vapor deposition processing
EP1154038A1 (en) Method of conditioning a chamber for chemical vapor deposition
JP3224469B2 (en) Thin film formation method and apparatus
US20020197828A1 (en) Method and apparatus for manufacturing a semiconductor device and processing a substrate
JP3257763B2 (en) Cleaning method for CVD-Ti film forming chamber
JP3038827B2 (en) Method for manufacturing semiconductor device
JP4312291B2 (en) Film formation method by plasma CVD
JP2723053B2 (en) Method and apparatus for forming thin film

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20030128