JP2001023872A - Semiconductor substrate processing apparatus - Google Patents

Semiconductor substrate processing apparatus

Info

Publication number
JP2001023872A
JP2001023872A JP19586599A JP19586599A JP2001023872A JP 2001023872 A JP2001023872 A JP 2001023872A JP 19586599 A JP19586599 A JP 19586599A JP 19586599 A JP19586599 A JP 19586599A JP 2001023872 A JP2001023872 A JP 2001023872A
Authority
JP
Japan
Prior art keywords
semiconductor substrate
chamber
processing apparatus
substrate processing
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP19586599A
Other languages
Japanese (ja)
Inventor
Mitsuru Onuma
満 大沼
Norihiko Wada
紀彦 和田
Koji Horiuchi
康志 堀内
Toshiyuki Uchino
敏幸 内野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP19586599A priority Critical patent/JP2001023872A/en
Publication of JP2001023872A publication Critical patent/JP2001023872A/en
Pending legal-status Critical Current

Links

Landscapes

  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

PROBLEM TO BE SOLVED: To allow an operator to quickly and easily take care of an abnormality even when the abnormality occurs by allowing the operator to visibly check the internal conditions of an apparatus easily, quickly and reliably. SOLUTION: The semiconductor substrate processing apparatus includes a processing chamber 101, a transfer chamber 104, a cooling chamber 107, a load lock chamber 109, an unload lock chamber 110, a transfer machine 113, and a load port section 114. Transparent windows 106, 108, 111 and 112 are provided on top of the chambers 104, 107, 109 and 110, respectively, thereby allowing a maintenance operator to visibly check the internal conditions of the chambers. Further, lids 102 and 105 are provided on the chambers 101 and 104, whereby cleaning, maintenance and the like can be performed therethrough. Still further, the external surface of each of the above components constituting the apparatus is colored in different colors, so that the maintenance operator or the like can identify each component at a glance.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体基板処理装
置に係り、特に、半導体基板処理装置における被処理基
板の処理状況、半導体基板処理装置の各部分の故障等の
状況の監視、保守、点検等を容易にした半導体基板処理
装置に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor substrate processing apparatus and, more particularly, to monitoring, maintenance, and inspection of a processing state of a substrate to be processed in the semiconductor substrate processing apparatus, a failure state of each part of the semiconductor substrate processing apparatus, and the like. The present invention relates to a semiconductor substrate processing apparatus that facilitates the processing.

【0002】[0002]

【従来の技術】一般に、半導体基板処理装置は、エッチ
ング装置、アッシング装置、スパッタ装置、CVD装置
等を主たる構成装置として備え、さらに、これらの装置
に大気搬送ロボットにより半導体ウェハ(以下、単にウ
ェハという)の受け渡しを行う搬送室(メインフレー
ム)、ウェハキャリアから搬送室へのウェハの受け渡し
を行う移載機(大気ローダ)等を備えて構成されてい
る。そして、半導体基板処理装置の処理状況は、監視制
御を行う端末の表示画面上に、系統図、基板状態表示
図、排気系統図等により表示されるのが一般的である。
2. Description of the Related Art Generally, a semiconductor substrate processing apparatus includes an etching apparatus, an ashing apparatus, a sputtering apparatus, a CVD apparatus, and the like as main components, and further includes a semiconductor wafer (hereinafter simply referred to as a wafer) provided by an atmospheric transfer robot. ), And a transfer machine (atmosphere loader) for transferring wafers from the wafer carrier to the transfer chamber. The processing status of the semiconductor substrate processing apparatus is generally displayed on a display screen of a terminal that performs monitoring and control by a system diagram, a substrate state display diagram, an exhaust system diagram, and the like.

【0003】[0003]

【発明が解決しようとする課題】前述した従来技術によ
る半導体基板処理装置は、監視制御を行う端末の表示画
面上に系統図等により処理状況を表示するだけであるた
め、実際の装置の内部の状況を直接作業者に知らせるこ
とができず、また、実際の装置の処理状況を作業者が目
視により直接監視するすることが困難であり、しかも、
保守、点検等の作業がしにくいという問題点を有してい
る。
The above-described semiconductor substrate processing apparatus according to the prior art merely displays the processing status by a system diagram or the like on a display screen of a terminal that performs monitoring and control. It is not possible to notify the worker directly of the situation, and it is difficult for the worker to directly monitor the actual processing status of the apparatus by visual observation.
There is a problem that the work such as maintenance and inspection is difficult.

【0004】本発明の目的は、前記従来技術の問題点を
解決し、作業者が容易に装置内部における状況を素早く
しかも確実に目視により知ることができ、故障の発生に
際しても、作業者が迅速に容易に対応することを可能に
した半導体基板処理装置を提供することにある。
SUMMARY OF THE INVENTION An object of the present invention is to solve the above-mentioned problems of the prior art, so that an operator can easily and quickly visually confirm the situation inside the apparatus. It is an object of the present invention to provide a semiconductor substrate processing apparatus which can easily cope with the problem.

【0005】[0005]

【課題を解決するための手段】本発明によれば前記目的
は、半導体基板上に半導体装置を形成する半導体基板の
処理を行う半導体基板処理装置において、半導体基板処
理装置の周囲の複数の個所に、半導体基板処理装置の処
理状況を監視制御する移動可能な監視操作用端末を設置
する設置手段を備えたことにより達成される。
According to the present invention, there is provided a semiconductor substrate processing apparatus for processing a semiconductor substrate which forms a semiconductor device on a semiconductor substrate. This is attained by providing an installation means for installing a movable monitoring operation terminal for monitoring and controlling the processing status of the semiconductor substrate processing apparatus.

【0006】また、前記目的は、前記半導体基板処理装
置を、処理機能部として、少なくとも、処理室と、搬送
室と、ロードロック室及びアンロードロック室と、ロー
ドポート部が取り付けられる移載機とを順番に並べて構
成し、前記監視操作用端末の設置手段を、移載機の前
面、搬送室の側面、処理室の後面に備えることにより、
また、前記搬送室と、ロードロック室及びアンロードロ
ック室とが設置空間に露出していることにより達成され
る。
[0006] Further, the object is to provide a transfer machine in which at least a processing chamber, a transfer chamber, a load lock chamber and an unload lock chamber, and a load port section are mounted using the semiconductor substrate processing apparatus as a processing function section. Are arranged in order, the installation means of the monitoring operation terminal, by providing the front of the transfer machine, the side of the transfer chamber, the rear of the processing chamber,
Further, this is achieved because the transfer chamber, the load lock chamber and the unload lock chamber are exposed to the installation space.

【0007】また、前記目的は、前記搬送室に、クーリ
ング室を併設し、該クーリング室と、前記搬送室と、ロ
ードロック室及びアンロードロック室との上面に、透明
窓を設けらたことにより、また、前記処理室と前記搬送
室との上面に、開閉可能なふたを設けたことにより、ま
た、前記移載機の背面及び側面に、内部を目視可能な窓
が設けられたことにより、さらに、前記処理室と、搬送
室と、ロードロック室及びアンロードロック室との側面
の床面の両側あるいは片側に、その内部に配管類を配置
したベース部兼作業台が設けられたことにより達成され
る。
Further, the object is that a cooling chamber is provided in the transfer chamber, and transparent windows are provided on upper surfaces of the cooling chamber, the transfer chamber, the load lock chamber and the unload lock chamber. By providing a lid that can be opened and closed on the upper surfaces of the processing chamber and the transfer chamber, and by providing a window on the rear and side surfaces of the transfer machine so that the inside can be viewed. Further, on both sides or one side of the floor surface of the side surfaces of the processing chamber, the transfer chamber, and the load lock chamber and the unload lock chamber, a base unit / work table having piping arranged therein is provided. Is achieved by

【0008】また、前記目的は、前記処理機能部が、処
理機能部毎に、あるいは、複数のブロックに群分けさ
れ、各処理機能部毎にあるいは群毎に異なる色により色
分けされて、その色を目視可能に各処理機能部の外壁に
付与したことにより達成される。
The object is that the processing function units are divided into groups for each processing function unit or a plurality of blocks, and are color-coded by different colors for each processing function unit or for each group. Is visually provided on the outer wall of each processing function unit.

【0009】また、前記目的は、前記各処理機能部毎に
あるいは群毎に色分けされて付与される色と、半導体基
板処理装置の処理状況を監視制御する監視操作用端末に
表示される装置の配置を示す情報の処理機能部の色とを
同一とすることにより、また、前記各処理機能部毎にあ
るいは群毎に色分けされて付与される色と、半導体基板
処理装置の処理状況を監視制御する監視操作用端末に表
示される処理機能部の状況を一覧表示する際の各処理機
能部の処理状況の表示欄の色とを同一とすることにより
達成される。
Further, the object is to provide a color assigned to each of the processing function units or to each of the groups, and a device to be displayed on a monitoring operation terminal for monitoring and controlling the processing status of the semiconductor substrate processing apparatus. By making the color of the processing function part of the information indicating the arrangement the same, the color assigned to each processing function part or classified by group and the processing status of the semiconductor substrate processing apparatus are monitored and controlled. This is achieved by making the color of the display column of the processing status of each processing function unit the same when displaying a list of the status of the processing function units displayed on the monitoring operation terminal.

【0010】さらに、前記目的は、半導体基板処理装置
の2台を左右対称に構成し、ミラー配置としたことによ
り達成される。
Further, the above object is achieved by arranging two semiconductor substrate processing apparatuses symmetrically and by arranging mirrors.

【0011】[0011]

【発明の実施の形態】以下、本発明による半導体基板処
理装置の実施形態を図面により詳細に説明する。
DESCRIPTION OF THE PREFERRED EMBODIMENTS Embodiments of the semiconductor substrate processing apparatus according to the present invention will be described below in detail with reference to the drawings.

【0012】図1は本発明の第1の実施形態による半導
体基板処理装置の構成例を説明する図である。図1にお
いて、101は処理室、102は処理室上ふた、10
6、108、111、112は透明窓、104は搬送
室、105は搬送室上ふた、107はクーリング室、1
09はロードロック室、110はアンロードロック室、
113は移載機、114はロードポート部、115はウ
ェハキャリア、116は移載機側面窓、117は移載機
背面窓、118はベース部兼作業台、119は監視操作
用端末、123はガス供給機構部である。
FIG. 1 is a view for explaining a configuration example of a semiconductor substrate processing apparatus according to a first embodiment of the present invention. In FIG. 1, reference numeral 101 denotes a processing chamber;
6, 108, 111 and 112 are transparent windows, 104 is a transfer chamber, 105 is a top cover of the transfer chamber, 107 is a cooling chamber, 1
09 is a load lock room, 110 is an unload lock room,
113 is a transfer machine, 114 is a load port unit, 115 is a wafer carrier, 116 is a transfer machine side window, 117 is a transfer machine rear window, 118 is a base unit and work table, 119 is a monitoring operation terminal, and 123 is a monitoring operation terminal. It is a gas supply mechanism.

【0013】本発明が適用される半導体基板処理装置
は、図1(a)の上面図、図1(b)、図1(c)の両
側部の斜め上方からみた斜視図に示すように、処理時に
真空に引かれる部屋である処理室101、処理室101
に各種のガスを供給するガス供給機構部123、搬送室
104、クーリング室107、ロードロック室109、
アンロードロック室110と、大気圧の状態にある移載
機113、複数(図示例では2台)のロードポート11
4とにより構成される。なお、以下の説明において、半
導体基板処理装置を構成する前述の各構成装置を、構成
要素あるいは処理機構部と呼称することもある。処理室
101は、内部に搬送されてきた半導体基板であるウェ
ハに対してプラズマを用いた処理を行う部屋である。ま
た、処理室101の近傍の図にカバーで覆われた内部
に、ガス供給のためのガス供給機構部123が設けられ
る。また、処理室101の下方部または床下に処理室1
01の排気を行うための排気系装置等が備えられてい
る。また、図1に示す例の場合、図1(b)に示すよう
に、ガス供給機構部123が設けられる筐体の壁面に監
視操作端末119が設置されている。
The semiconductor substrate processing apparatus to which the present invention is applied is, as shown in the top view of FIG. 1A and the perspective views of FIG. 1B and FIG. Processing chamber 101, which is a room that is evacuated during processing,
Gas supply mechanism 123 for supplying various gases to the transfer chamber 104, the cooling chamber 107, the load lock chamber 109,
Unload lock chamber 110, transfer machine 113 under atmospheric pressure, and a plurality of (two in the illustrated example) load ports 11
4. In the following description, each of the above-described constituent devices constituting the semiconductor substrate processing apparatus may be referred to as a component or a processing mechanism. The processing chamber 101 is a room for performing processing using plasma on a wafer, which is a semiconductor substrate transferred into the processing chamber 101. Further, a gas supply mechanism 123 for supplying gas is provided in the vicinity of the processing chamber 101 covered with a cover in the drawing. Further, the processing chamber 1 is located below the processing chamber 101 or below the floor.
An exhaust system device and the like for performing the exhaust of the air exhaust system 01 are provided. Also, in the case of the example shown in FIG. 1, as shown in FIG. 1B, the monitoring operation terminal 119 is installed on the wall surface of the housing where the gas supply mechanism 123 is provided.

【0014】搬送室104は、装置の稼動時に真空状態
に引かれており、クーリング室107が連結されてい
る。そして、この搬送室104には、被処理ウェハをロ
ードロック室109を介して移載機113から受け取
り、処理室101内に搬送し、処理済みのウェハを処理
室101から取り出し、クーリング室107で冷却した
後、アンロードロック室110を介して移載機113に
戻す2本のアームを持つ真空状態で作動する図示しない
搬送ロボットのアーム(以下、真空アームという)が備
えられている。なお、クーリング室107は、搬送室1
06に連続する空間を持っており、処理済みの温度の高
いウェハを冷却するために使用される。
The transfer chamber 104 is evacuated during operation of the apparatus, and is connected to a cooling chamber 107. In the transfer chamber 104, the wafer to be processed is received from the transfer machine 113 via the load lock chamber 109, transferred into the processing chamber 101, and the processed wafer is taken out of the processing chamber 101, and is transferred to the cooling chamber 107. An arm (not shown) of a transfer robot (not shown) that operates in a vacuum state and has two arms that return to the transfer device 113 via the unload lock chamber 110 after cooling is provided. The cooling chamber 107 is provided in the transfer chamber 1
It has a space that continues to 06 and is used to cool processed hot wafers.

【0015】ロードロック室109、アンロードロック
室110は、搬送室104と移載機113との間でウェ
ハを受け渡すためのバッファ室として機能し、ウェハを
搬送する度に、真空に引かれたり大気圧の状態に制御さ
れる。このため、搬送室104と移載機113との間に
図示しない扉が設けられている。移載機113から未処
理のウェハを処理室101に搬送する場合、ロードロッ
ク室109の搬送室104側の扉を閉じた状態で、移載
機113側の扉が開かれて、その内部が大気圧状態とさ
れて移載機113からウェハがロードロック室109に
搬送され、移載機113側の扉が閉じられる。その後、
ロードロック室109が、真空に引かれ真空状態になっ
たとき、搬送室104側の扉が開かれて、ウェハは、真
空アームにより搬送室104内に取り込まれる。
The load lock chamber 109 and the unload lock chamber 110 function as buffer chambers for transferring wafers between the transfer chamber 104 and the transfer machine 113. Each time a wafer is transferred, a vacuum is drawn. Or controlled to atmospheric pressure. For this reason, a door (not shown) is provided between the transfer chamber 104 and the transfer machine 113. When transferring an unprocessed wafer from the transfer machine 113 to the processing chamber 101, the door on the transfer machine 113 side is opened with the door on the transfer chamber 104 side of the load lock chamber 109 closed, and The wafer is transported from the transfer device 113 to the load lock chamber 109 under the atmospheric pressure, and the door on the transfer device 113 side is closed. afterwards,
When the load lock chamber 109 is evacuated to a vacuum state, the door on the transfer chamber 104 side is opened, and the wafer is taken into the transfer chamber 104 by the vacuum arm.

【0016】また、処理済のウェハを搬送室104から
移載機113に搬送する場合、アンロードロック室11
0の移載機113側の扉を閉じた状態で、搬送室104
側の扉を開いて(このとき、アンロードロック室110
は真空状態にある)、処理済のウェハを真空アームによ
りアンロードロック室110に搬送する。その後、搬送
室104側の扉を閉じ、アンロードロック室110に大
気を導入して大気圧状態とし、移載機113側の扉を開
き、処理済のウェハを移載機113側に搬送させる。
When the processed wafer is transferred from the transfer chamber 104 to the transfer device 113, the unload lock chamber 11
0 with the door on the transfer machine 113 side closed.
Open the side door (at this time, unload lock chamber 110
Is in a vacuum state), and the processed wafer is transferred to the unload lock chamber 110 by the vacuum arm. Thereafter, the door on the transfer chamber 104 side is closed, the atmosphere is introduced into the unload lock chamber 110 to be in an atmospheric pressure state, the door on the transfer device 113 side is opened, and the processed wafer is transferred to the transfer device 113 side. .

【0017】移載機113は、大気圧の状態で動作し、
外部から持ち込まれる未処理のウェハを処理室側に渡
し、処理室側からの処理済のウェハを外部に引き渡すイ
ンタフェースとしての機能を実行するものである。移載
機113は、外部から持ち込まれる未処理のウェハを処
理室側に渡し、処理室側からの処理済のウェハを外部に
引き渡すためのウェハの搬送を行う大気状態の中で動作
する図示しない搬送ロボットのアーム(以下、大気アー
ムという)を備えている。
The transfer machine 113 operates at atmospheric pressure,
An unprocessed wafer brought in from the outside is transferred to the processing chamber, and a function as an interface for transferring a processed wafer from the processing chamber to the outside is executed. The transfer device 113 operates in an atmospheric condition in which an unprocessed wafer brought in from the outside is transferred to the processing chamber side, and a wafer for transferring the processed wafer from the processing chamber side to the outside is transferred. An arm of a transfer robot (hereinafter, referred to as an atmospheric arm) is provided.

【0018】移載機113内の大気アームは、未処理の
ウェハを複数のロードポート部114の所定の1つの上
に載置されたウェハキャリア115から取り出してロー
ドロック室109に搬送し、また、逆に、処理済のウェ
ハをアンロードロック室110から所定のロードポート
部114上のウェハキャリア115まで搬送する。
An atmospheric arm in the transfer machine 113 takes out an unprocessed wafer from a wafer carrier 115 mounted on a predetermined one of the plurality of load port portions 114, and transports the unprocessed wafer to the load lock chamber 109. Conversely, the processed wafer is transferred from the unload lock chamber 110 to a wafer carrier 115 on a predetermined load port 114.

【0019】ロードポート部114は、1台の基板処理
装置の移送機113に対して複数台設けられており、外
部から持ちこまれた内部に複数枚の未処理ウェハを収納
しているウェハキャリア115が載置される。ウェハキ
ャリア115内の未処理ウェハを処理室側に搬送する場
合、ロードポート部113に設けられている機構により
図示しないウェハキャリア115の扉122、図示しな
い移載機113側の扉が開かれ、未処理ウェハは、移載
機113内の大気アームによりウェハキャリア115か
ら取り出されて、移載機113内に取り込まれる。
A plurality of load port units 114 are provided for the transfer machine 113 of one substrate processing apparatus, and a plurality of unloading wafer carriers 115 accommodated in the inside carried in from the outside. Is placed. When an unprocessed wafer in the wafer carrier 115 is transported to the processing chamber side, the door 122 of the wafer carrier 115 (not shown) and the door of the transfer machine 113 (not shown) are opened by a mechanism provided in the load port unit 113. The unprocessed wafer is taken out of the wafer carrier 115 by the atmospheric arm in the transfer machine 113 and taken into the transfer machine 113.

【0020】前述したような処理動作によりウェハの処
理を行う半導体基板処理装置は、移載機113を除く部
分は、保守者が保守、監視のための作業時に乗ることが
できる両側部に設けられるベース部兼作業台118上に
載置されて床面に設置されている。このベース部兼作業
台118は、後に詳述するが、床下から装置に導かれる
各種のガスの配管、冷却水配管等の保護部をも兼ねてい
る。
In the semiconductor substrate processing apparatus for processing a wafer by the above-described processing operation, portions except for the transfer device 113 are provided on both sides on which a maintenance person can ride during maintenance and monitoring operations. It is placed on a base / work table 118 and is placed on the floor. As will be described in detail later, the base / work table 118 also serves as a protection unit such as a pipe for various gases guided from under the floor to the apparatus and a cooling water pipe.

【0021】図1に示す本発明の実施形態による半導体
基板処理装置は、その処理室101、搬送室104に、
保守等のためのふたが設けられ、また、各所に内部の状
況を目視により監視することができる透明な窓が設けら
れている。すなわち、処理室101の上部には、内部の
清掃、点検を行うための開閉可能なふた102、搬送室
104の上面にも、内部の清掃、点検を行うための開閉
可能なふた105、該ふた105に設けられる内部を覗
き見るための透明窓106が設けられている。また、ク
ーリング室107、ロードロック室109、アンロード
ロック室110の上面には、内部での搬送アーム、搬送
されるウェハの状態を目視することが可能に透明窓10
8、111、112が設けられている。さらに、移載機
113の両側面及び搬送室104側にも、内部のロボッ
ト等の動きを目視することができるように、透明な窓1
16、117が設けられている。なお、処理室の一部に
内部を観察するための窓を設けることもでき、内部での
処理状況を把握するために有効である。
The semiconductor substrate processing apparatus according to the embodiment of the present invention shown in FIG.
Lids for maintenance and the like are provided, and transparent windows are provided at various places so that the internal conditions can be visually monitored. That is, an openable / closable lid 102 for cleaning / inspection of the inside is provided on the upper part of the processing chamber 101, and an openable / closable lid 105 for cleaning / inspection of the inside is also provided on the upper surface of the transfer chamber 104. A transparent window 106 for peeping into the inside provided at 105 is provided. Further, on the upper surfaces of the cooling chamber 107, the load lock chamber 109, and the unload lock chamber 110, the transparent arm 10 inside the transparent window 10 so that the state of the transferred wafer can be visually checked.
8, 111 and 112 are provided. Further, the transparent window 1 is also provided on both sides of the transfer machine 113 and on the side of the transfer chamber 104 so that the movement of the internal robot and the like can be visually observed.
16 and 117 are provided. Note that a window for observing the inside can be provided in a part of the processing chamber, which is effective for grasping the processing state inside.

【0022】保守者は、ベース部兼作業台118上に乗
り、前述した各所の窓から内部を目視することにより、
内部の状況、例えば、処理室での処理の様子、ウェハを
搬送しているロボットアーム等の動作の状況を監視する
ことができる。また、装置の停止状態での内部の詳細な
点検、清掃等は、ふたを開けることにより行うことが可
能となる。なお、ベース部兼作業台118、作業面の高
さ寸法等については後述する。
The maintenance person rides on the base / work table 118 and looks at the inside from the windows at various places described above,
It is possible to monitor an internal state, for example, a state of processing in a processing chamber, and an operation state of a robot arm or the like that transports a wafer. In addition, detailed inspection and cleaning of the inside of the apparatus when the apparatus is stopped can be performed by opening the lid. The base unit / work table 118, the height of the work surface, and the like will be described later.

【0023】また、図1に示す本発明の実施形態は、処
理室を包むカバーの背面部に、表示画面を持つ監視操作
用端末119が取り付けられているように、図示してい
るが、監視操作用端末119は、後述するように、持ち
運び可能に構成されたものであり、装置周辺の所定の位
置に備えられるコネクタを介して接続されて使用できる
ようにされている。また、監視操作用端末119の表示
画面はタッチパネルにより構成され、キーボードを使用
することなく必要な指示等の入力を行うことが可能であ
る。
In the embodiment of the present invention shown in FIG. 1, a monitoring operation terminal 119 having a display screen is attached to the back of a cover enclosing the processing chamber. As will be described later, the operation terminal 119 is configured to be portable and can be used by being connected via a connector provided at a predetermined position around the device. Further, the display screen of the monitoring operation terminal 119 is configured by a touch panel, and can input necessary instructions and the like without using a keyboard.

【0024】図1に示す本発明の実施形態による半導体
基板処理装置は、前述したように、装置の両側部にベー
ス部兼作業台118が設けられるので、前述したような
監視、保守、点検等の作業を装置の両サイドから行うこ
とが可能であり、このため、前述した処理室101の上
部に設けられるふた102、搬送室104の上部に設け
られるふた105は、ベース部兼作業台118に乗った
保守者が左右何れかの側にこれらのふたを上方に回転さ
せて開くことができるように取り付けられる。図示の例
では、処理室101の上部に設けられるふた102と、
搬送室104の上部に設けられるふた105とは、それ
らの両方が開かれたとき、保守者が開いたふたに邪魔さ
れることなく、処理室101と搬送室104との両方に
対して作業が行えるように、対向して開くようにされて
いる。
The semiconductor substrate processing apparatus according to the embodiment of the present invention shown in FIG. 1 is provided with a base / work table 118 on both sides of the apparatus as described above, so that monitoring, maintenance, inspection and the like as described above are performed. Can be performed from both sides of the apparatus. For this reason, the lid 102 provided above the processing chamber 101 and the lid 105 provided above the transfer chamber 104 are attached to the base / work table 118. The lid is mounted on the left or right side so that the lid can be opened by rotating the lid upward. In the illustrated example, a lid 102 provided at an upper portion of the processing chamber 101,
The lid 105 provided at the upper part of the transfer chamber 104 is provided so that when both of them are opened, a maintenance person can work on both the processing chamber 101 and the transfer chamber 104 without being obstructed by the opened lid. In order to be able to do so, they are opened facing each other.

【0025】図2は本発明の第2の実施形態による半導
体基板処理装置の構成例を説明する図である。図2にお
いて、111’、112’は透明窓であり、他の符号は
図1の場合と同一である。なお、図2(a)、図2
(b)はそれぞれ両側部の斜め上方からみた斜視図であ
る。
FIG. 2 is a view for explaining a configuration example of a semiconductor substrate processing apparatus according to a second embodiment of the present invention. In FIG. 2, reference numerals 111 'and 112' denote transparent windows, and other reference numerals are the same as those in FIG. 2 (a) and FIG.
(B) is a perspective view of each side as viewed from obliquely above.

【0026】図1により説明した本発明の第1の実施形
態は、装置の両側部にベース部兼作業台118が設け、
監視、保守、点検等の作業を装置の両サイドから行うこ
とが可能に構成したものであったが、図2に示す例は、
装置の片側にのみベース部兼作業台118を設けた点
が、図1の場合の大きく相違している。そして、図2に
示す実施形態は、装置の片側から保守等を行うため、処
理室101の上部に設けられるふた102、搬送室10
4の上部に設けられるふた105は、保守者の側から奥
の方に開くように構成している。また、図2に示す例
は、ロードロック室109、アンロードロック室110
の上面が、搬送室104の上面より高くなっているが、
その内部に、搬送室104側の扉、移載機113側の扉
の駆動機構等が格納される。さらに、この例では、ロー
ドロック室109、アンロードロック室110の側面
に、内部を監視することができる透明窓111’、11
2’が設けられている。
In the first embodiment of the present invention described with reference to FIG. 1, a base / work table 118 is provided on both sides of the apparatus.
Monitoring, maintenance, inspection, etc. were performed from both sides of the device, but the example shown in FIG.
The point that the base / work table 118 is provided only on one side of the apparatus is greatly different from the case of FIG. In the embodiment shown in FIG. 2, a lid 102 provided above the processing chamber 101 and a transfer chamber 10 for performing maintenance or the like from one side of the apparatus.
The lid 105 provided at the upper part of 4 is configured to open from the side of the maintenance person to the back. The example shown in FIG. 2 shows a load lock chamber 109 and an unload lock chamber 110.
Is higher than the upper surface of the transfer chamber 104,
Inside, a door drive mechanism on the transfer chamber 104 side and a door drive mechanism on the transfer machine 113 side are stored. Further, in this example, transparent windows 111 ′ and 11, which can monitor the inside, are provided on the side surfaces of the load lock chamber 109 and the unload lock chamber 110.
2 'is provided.

【0027】また、この例では、処理室101の近傍に
設けられるガス供給のための装置、処理室101の排気
を行うための排気系装置等が、ベース部兼作業台118
を設けた側とは反対側に近い部位に設けられる。そし
て、ベース部兼作業台118の側の処理室101の周辺
に設けられた搬送室104の上面と同一の高さ位置の面
上に監視操作端末119が設置されている。
In this example, an apparatus for gas supply provided near the processing chamber 101, an exhaust system for exhausting the processing chamber 101, and the like include a base unit and a work table 118.
It is provided in a part near the side opposite to the side provided with. The monitoring operation terminal 119 is installed on a surface at the same height position as the upper surface of the transfer chamber 104 provided around the processing chamber 101 on the side of the base unit and work table 118.

【0028】図3は本発明の第3の実施形態による半導
体基板処理装置の構成例を説明する図である。図3にお
いて、120は棚であり、他の符号は、図1の場合と同
一である。なお、図3(a)、図3(b)はそれぞれ両
側部の斜め上方からみた斜視図である。
FIG. 3 is a view for explaining a configuration example of a semiconductor substrate processing apparatus according to a third embodiment of the present invention. 3, reference numeral 120 denotes a shelf, and other reference numerals are the same as those in FIG. 3 (a) and 3 (b) are perspective views as seen from obliquely above both sides.

【0029】図3に示す実施形態も、図2により説明し
た実施形態の場合と同様に、装置の片側にのみベース部
兼作業台118を設けて構成したものである。そして、
図3に示す実施形態は、図1の場合と同様に、処理室1
01の上部に設けられるふた102、搬送室104の上
部に設けられるふた105は、保守者の側から見て左右
側に開くように構成されている。この例では、移載機1
13のロードポート114が設けられている面と同一の
面に、折り畳んで収納可能な棚120が設けられ、この
棚の上に監視操作端末119を載置して使用することが
可能とされている。図3に示す実施形態のその他の構成
は、図2の場合と同様にロードロック室109、アンロ
ードロック室110の上面が、搬送室104の上面より
高くなっている点以外、図1の場合と同様に構成されて
いる。
The embodiment shown in FIG. 3 is also similar to the embodiment described with reference to FIG. 2 in that the base unit / work table 118 is provided on only one side of the apparatus. And
The embodiment shown in FIG. 3 is similar to the case of FIG.
The lid 102 provided at the upper part of the cover 01 and the lid 105 provided at the upper part of the transfer chamber 104 are configured to open to the left and right as viewed from the maintenance person. In this example, the transfer machine 1
A shelf 120 that can be folded and stored is provided on the same surface as the surface on which the thirteen load ports 114 are provided, and the monitoring operation terminal 119 can be placed and used on this shelf. I have. Other configurations of the embodiment shown in FIG. 3 are the same as those in FIG. 1 except that the upper surfaces of the load lock chamber 109 and the unload lock chamber 110 are higher than the upper surface of the transfer chamber 104 as in the case of FIG. It is configured similarly to.

【0030】図1〜図3により前述した本発明の第1〜
第3の実施形態において、処理室101は、外部に露出
しているが、装置の動作中、処理室101の内部では、
高磁界、高電圧が使用され、また、各種のガス類も使用
されるので、この処理室101を取り外し可能なカバー
により覆うようにしておくとよい。但し、搬送室10
4、クーリング室107、ロードロック室109、アン
ロードロック室110の部分は、カバーにより覆うこと
なく使用される。このような使用形態により、保守者
は、カバーを外す作業を行うことなく、装置の側に来れ
ば直ちにこれらの処理機構部の内部での状況を窓から目
視により確認することができる。
1 to 3 of the present invention described above with reference to FIGS.
In the third embodiment, the processing chamber 101 is exposed to the outside, but during the operation of the apparatus, inside the processing chamber 101,
Since a high magnetic field and a high voltage are used, and various gases are also used, the processing chamber 101 is preferably covered with a removable cover. However, the transfer chamber 10
4. The cooling chamber 107, the load lock chamber 109, and the unload lock chamber 110 are used without being covered with a cover. According to such a usage pattern, the maintenance person can visually confirm the situation inside these processing mechanism units from the window as soon as he comes to the apparatus without performing the work of removing the cover.

【0031】次に、前述で説明した本発明の各実施形態
における監視操作端末119の設置位置、ベース部兼作
業台118の内部の状況、ベース部兼作業台118の高
さ寸法、作業面の高さ寸法について説明する。
Next, the installation position of the monitoring operation terminal 119, the state inside the base unit / work table 118, the height dimension of the base unit / work table 118 and the work surface in each embodiment of the present invention described above. The height dimension will be described.

【0032】図4は監視操作端末119の設置位置につ
いて説明する図、図5は本発明の実施形態による装置を
複数台設置して運用する場合のメンテナンスエリア、監
視エリア等について説明する図、図6はベース部兼作業
台118の内部に収納される配管類の状況について説明
する図、図7はベース部兼作業台118の高さ寸法、作
業面高さ寸法について説明する図である。図4におい
て、121は通信ケーブル接続端子、122は電源ケー
ブル接続端子、123はガス供給機構部、124は排気
機構部、125は移動型テーブル、126は処理室カバ
ーである。
FIG. 4 is a diagram for explaining an installation position of the monitoring operation terminal 119, and FIG. 5 is a diagram for explaining a maintenance area, a monitoring area, and the like when a plurality of devices according to the embodiment of the present invention are installed and operated. 6 is a diagram for explaining the status of piping and the like housed inside the base unit / work table 118, and FIG. 7 is a diagram explaining the height dimension and work surface height size of the base unit / work table 118. 4, reference numeral 121 denotes a communication cable connection terminal, 122 denotes a power cable connection terminal, 123 denotes a gas supply mechanism, 124 denotes an exhaust mechanism, 125 denotes a movable table, and 126 denotes a processing chamber cover.

【0033】監視操作端末119の設置位置について説
明する図4に示す半導体基板処理装置は、前述におい
て、図3により説明した構成を有するもので、処理室1
01をカバー126により覆って構成され、また、処理
室101の近傍に設けられるガス供給機構部123、排
気機構部124が処理室101に隣接して設けられてい
る。そして、図4に示すように、監視操作端末119
は、図に〜として示す4つの位置に設置可能として
いる。
The semiconductor substrate processing apparatus shown in FIG. 4 for explaining the installation position of the monitoring operation terminal 119 has the configuration described above with reference to FIG.
Further, a gas supply mechanism 123 and an exhaust mechanism 124 provided near the processing chamber 101 are provided adjacent to the processing chamber 101. Then, as shown in FIG.
Can be installed at four positions shown as in FIG.

【0034】これらの位置に設置される監視操作端末1
19は、これらの全ての位置に常時設置されている必要
はなく、必要に応じて、それぞれの位置に設置されれば
よい。それぞれの設置位置には、図4(a)に示すよう
に、壁面に収納可能な棚120が設けられており、この
棚120を開いたとき、その壁面に設けられた通信ケー
ブル接続端子121と、電源ケーブル接続端子122と
が露出する。監視操作端末119は、LCD等の表示装
置を備えて薄型に構成されたもので、通信ケーブル及び
電源ケーブルを前述の端子121と122とに接続し
て、棚120の上に載置して使用することができる。そ
して、棚120は、壁面に収納されたとき、端子12
1、122に対するカバーとなる。
The monitoring operation terminal 1 installed at these positions
19 need not always be installed at all of these positions, and may be installed at each position as needed. As shown in FIG. 4A, a shelf 120 that can be stored on the wall is provided at each of the installation positions. When the shelf 120 is opened, a communication cable connection terminal 121 provided on the wall is provided. And the power cable connection terminal 122 is exposed. The monitoring operation terminal 119 is provided with a display device such as an LCD, and is configured to be thin. A communication cable and a power cable are connected to the terminals 121 and 122, and the monitoring operation terminal 119 is placed on a shelf 120 and used. can do. When the shelf 120 is stored on the wall, the terminal 12
1 and 122.

【0035】図4(b)のに示す監視操作端末119
の設置位置は、移載機113のロードポート114が設
けられる壁面であり、この位置に設置された監視操作端
末119は、主に、装置の新設等の立ち上げ時に、移載
機113内部のロボットアームの調整等を行う場合に使
用される。また、図4(b)、図4(c)の、に示
す監視操作端末119の設置位置は、装置側面の処理室
101の壁面であり、この位置に設置された監視操作端
末119は、主に、保守者による内部の監視、保守等を
行う場合に使用される。また、図4(c)のに示す監
視操作端末119の設置位置は、移載機113に対して
後側に配置される前述のガス供給機構部123を覆う壁
面であり、この位置に設置された監視操作端末119
は、主に、装置が通常に動作し、保守等が不要な場合の
通常の監視のために使用される。
The monitoring operation terminal 119 shown in FIG.
Is installed on the wall surface where the load port 114 of the transfer device 113 is provided, and the monitoring operation terminal 119 installed at this position is mainly used when the device is newly started up. Used to adjust the robot arm. 4B and 4C, the installation position of the monitoring operation terminal 119 is the wall surface of the processing room 101 on the side of the apparatus, and the monitoring operation terminal 119 installed at this position is mainly It is used when the maintenance person performs internal monitoring and maintenance. The installation position of the monitoring operation terminal 119 shown in FIG. 4C is a wall surface that covers the above-described gas supply mechanism unit 123 disposed on the rear side of the transfer machine 113, and is installed at this position. Monitoring operation terminal 119
Is mainly used for normal monitoring when the device operates normally and maintenance is not required.

【0036】前述では、装置の壁面に棚120を設け
て、その上に監視操作端末119を載置して使用すると
して説明したが、本発明は、図4(d)に示すように、
移動型テーブル125を用意しておき、これを任意の位
置に置いて、テーブル125の上に載置した監視操作端
末119の通信ケーブル、電源ケーブルを装置の壁面に
設けられる接続端子に接続して使用するようにすること
ができる。
In the above description, the shelf 120 is provided on the wall surface of the apparatus, and the monitoring operation terminal 119 is mounted on the shelf 120 for use. However, the present invention provides the following, as shown in FIG.
A mobile table 125 is prepared, placed at an arbitrary position, and a communication cable and a power cable of the monitoring operation terminal 119 placed on the table 125 are connected to connection terminals provided on a wall surface of the apparatus. Can be used.

【0037】図1〜図3に説明した本発明の実施形態に
よる半導体基板処理装置は、一般には、複数台設置して
運用される。図5はこのような場合におけるメンテナン
スエリア、監視エリア等について説明する図であり、次
に、図5を参照して、図4により説明したような監視操
作端末119の設置方法が有効なことを説明する。
The semiconductor substrate processing apparatus according to the embodiment of the present invention described with reference to FIGS. 1 to 3 is generally installed and operated in plural units. FIG. 5 is a diagram illustrating a maintenance area, a monitoring area, and the like in such a case. Next, with reference to FIG. 5, it will be understood that the method of installing the monitoring operation terminal 119 described with reference to FIG. 4 is effective. explain.

【0038】半導体基板処理装置を複数台設置して運用
する場合、図5に示すように、図5に示すように、複数
の半導体基板処理装置をメンテナンス用のスペースを空
けて並べて運用する。この場合、各半導体基板処理装置
のロードポート部114が設けられる側が搬送エリアと
して、未処理ウェハの搬入、処理済みのウェハの搬出の
ために使用される。そして、最近のように、処理すべき
ウェハの径が300mmφ等と大口径化されると、人手に
よるウェハキャリア115の持ち運びが困難となるの
で、未処理ウェハの搬入、処理済みのウェハの搬出は、
搬送ロボット等により行われる。このため、装置の運用
が開始されると、前述の搬送エリア内には、搬送ロボッ
トが行き交うこととなり、人が入るのは危険な場合もあ
る。
When a plurality of semiconductor substrate processing apparatuses are installed and operated, as shown in FIG. 5, a plurality of semiconductor substrate processing apparatuses are arranged side by side with a space for maintenance as shown in FIG. In this case, the side provided with the load port unit 114 of each semiconductor substrate processing apparatus is used as a transfer area for loading in unprocessed wafers and unloading processed wafers. When the diameter of a wafer to be processed is increased to 300 mmφ or the like as recently, it becomes difficult to carry the wafer carrier 115 by hand. Therefore, loading of an unprocessed wafer and unloading of a processed wafer are difficult. ,
This is performed by a transfer robot or the like. For this reason, when the operation of the apparatus is started, the transfer robot comes and goes in the above-described transfer area, and it may be dangerous for a person to enter the transfer area.

【0039】前述した理由により、このエリアに設置す
る前述したの位置に置く監視操作端末119は、装置
の新設等の立ち上げ時に、移載機113内部のロボット
アームの調整等を行う場合にのみ使用することができれ
ばよく、このようなとき以外に設置しておく必要はない
ことになる。
For the above-mentioned reason, the monitoring operation terminal 119 placed in the above-mentioned position, which is installed in this area, is used only when adjusting the robot arm inside the transfer machine 113 at the time of starting up a new apparatus or the like. It is only necessary to be able to use it, and there is no need to install it except at such times.

【0040】また、図5に示すように、装置相互間にメ
ンテナンスエリアが空けられ、装置の点検、保守等の作
業がこのエリアで行われることになる。このため、図4
により説明した、の位置に設置する監視操作端末1
19は、装置の点検、保守等の作業を行うときに有効な
ものである。そして、メンテナンスエリアへの保守者の
立入りは、それほど頻繁に行われるものではないため、
必要なときに、、の位置に監視操作端末119を設
置すればよく、あるいは、移動型テーブル125に載せ
てメンテナンスエリアに持ち込めばよい。
Further, as shown in FIG. 5, a maintenance area is provided between the apparatuses, and work such as inspection and maintenance of the apparatus is performed in this area. For this reason, FIG.
Monitoring operation terminal 1 installed at the position
Reference numeral 19 is effective when performing work such as inspection and maintenance of the apparatus. And since maintenance personnel do not enter the maintenance area very often,
When necessary, the monitoring operation terminal 119 may be installed at the position, or may be placed on the movable table 125 and brought into the maintenance area.

【0041】そして、複数並置した半導体基板処理装置
の各移載機113とは反対側、すなわち、ガス供給機構
部123側が通常の操作監視エリアとなり、このエリア
から通常時の操作、監視が行われる。このため、図4に
より説明したの位置に設置する監視操作端末119
は、常時設置された状態として使用される。
The opposite side of each transfer device 113 of the plurality of semiconductor substrate processing apparatuses arranged side by side, that is, the side of the gas supply mechanism 123 becomes a normal operation monitoring area, and normal operation and monitoring are performed from this area. . For this reason, the monitoring operation terminal 119 installed at the position described with reference to FIG.
Is used as a constantly installed state.

【0042】次に、図6を参照して、ベース部兼作業台
118の内部の状況について説明する。
Next, referring to FIG. 6, the state of the inside of the base and work table 118 will be described.

【0043】すでに説明したように、ベース部兼作業台
118は、床下から装置に対して導かれる各種のガスの
配管、冷却水配管、電気配線等の保護部をも兼ねるもの
であり、図6にその概略を示している。これらの配管、
配線は、ベース部兼作業台118の下部の床面から立ち
上げられて、ベース部兼作業台118の下部の空間から
装置の内部に導かれる。導入されるガスとしては、DA
(ドライエア)、N2(窒素)、PN2(pure窒
素)、デポガス、クリーニングガス等がある。また、排
気としては、処理室からのCVD(可燃)排気等があ
り、また、液体としては、冷却水等がある。
As described above, the base / work table 118 also serves as a protection section for various gas pipes, cooling water pipes, electric wiring, etc., which are guided from below the floor to the apparatus. The outline is shown in FIG. These plumbing,
The wiring rises from the floor below the base unit and work table 118 and is led into the apparatus from the space below the base unit and work table 118. The gas to be introduced is DA
(Dry air), N2 (nitrogen), PN2 (pure nitrogen), deposition gas, cleaning gas and the like. The exhaust includes CVD (combustible) exhaust from the processing chamber, and the liquid includes cooling water.

【0044】次に、図7を参照して、ベース部兼作業台
118の高さ寸法、作業面高さ寸法について説明する。
Next, with reference to FIG. 7, a description will be given of the height dimension of the base portion and work table 118 and the work surface height dimension.

【0045】ベース部兼作業台118は、保守者が乗っ
て作業をする台であるため、作業中に安定して台上を移
動することができるだけの奥行き寸法が必要であり、こ
のことを考慮し、また、できるだけの省スペース化を図
ることをも考慮すると、奥行き寸法は、図7(a)に示
すように、300mm〜450mmとするのが適当である。
また、装置内部でのウェハの搬送高さ寸法が、図7
(b)に示すように、一般に、床面より1100mm、搬
送室104等の上面までの高さ寸法が1200mmと定め
られているので、このことを考慮した作業のし易さ、及
び、ベース部兼作業台118の下部に床下から装置に対
して導かれる各種のガスの配管、冷却水配管等が配置さ
れることを考慮して、ベース部兼作業台118の高さ寸
法は、床面より300mmとした。これにより、保守者が
ベース部兼作業台118の上に乗ったとき、搬送室10
4等の上面が保守者のほぼ腰の位置となり、保守者は、
楽な姿勢で作業を容易に行うことができる。
Since the base unit and work table 118 is a table on which a maintenance person rides and performs work, it is necessary to have a depth dimension that allows stable movement on the table during work. In consideration of saving space as much as possible, it is appropriate that the depth dimension is 300 mm to 450 mm as shown in FIG.
Also, the wafer transfer height dimension inside the apparatus is shown in FIG.
As shown in (b), generally, the height dimension from the floor surface is set to 1100 mm and the height from the top surface of the transfer chamber 104 and the like to 1200 mm. Taking into account that various gas pipes, cooling water pipes, and the like guided to the apparatus from under the floor are disposed below the work table 118, the height of the base section and work table 118 is set higher than the floor surface. It was 300 mm. Thus, when the maintenance person gets on the base / work table 118, the transfer chamber 10
The upper surface of 4 etc. is almost at the waist position of the maintenance person.
Work can be easily performed in a comfortable posture.

【0046】さらに、前述した装置の各箇所に設置する
監視操作端末119は、図7(c)に示すように、その
表示画面の上端が床面からおよそ1370mmとなるよう
に設置される。この寸法は、平均的な身長を有する保守
者の肩の高さであり、これにより、保守者が、視線を僅
かに下げるだけで表示画面を容易に見ることができ、ま
た、タッチパネル式に構成される表示画面上を指で操作
しやすいものとすることができる。
Further, as shown in FIG. 7 (c), the monitoring operation terminal 119 installed at each part of the above-mentioned apparatus is installed such that the upper end of the display screen is about 1370 mm from the floor surface. This dimension is the height of the shoulder of a maintenance person having an average height, so that the maintenance person can easily see the display screen by slightly lowering his / her gaze, and also has a touch panel structure. It is possible to make it easy to operate the displayed screen on the display screen with a finger.

【0047】図8、図9は図3により説明した片側にの
みベース部兼作業台118を設けて構成した半導体基板
処理装置の2台を左右対称に構成し、ミラー配置として
設置した構成例を説明する図である。図8、図9におけ
る(a)、(b)、(c)のそれぞれは、平面図、移載
機側から見た斜視図、処理室側から見た斜視図である。
なお、半導体基板処理装置1台の構成は、図3の場合と
同一であるので、図面に符号を付与していない。
FIGS. 8 and 9 show an example of a configuration in which two semiconductor substrate processing apparatuses, each having the base unit and work table 118 provided on only one side described with reference to FIG. FIG. 8 (a), 9 (b) and 9 (c) are a plan view, a perspective view as seen from the transfer machine side, and a perspective view as seen from the processing chamber side.
Note that the configuration of one semiconductor substrate processing apparatus is the same as that in FIG.

【0048】図8に示す例は、図3に示す移載機113
から処理室101までの全てを含む半導体基板処理装置
を、左右対称な形態に構成し、左右対称な形態に構成し
た半導体基板処理装置の2台をベース部兼作業台118
の側が外側となるように背中合わせとなるように配置し
て使用するようにしたものである。図3に示した半導体
基板処理装置は、片側にのみベース部兼作業台118が
設けられているので、これを左右対称に構成してミラー
配置とした場合、図8に示すように、背中合わせとなる
部分に空間を設けることなく配置することができるの
で、設置スペースを小さくすることが可能である。
The example shown in FIG. 8 corresponds to the transfer machine 113 shown in FIG.
Of the semiconductor substrate processing apparatus including everything from the processing chamber 101 to the processing chamber 101 is formed in a symmetrical form, and two of the semiconductor substrate processing apparatuses formed in a symmetrical form are used as a base unit and work table 118.
Are arranged and used so as to be back-to-back so that the side is outside. In the semiconductor substrate processing apparatus shown in FIG. 3, the base / work table 118 is provided only on one side. Therefore, when this is configured symmetrically and arranged in a mirror, as shown in FIG. Since the arrangement can be performed without providing a space in the portion, the installation space can be reduced.

【0049】また、図8に示すように2台の半導体基板
処理装置をミラー配置して構成したものを図5により説
明したと同様な形式で複数対を併設した場合、図5に示
すように、1台のづつ相互に離して設置してメンテナン
スエリアを設ける場合に比較して、メンテナンスエリア
の面積を全体として小さくすることができ、より省スペ
ース化を図ることができる。
When two semiconductor substrate processing apparatuses are arranged in a mirror arrangement as shown in FIG. 8 and a plurality of pairs are juxtaposed in the same manner as described with reference to FIG. 5, as shown in FIG. Compared with a case where a maintenance area is provided by installing the maintenance areas separately from each other, the area of the maintenance area can be reduced as a whole, and more space can be saved.

【0050】図8に示した例は、移載機113を含む半
導体基板処理装置の全ての構成を対として2台並置した
ので、移載機に取り付けられるロードポート部もそれぞ
れ2台の計4台が備えられ、監視操作端末119の設置
位置も2か所に設けられる構成とになるが、本発明は、
図9に示すように、移載機113を除いた2台の半導体
基板処理装置に共通に1台の移載機113を設けて対と
して構成することもできる。
In the example shown in FIG. 8, all the components of the semiconductor substrate processing apparatus including the transfer device 113 are arranged in a pair, and two load ports are attached to the transfer device. Although a table is provided and the installation position of the monitoring operation terminal 119 is provided in two places, the present invention
As shown in FIG. 9, one transfer device 113 may be provided in common to two semiconductor substrate processing apparatuses except for the transfer device 113 to form a pair.

【0051】図9に示すような構成は、ウェハに対する
処理を行う処理室101での処理の内容が、1回の処理
に長時間を要するものである場合等で、ウェハの搬入頻
度が比較的少なくてもよい場合に使用して好適であり、
経済的な構成である。すなわち、図9に示す例における
移載機113は、3台のロードポート部114が設けら
れ、監視操作端末119の設置位置を1か所として構成
したものである。
The configuration as shown in FIG. 9 has a relatively low wafer loading frequency when the processing in the processing chamber 101 for processing a wafer requires a long time for one processing. It is suitable for use when less is required,
Economic configuration. That is, the transfer machine 113 in the example shown in FIG. 9 is provided with three load port units 114, and is configured such that the monitoring operation terminal 119 is installed at one location.

【0052】このような、図9に示す配置の場合にも、
図8により説明した場合と同様な効果を得ることがで
き、しかも、全体を経済的に構成することができる。
In the case of the arrangement shown in FIG.
The same effects as those described with reference to FIG. 8 can be obtained, and the whole can be economically constructed.

【0053】図10は本発明の実施形態による半導体基
板処理装置の制御系の構成を示すブロック図である。図
10において、201は制御部、202は操作表示部、
203はセンサ、204は排気ユニット、205はガス
ユニット、206は冷却ユニット、207は搬送ユニッ
ト、208は電源部、209はインタフェース部であ
る。
FIG. 10 is a block diagram showing a configuration of a control system of the semiconductor substrate processing apparatus according to the embodiment of the present invention. In FIG. 10, 201 is a control unit, 202 is an operation display unit,
203 is a sensor, 204 is an exhaust unit, 205 is a gas unit, 206 is a cooling unit, 207 is a transport unit, 208 is a power supply unit, and 209 is an interface unit.

【0054】図1〜図7では、本発明の実施形態による
半導体基板処理装置の外形形状について説明したが、次
に、図10を参照して、制御系の構成について説明す
る。
FIGS. 1 to 7 illustrate the external shape of the semiconductor substrate processing apparatus according to the embodiment of the present invention. Next, the configuration of the control system will be described with reference to FIG.

【0055】図10に示すように、本発明の実施形態に
よる半導体基板処理装置の制御系は、装置全体の制御を
行う制御部201、操作表示部202、装置を構成する
各ユニットに設けられる各種のセンサ203、排気ユニ
ット204、ガスユニット205、冷却ユニット20
6、搬送ユニット207、電源部208、インタフェー
ス部209を備えて構成される。
As shown in FIG. 10, the control system of the semiconductor substrate processing apparatus according to the embodiment of the present invention includes a control unit 201 for controlling the entire apparatus, an operation display unit 202, and various units provided in each unit constituting the apparatus. Sensor 203, exhaust unit 204, gas unit 205, cooling unit 20
6, a transport unit 207, a power supply unit 208, and an interface unit 209.

【0056】操作表示部202は、前述で説明した監視
操作端末119を構成する表示部であり、タッチパネル
式に構成され、保守者からの指示等が入力されたとき、
その情報を制御部に転送する。センサ203は、排気ユ
ニット204、ガスユニット205、冷却ユニット20
6、搬送ユニット207、電源部208に設けられるも
ので、その種類として、例えば、温度センサ、ガス、冷
却水の流量センサ、ガス漏洩センサ、圧力センサ、差圧
センサ、漏電センサ、排気圧センサ等が設けられる。
The operation display unit 202 is a display unit that constitutes the monitoring operation terminal 119 described above, and is configured as a touch panel, and when an instruction or the like from a maintenance person is input,
The information is transferred to the control unit. The sensor 203 includes an exhaust unit 204, a gas unit 205, and a cooling unit 20.
6. Provided in the transport unit 207 and the power supply unit 208, and include, for example, a temperature sensor, a gas, a flow rate sensor of a cooling water, a gas leak sensor, a pressure sensor, a differential pressure sensor, a leak sensor, an exhaust pressure sensor, and the like. Is provided.

【0057】排気ユニット204は、図4に示した排気
機構部124に相当するものであり、図4に示すよう
に、床面上に設置されてもよく、また、床下に配置され
てもよい。そして、排気ユニット204は、処理室10
1、搬送室104、ロードロック室109、アンロード
ロック室101の排気を行うユニットである。また、排
気ユニット204は、前述の各部の排気を1台で行うよ
うに構成されてもよく、複数備えて排気を行う部所を分
担するように構成されてもよい。
The exhaust unit 204 corresponds to the exhaust mechanism 124 shown in FIG. 4. As shown in FIG. 4, the exhaust unit 204 may be installed on the floor or below the floor. . Then, the exhaust unit 204 is connected to the processing chamber 10.
1. A unit for exhausting the transfer chamber 104, the load lock chamber 109, and the unload lock chamber 101. In addition, the exhaust unit 204 may be configured to perform the exhaust of each of the above-described units by one unit, or may be configured to include a plurality of units and share a unit that performs the exhaust.

【0058】ガスユニット205は、図4に示したガス
供給機構部123に相当するものであり、処理室に隣接
して設置され、処理室101に導入される各種のガスに
対する導入量、導入タイミング等の制御を行う。これら
の制御は、制御部201からの指示に従って実行され
る。冷却ユニット206は、処理室101を構成する各
種の高温となる機構部の冷却を制御するユニットであ
り、冷却水の流量制御を行う。
The gas unit 205 corresponds to the gas supply mechanism 123 shown in FIG. 4 and is installed adjacent to the processing chamber, and the amount and timing of various gases introduced into the processing chamber 101. And so on. These controls are executed in accordance with an instruction from the control unit 201. The cooling unit 206 is a unit that controls the cooling of various high-temperature mechanisms constituting the processing chamber 101 and controls the flow rate of the cooling water.

【0059】搬送ユニット207は、搬送室104、ロ
ードロック室109、アンロードロック室101、移載
機113内での搬送ロボットによるウェハの搬送を行う
機構であり、制御部201からの指示により制御され
る。電源部208は、装置を構成する前述の各種のユニ
ット、機構部等に対して必要な電力を供給する。また、
インタフェース部209は、離れた場所等に設置される
監視操作端末119との通信回線を介した接続を制御
し、あるいは、制御部201が複数台設置された他の半
導体基板処理装置の制御部との間での連係をとるための
情報の授受を制御する。
The transfer unit 207 is a mechanism for transferring a wafer by a transfer robot in the transfer chamber 104, the load lock chamber 109, the unload lock chamber 101, and the transfer device 113, and is controlled by an instruction from the control unit 201. Is done. The power supply unit 208 supplies necessary power to the above-described various units, mechanism units, and the like that constitute the apparatus. Also,
The interface unit 209 controls connection via a communication line to a monitoring operation terminal 119 installed at a remote place or the like, or controls the connection with a control unit of another semiconductor substrate processing apparatus in which a plurality of control units 201 are installed. Controls the transfer of information for coordinating between.

【0060】制御部201は、装置全体の制御を行うも
のであり、内部メモリ等に格納された処理のスケジュー
ルに従って、あるいは、操作表示部202のタッチパネ
ルから入力される保守者の指示に従って、前述した各ユ
ニット等を制御する。また、センサ203から検出信号
をチェックし、何処かに異常が生じた場合、その障害の
発生を警報音により発報し、障害の内容を操作表示部2
02に表示する。装置全体が、正常に動作している場
合、本発明の実施形態による半導体基板処理装置は、前
述したような制御部201の動作により、ほとんど保守
者の手を必要とすることなく処理動作を続けることがで
きる。
The control unit 201 controls the entire apparatus, and according to a process schedule stored in an internal memory or the like, or according to a maintenance person's instruction input from the touch panel of the operation display unit 202, as described above. It controls each unit. Also, the detection signal from the sensor 203 is checked, and if any abnormality occurs, the occurrence of the failure is notified by an alarm sound, and the content of the failure is displayed on the operation display unit 2.
02 is displayed. When the entire apparatus is operating normally, the semiconductor substrate processing apparatus according to the embodiment of the present invention continues the processing operation with almost no need for maintenance personnel by the operation of the control unit 201 as described above. be able to.

【0061】図11は前述までに説明した実施形態によ
る半導体基板処理装置の各処理機構部のそれぞれに対応
させて異なる色の色彩を対応させ、装置を外部から見た
場合に、その機構部の名称、機能を色彩により判別でき
るようにした構成を説明する図、図12は装置の色彩に
対応させて表示画面上でも機構部を色彩により識別可能
とした表示画面例を示す図、図13は装置の何処かに障
害が発生した場合の障害の内容を装置の色彩に対応させ
て表示画面上に表示した表示画面例を示す図である。
FIG. 11 is a schematic diagram showing a semiconductor substrate processing apparatus according to the above-described embodiment, in which different processing colors are assigned to the respective processing mechanisms of the semiconductor substrate processing apparatus. FIG. 12 is a diagram illustrating a configuration in which names and functions can be distinguished by color. FIG. 12 is a diagram illustrating an example of a display screen in which a mechanism unit can be identified by color on a display screen in correspondence with the color of the device. FIG. 11 is a diagram illustrating an example of a display screen in which, when a failure occurs somewhere in the apparatus, the content of the failure is displayed on the display screen in correspondence with the color of the apparatus.

【0062】装置を構成する各処理機構部を色分けして
おき、表示画面に表示される装置構成を示す各処理機構
部にも同一の色分けを行って表示すると共に、さらに、
障害が発生した場合の障害の内容を装置の色彩に対応さ
せて表示画面上に表示するようにすると、保守者に、誤
りのない確実な装置の保守点検等を行わせることが可能
になる。図11〜図13に示す例は、このようなことを
考慮し色分けについて説明するものであり、以下、これ
らについて説明する。
Each processing mechanism constituting the apparatus is color-coded, and each processing mechanism showing the apparatus configuration displayed on the display screen is also displayed with the same color coding.
If the content of the fault is displayed on the display screen in correspondence with the color of the device when a fault occurs, it is possible to cause a maintenance person to perform a reliable maintenance inspection of the device without errors. The examples shown in FIG. 11 to FIG. 13 are for explaining the color classification in consideration of the above, and will be described below.

【0063】図11に示す例は、図3により説明した例
の半導体基板処理装置を色分けした例を説明するもの
で、図示例では、帯状に示す異なる形状の網掛けにより
異なる色(色彩)を表現している。図11に示す例は、
半導体基板処理装置を、移載機113とロードポート1
14の部分(これらは大気圧の状態で使用される)を示
す色、搬送室104、ロードロック室109及びアンロ
ードロック室101の部分(これらは、真空状態あるい
は真空状態と大気圧状態とに交互に制御されて使用され
る)を示す色、処理室101の部分(ウェハの処理を行
う)を示す色、ガス供給機構部123の部分を示す色の
4つの色により、これらの部分が目視により外部から一
目で判るように色分けした例である。実際にこれらに割
り当てる色は、任意に選択することができるが、4色の
場合、例えば、赤、黄、青、緑等による組み合わせであ
り、保守点検の重要度に応じて、あるいは、危険度の大
きさに応じて色を割り振ればよい。なお、図11に示す
例には、移載機113の側面に非常停止ボタンが設けら
れることが示されており、このボタンは、その周囲、ま
たは、ボタン自身が赤等の目立つ色に着色される。
The example shown in FIG. 11 describes an example in which the semiconductor substrate processing apparatus of the example described with reference to FIG. 3 is color-coded. In the illustrated example, different colors (colors) are hatched in different shapes shown in a band shape. expressing. The example shown in FIG.
The transfer apparatus 113 and the load port 1
Colors indicating portions 14 (these are used at atmospheric pressure), portions of the transfer chamber 104, the load lock chamber 109, and the unload lock chamber 101 (these are in a vacuum state or in a vacuum state and an atmospheric pressure state). These colors are visually observed in four colors: a color that indicates a portion of the processing chamber 101 (used for processing the wafer) and a color that indicates the portion of the gas supply mechanism 123. This is an example in which colors are distinguished so that they can be seen at a glance from the outside. The colors actually assigned to these can be arbitrarily selected, but in the case of four colors, for example, a combination of red, yellow, blue, green, etc., depending on the importance of maintenance and inspection, or the degree of danger Colors may be assigned according to the size of the image. Note that the example shown in FIG. 11 shows that an emergency stop button is provided on the side surface of the transfer machine 113, and this button is colored around or around the button itself in a conspicuous color such as red. You.

【0064】図11に示す例では、各色は、帯状に対応
する部分の見易い高さの位置(保守者の目の高さよりや
や低い位置)に与えられているが、その色のテープをそ
の部位に貼る、塗料により着色する等であってよい。ま
た、帯状でなく、丸、三角等の形状でであっても、スト
ライプ状に処理機能部の全体に施すものであってもよ
い。さらに、その処理機能部の全体を着色してしまって
もよい。また、図11に示す例は、搬送室104、ロー
ドロック室109及びアンロードロック室101につい
て、内部を目視により監視するための透明窓の周囲に色
を配しているが、このようにすることにより、保守者
は、作業時に直ちに透明窓の位置を知り、内部の監視を
行うことができる。この部位の色は、透明窓の周囲では
なく、この部分を覆う筐体の側面に他の部分と同様に付
けられてもよい。
In the example shown in FIG. 11, each color is given at a position of a legible portion corresponding to the band shape (a position slightly lower than the height of the eyes of the maintainer). Or colored with a paint. Further, the processing function unit may be formed in a shape of a circle, a triangle, or the like instead of a band shape, or may be formed on the entire processing function unit in a stripe shape. Further, the entire processing function unit may be colored. Further, in the example shown in FIG. 11, the transfer chamber 104, the load lock chamber 109, and the unload lock chamber 101 are colored around transparent windows for visually monitoring the inside. Thus, the maintenance person can immediately know the position of the transparent window at the time of work and monitor the inside. The color of this part may be attached to the side surface of the housing covering this part, not to the periphery of the transparent window, as in the other parts.

【0065】前述の例は、半導体基板処理装置を構成す
る複数の処理機能部を4つに群分けして各群に色を割り
振ったが、群分けの数すなわち色分けの数は、4つに限
らず、これより少なくてもよく、また、多くてもよい。
多くする場合、各処理機能部対応に異なる色を振り分け
れるとよい。
In the above-described example, the plurality of processing function units constituting the semiconductor substrate processing apparatus are divided into four groups, and colors are allocated to each group. However, the number of groups, that is, the number of color divisions is four. The present invention is not limited to this, and may be less or more.
In many cases, different colors may be assigned to the respective processing function units.

【0066】装置の保守を行う場合、すでに説明したよ
うに、保守者は、監視操作端末119の表示画面を見な
がら行う場合が多い。表示画面の中に、例えば、装置の
構成図が表示される場合、表示画面上においても、図1
1で説明したように装置に色分けがなされて表示され、
しかも、その色分けが実際の装置の色分けと同一に行わ
れると、保守者は、監視操作端末119の表示画面を見
ながら行う保守点検を誤りなく確実に行うことができ
る。図12に示す画面例は、装置全体の断面を表示し、
その構成要素の部分を実際の装置の同一に色分けして表
示した例である。
When the maintenance of the apparatus is performed, as described above, the maintenance person often performs the maintenance while looking at the display screen of the monitoring operation terminal 119. When, for example, a configuration diagram of the device is displayed on the display screen, the display screen shown in FIG.
As described in 1, the device is displayed with color coding,
Moreover, if the color coding is performed in the same manner as the color coding of the actual device, the maintenance person can surely perform the maintenance inspection while viewing the display screen of the monitoring operation terminal 119 without error. The screen example shown in FIG. 12 displays a cross section of the entire device,
This is an example in which the components are displayed in the same color as the actual device.

【0067】図12に示す例では、排気系が床下に設け
られており、この部分の色をガス供給系と同一の色とし
ている。また、この例では、構成要素の部分の全体を色
付けして表示している。
In the example shown in FIG. 12, an exhaust system is provided under the floor, and the color of this portion is the same as that of the gas supply system. Further, in this example, the whole of the component part is colored and displayed.

【0068】なお、図12には、装置全体の断面を表示
している表示エリアの周囲に、アイコンによるソフトボ
タン等が多数配されている、これらは、装置の制御等の
ために必要なもので、これらの操作により、必要に指示
を装置に与えることができ、また、表示画面上に他の情
報を表示させることができる。図12の中に示している
「ロードロックチャンバー/トランスファーチャンバ
ー」は、ロードロック室109、アンロードロック室1
10及び搬送室104であり、また、「プロセスチャ
ンバー」は処理室101のことである。
In FIG. 12, a large number of soft buttons and the like are arranged around a display area displaying a cross section of the entire apparatus. These are necessary for controlling the apparatus. By these operations, instructions can be given to the device as necessary, and other information can be displayed on the display screen. The “load lock chamber / transfer chamber” shown in FIG.
10 and the transfer chamber 104, and the “process chamber” refers to the processing chamber 101.

【0069】装置に何等かの障害が起きている場合、図
12に示す構成要素を示す色を点滅させる等により、障
害の発生とその部位とを保守者に知らせるようにするこ
とができる。そして、保守者が、図12の例に示す「エ
ラーリスト」のボタンに触れることにより、例えば、図
13に示すようなエラーメッセージリストを表示させる
ことができる。
If any trouble has occurred in the apparatus, the occurrence of the trouble and the location thereof can be notified to the maintenance person by blinking the colors indicating the components shown in FIG. Then, by touching the “error list” button shown in the example of FIG. 12 by the maintenance person, for example, an error message list as shown in FIG. 13 can be displayed.

【0070】エラーメッセージは、エラーの種別を表す
エラーコード、エラーの重要度を数字により表すエラー
レベル、エラーの内容を示すメッセージからなる。そし
て、図13に示す例では、エラーレベルの表示欄が、そ
のエラーが生じている構成要素に対応する前述した色と
されている。これにより、保守者は、エラーレベルの表
示欄により、直ちに、障害が生じている部位、障害の大
きさの程度を知ることができる。
The error message includes an error code indicating the type of the error, an error level indicating the importance of the error by a numeral, and a message indicating the content of the error. Then, in the example shown in FIG. 13, the error level display column has the above-described color corresponding to the component in which the error has occurred. Thus, the maintenance person can immediately know the location of the failure and the magnitude of the failure from the error level display column.

【0071】前述では色分けを4色としたが、本発明
は、さらに多くの色を使用して色分けすることが可能で
ある。但し、保守者である人が一目で複数の色の違いを
認識可能な色数はそれほど多いものではなく、色分けが
多過ぎると保守者を混乱させることにもなり、色分けを
ある程度以上多くすることは得策ではない。
In the above description, four colors are used, but in the present invention, it is possible to use more colors to perform color coding. However, the number of colors at which a person who is a maintenance person can recognize the difference between a plurality of colors at a glance is not so large.If the number of colors is too large, the maintenance person may be confused. Is not a good idea.

【0072】図14は装置の色彩に対応させて表示画面
上でも機構部を色彩により識別可能とした表示画面の他
の例を示す図、図15は装置の何処かに障害が発生した
場合の障害の内容を装置の色彩に対応させて表示画面上
に表示した表示画面の他の例を示す図であり、色分けを
7色とした例で、各処理機能部毎に色を割り振った例で
ある。
FIG. 14 is a view showing another example of a display screen in which the mechanism can be identified by color on the display screen in correspondence with the color of the apparatus. FIG. 15 shows a case in which a failure occurs somewhere in the apparatus. FIG. 14 is a diagram showing another example of the display screen in which the content of the failure is displayed on the display screen in correspondence with the color of the device, in which the colors are divided into seven colors, and the colors are assigned to each processing function unit. is there.

【0073】図14に示す画面の表示例から判るよう
に、この例では、ロードポート部114、移載機1
13、ロードロック室109及びアンロードロック室
110、搬送室104、処理室101、ガス供給
機構部123、排気機構部124の7つのそれぞれに
異なる色を与えて区別できるようにしている。ここでの
例の説明では、装置そのものに対して行う色分けの図を
示していないが、実際の装置に対しても、図11の場合
と同様な色分けが行われる。
As can be seen from the display example of the screen shown in FIG. 14, in this example, the load port unit 114 and the transfer machine 1
13, the load lock chamber 109 and the unload lock chamber 110, the transfer chamber 104, the processing chamber 101, the gas supply mechanism 123, and the exhaust mechanism 124 are given different colors so that they can be distinguished from each other. Although the illustration of the example here does not show a diagram of the color coding performed on the device itself, the same color coding as in the case of FIG. 11 is performed on the actual device.

【0074】図15に示す表示画面の例は、エラーの内
容を表示する欄が、エラーが生じている構成要素に対応
する色とされて、7つの色によりエラーが生じている構
成要素を知らせるようにしている点以外、4色で分けた
例のエラーメッセージの場合の図13に示す場合と同様
である。
In the example of the display screen shown in FIG. 15, the column for displaying the content of the error is set to the color corresponding to the component in which the error has occurred, and the component in which the error has occurred is notified by seven colors. Except for this, it is the same as the case shown in FIG. 13 in the case of the error message of the example divided into four colors.

【0075】[0075]

【発明の効果】以上説明したように本発明によれば、作
業者が容易に装置内部における状況を素早くしかも確実
に目視により知ることができ、故障の発生に際しても、
作業者が迅速に容易に対応することを可能とすることが
できる。また、装置を複数設置して運用する場合の設置
スペースを効率的に使用することができる。
As described above, according to the present invention, a worker can easily and quickly know the situation inside the apparatus quickly and reliably.
It is possible for the operator to respond quickly and easily. In addition, the installation space when a plurality of devices are installed and operated can be efficiently used.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の第1の実施形態による半導体基板処理
装置の構成例を説明する図である。
FIG. 1 is a diagram illustrating a configuration example of a semiconductor substrate processing apparatus according to a first embodiment of the present invention.

【図2】本発明の第2の実施形態による半導体基板処理
装置の構成例を説明する図である。
FIG. 2 is a diagram illustrating a configuration example of a semiconductor substrate processing apparatus according to a second embodiment of the present invention.

【図3】本発明の第3の実施形態による半導体基板処理
装置の構成例を説明する図である。
FIG. 3 is a diagram illustrating a configuration example of a semiconductor substrate processing apparatus according to a third embodiment of the present invention.

【図4】監視操作端末の設置位置について説明する図で
ある。
FIG. 4 is a diagram illustrating an installation position of a monitoring operation terminal.

【図5】本発明の実施形態による装置を複数台設置して
運用する場合のメンテナンスエリア、監視エリア等につ
いて説明する図である。
FIG. 5 is a diagram illustrating a maintenance area, a monitoring area, and the like when a plurality of apparatuses according to the embodiment of the present invention are installed and operated.

【図6】ベース部兼作業台の内部に収納される配管類の
状況について説明する図である。
FIG. 6 is a view for explaining the status of piping and the like housed inside a base unit and a work table.

【図7】ベース部兼作業台118の高さ寸法、作業面高
さ寸法について説明する図である。
FIG. 7 is a view for explaining a height dimension and a work surface height dimension of the base unit and work table 118;

【図8】片側にのみベース部兼作業台を設けて構成した
半導体基板処理装置の2台を左右対称に構成し、ミラー
配置として設置した構成例を説明する図である。
FIG. 8 is a diagram illustrating an example of a configuration in which two semiconductor substrate processing apparatuses configured by providing a base unit and a work table only on one side are configured symmetrically and installed in a mirror arrangement.

【図9】片側にのみベース部兼作業台を設けて構成した
半導体基板処理装置の2台を左右対称に構成し、ミラー
配置として設置した他の構成例を説明する図である。
FIG. 9 is a diagram illustrating another configuration example in which two semiconductor substrate processing apparatuses configured by providing a base unit and a work table only on one side are configured bilaterally symmetrically and installed in a mirror arrangement.

【図10】本発明の実施形態による半導体基板処理装置
の制御系の構成を示すブロック図である。
FIG. 10 is a block diagram showing a configuration of a control system of the semiconductor substrate processing apparatus according to the embodiment of the present invention.

【図11】半導体基板処理装置の各処理機構部のそれぞ
れに対応させて異なる色の色彩を対応させたた構成を説
明する図である。
FIG. 11 is a diagram illustrating a configuration in which different colors are associated with the respective processing mechanisms of the semiconductor substrate processing apparatus.

【図12】装置の色彩に対応させて表示画面上でも機構
部を色彩により識別可能とした表示画面例を示す図であ
る。
FIG. 12 is a diagram illustrating an example of a display screen in which a mechanism unit can be identified by color on a display screen in correspondence with the color of the apparatus.

【図13】装置の何処かに障害が発生した場合の障害の
内容を装置の色彩に対応させて表示画面上に表示した表
示画面例を示す図である。
FIG. 13 is a diagram illustrating an example of a display screen in which, when a failure occurs somewhere in the apparatus, the content of the failure is displayed on the display screen in correspondence with the color of the apparatus.

【図14】装置の色彩に対応させて表示画面上でも機構
部を色彩により識別可能とした表示画面の他の例を示す
図である。
FIG. 14 is a diagram showing another example of the display screen in which the mechanism unit can be identified by color on the display screen in correspondence with the color of the device.

【図15】装置の何処かに障害が発生した場合の障害の
内容を装置の色彩に対応させて表示画面上に表示した表
示画面の他の例を示す図である。
FIG. 15 is a diagram illustrating another example of a display screen in which, when a failure occurs in any part of the apparatus, the content of the failure is displayed on the display screen in correspondence with the color of the apparatus.

【符号の説明】[Explanation of symbols]

101 処理室 102、105 ふた 106、108、111、111’、112、112’
透明窓 104 搬送室 107 クーリング室 109 ロードロック室 110 アンロードロック室 113 移載機 114 ロードポート部 115 ウェハキャリア 116 移載機側面窓 117 移載機背面窓 118 ベース部兼作業台 119 監視操作用端末 120 棚 121 通信ケーブル接続端子 122 電源ケーブル接続端子 123 ガス供給機構部 124 排気機構部 125 移動型テーブル 126 処理室カバー 201 制御部 202 操作表示部 203 センサ 204 排気ユニット 205 ガスユニット 206 冷却ユニット 207 搬送ユニット 208 電源部 209 インタフェース部
101 processing chamber 102, 105 lid 106, 108, 111, 111 ', 112, 112'
Transparent window 104 Transfer room 107 Cooling room 109 Load lock room 110 Unload lock room 113 Transfer machine 114 Load port section 115 Wafer carrier 116 Transfer machine side window 117 Transfer machine rear window 118 Base and work table 119 For monitoring operation Terminal 120 Shelf 121 Communication cable connection terminal 122 Power cable connection terminal 123 Gas supply mechanism section 124 Exhaust mechanism section 125 Mobile table 126 Processing chamber cover 201 Control section 202 Operation display section 203 Sensor 204 Exhaust unit 205 Gas unit 206 Cooling unit 207 Transport Unit 208 Power supply unit 209 Interface unit

───────────────────────────────────────────────────── フロントページの続き (72)発明者 堀内 康志 東京都小平市上水本町五丁目20番1号 株 式会社日立製作所半導体グループ内 (72)発明者 内野 敏幸 東京都小平市上水本町五丁目20番1号 株 式会社日立製作所半導体グループ内 Fターム(参考) 5F004 AA16 BC05 BC06 BC08 BD01 BD04 BD05 CB01 5F031 MA03 NA02 NA10 PA04 5F045 AA08 BB20 EB02 EB05 EB08 EB11 EC03 EN01 EN04 EN06 ──────────────────────────────────────────────────続 き Continuing on the front page (72) Inventor Yasushi Horiuchi 5-2-1, Josuihonmachi, Kodaira-shi, Tokyo Within the Semiconductor Group, Hitachi, Ltd. No. 20-1 F-term in Hitachi Semiconductor Co., Ltd. F-term (Reference) 5F004 AA16 BC05 BC06 BC08 BD01 BD04 BD05 CB01 5F031 MA03 NA02 NA10 PA04 5F045 AA08 BB20 EB02 EB05 EB08 EB11 EC03 EN01 EN04 EN06

Claims (11)

【特許請求の範囲】[Claims] 【請求項1】 半導体基板上に半導体装置を形成する半
導体基板の処理を行う半導体基板処理装置において、半
導体基板処理装置の周囲の複数の個所に、半導体基板処
理装置の処理状況を監視制御する移動可能な監視操作用
端末を設置する設置手段を備えたことを特徴とする半導
体基板処理装置。
In a semiconductor substrate processing apparatus for processing a semiconductor substrate on which a semiconductor device is formed on a semiconductor substrate, a movement for monitoring and controlling the processing status of the semiconductor substrate processing apparatus is provided at a plurality of locations around the semiconductor substrate processing apparatus. A semiconductor substrate processing apparatus comprising an installation means for installing a possible monitoring operation terminal.
【請求項2】 前記半導体基板処理装置は、処理機能部
として、少なくとも、処理室と、搬送室と、ロードロッ
ク室及びアンロードロック室と、ロードポート部が取り
付けられる移載機とが順番に並べられて構成され、前記
監視操作用端末の設置手段は、移載機の前面、搬送室の
側面、処理室の後面に備えられることを特徴とする請求
項1記載の半導体基板処理装置。
2. The semiconductor substrate processing apparatus according to claim 1, wherein at least a processing chamber, a transfer chamber, a load lock chamber and an unload lock chamber, and a transfer machine to which a load port is attached are sequentially disposed as processing function units. 2. The semiconductor substrate processing apparatus according to claim 1, wherein the monitoring operation terminals are arranged side by side, and the installation means of the monitoring operation terminal is provided on a front surface of the transfer device, a side surface of the transfer chamber, and a rear surface of the processing chamber.
【請求項3】 半導体基板上に半導体装置を形成する半
導体基板の処理を行う半導体基板処理装置において、前
記半導体基板処理装置は、処理機能部として、少なくと
も、処理室と、搬送室と、ロードロック室及びアンロー
ドロック室と、ロードポート部が取り付けられる移載機
とが順番に並べられて構成され、前記搬送室と、ロード
ロック室及びアンロードロック室とが設置空間に露出し
ていることを特徴とする半導体基板処理装置。
3. A semiconductor substrate processing apparatus for processing a semiconductor substrate for forming a semiconductor device on a semiconductor substrate, wherein the semiconductor substrate processing apparatus includes at least a processing chamber, a transfer chamber, and a load lock as a processing function unit. The transfer chamber, the load lock chamber, and the unload lock chamber are exposed to an installation space. A semiconductor substrate processing apparatus characterized by the above-mentioned.
【請求項4】 前記搬送室には、クーリング室が併設さ
れ、該クーリング室と、前記搬送室と、ロードロック室
及びアンロードロック室との上面に、透明窓が設けられ
ていることを特徴とする請求項3記載の半導体基板処理
装置。
4. A cooling room is provided in the transfer chamber, and transparent windows are provided on upper surfaces of the cooling chamber, the transfer chamber, the load lock chamber and the unload lock chamber. The semiconductor substrate processing apparatus according to claim 3, wherein
【請求項5】 半導体基板上に半導体装置を形成する半
導体基板の処理を行う半導体基板処理装置において、前
記半導体基板処理装置は、処理機能部として、少なくと
も、処理室と、搬送室と、ロードロック室及びアンロー
ドロック室と、ロードポート部が取り付けられる移載機
とが順番に並べられ構成され、前記処理室と前記搬送室
との上面に、開閉可能なふたが設けられていることを特
徴とする半導体基板処理装置。
5. A semiconductor substrate processing apparatus for processing a semiconductor substrate which forms a semiconductor device on a semiconductor substrate, wherein the semiconductor substrate processing apparatus includes at least a processing chamber, a transfer chamber, and a load lock as a processing function unit. Chamber and an unload lock chamber, and a transfer machine to which a load port unit is attached are arranged in order, and a lid that can be opened and closed is provided on the upper surfaces of the processing chamber and the transfer chamber. Semiconductor substrate processing apparatus.
【請求項6】 前記移載機の背面及び側面に、内部を目
視可能な窓が設けられていることを特徴とする請求項1
ないし5のうちいずれか1記載の半導体基板処理装置。
6. The transfer machine according to claim 1, wherein windows are provided on the back and side surfaces of the transfer machine so that the inside can be viewed.
6. The semiconductor substrate processing apparatus according to any one of Items 5 to 5.
【請求項7】 半導体基板上に半導体装置を形成する半
導体基板の処理を行う半導体基板処理装置において、前
記半導体基板処理装置は、処理機能部として、少なくと
も、処理室と、搬送室と、ロードロック室及びアンロー
ドロック室と、ロードポート部が取り付けられる移載機
とが順番に並べられて構成され、前記処理室と、搬送室
と、ロードロック室及びアンロードロック室との側面の
床面の両側あるいは片側に、その内部に配管類を配置し
たベース部兼作業台が設けられることを特徴とする半導
体基板処理装置。
7. A semiconductor substrate processing apparatus for processing a semiconductor substrate that forms a semiconductor device on a semiconductor substrate, wherein the semiconductor substrate processing apparatus includes at least a processing chamber, a transfer chamber, and a load lock as processing functional units. Chamber, an unload lock chamber, and a transfer machine to which a load port unit is attached are arranged in order, and floor surfaces of side surfaces of the processing chamber, the transfer chamber, the load lock chamber, and the unload lock chamber. A semiconductor substrate processing apparatus characterized in that a base unit and a work table in which piping and the like are disposed are provided on both sides or one side of the semiconductor substrate processing apparatus.
【請求項8】 前記半導体基板処理装置は、処理機能部
として、少なくとも、処理室と、搬送室と、ロードロッ
ク室及びアンロードロック室と、ロードポート部が取り
付けられる移載機とが順番に並べられて構成され、前記
処理機能部は、処理機能部毎に、あるいは、複数のブロ
ックに群分けされ、各処理機能部毎にあるいは群毎に異
なる色により色分けされて、その色が目視可能に各処理
機能部の外壁に付与されていることを特徴とする半導体
基板処理装置。
8. In the semiconductor substrate processing apparatus, at least a processing chamber, a transfer chamber, a load lock chamber and an unload lock chamber, and a transfer machine to which a load port unit is attached are sequentially arranged as processing function units. The processing function units are arranged side by side, and the processing function units are grouped into each of the processing function units or into a plurality of blocks, and are color-coded by different colors for each of the processing function units or for each of the groups, and the colors are visible. A semiconductor substrate processing apparatus provided on an outer wall of each processing function unit.
【請求項9】 前記各処理機能部毎にあるいは群毎に色
分けされて付与される色と、半導体基板処理装置の処理
状況を監視制御する監視操作用端末に表示される装置の
配置を示す情報の処理機能部の色とを同一とすることを
特徴とする請求項8記載の半導体基板処理装置。
9. A color assigned to each processing function unit or each group, and information indicating the arrangement of the apparatus displayed on a monitoring operation terminal for monitoring and controlling the processing status of the semiconductor substrate processing apparatus. 9. The semiconductor substrate processing apparatus according to claim 8, wherein the color of the processing function unit is the same.
【請求項10】 前記各処理機能部毎にあるいは群毎に
色分けされて付与される色と、半導体基板処理装置の処
理状況を監視制御する監視操作用端末に表示される処理
機能部の状況を一覧表示する際の各処理機能部の処理状
況の表示欄の色とを同一とすることを特徴とする請求項
8記載の半導体基板処理装置。
10. A color assigned to each processing function unit or each group and a status of the processing function unit displayed on a monitoring operation terminal for monitoring and controlling the processing status of the semiconductor substrate processing apparatus. 9. The semiconductor substrate processing apparatus according to claim 8, wherein the color of the display column of the processing status of each processing function unit at the time of displaying the list is the same.
【請求項11】 請求項1ないし10のうちいずれか1
記載の半導体基板処理装置の2台を左右対称に構成し、
ミラー配置としたことを特徴とする半導体基板処理装
置。
11. One of claims 1 to 10
The two described semiconductor substrate processing apparatuses are configured symmetrically,
A semiconductor substrate processing apparatus having a mirror arrangement.
JP19586599A 1999-07-09 1999-07-09 Semiconductor substrate processing apparatus Pending JP2001023872A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP19586599A JP2001023872A (en) 1999-07-09 1999-07-09 Semiconductor substrate processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP19586599A JP2001023872A (en) 1999-07-09 1999-07-09 Semiconductor substrate processing apparatus

Publications (1)

Publication Number Publication Date
JP2001023872A true JP2001023872A (en) 2001-01-26

Family

ID=16348286

Family Applications (1)

Application Number Title Priority Date Filing Date
JP19586599A Pending JP2001023872A (en) 1999-07-09 1999-07-09 Semiconductor substrate processing apparatus

Country Status (1)

Country Link
JP (1) JP2001023872A (en)

Cited By (298)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005175125A (en) * 2003-12-10 2005-06-30 Dainippon Screen Mfg Co Ltd Substrate processing equipment and its managing method
JP2005243858A (en) * 2004-02-26 2005-09-08 Tokyo Electron Ltd Processing apparatus
JP2005317783A (en) * 2004-04-28 2005-11-10 Tokyo Electron Ltd Substrate transport device, its washing method, substrate processing system and its washing method
JP2007173305A (en) * 2005-12-19 2007-07-05 Nitto Denko Corp Restoration assisting system
JP2010016144A (en) * 2008-07-03 2010-01-21 Tokyo Electron Ltd Substrate treatment equipment and supporting frame used for the equipment
WO2010054206A2 (en) * 2008-11-07 2010-05-14 Applied Materials, Inc. Improved process equipment architecture
JP2013077819A (en) * 2011-09-29 2013-04-25 Asm Internatl Nv Modular semiconductor processing system
US9257851B2 (en) 2008-01-07 2016-02-09 Access Business Group International Llc Inductive power supply with duty cycle control
WO2018003072A1 (en) * 2016-06-30 2018-01-04 株式会社日立国際電気 Substrate processing device, method for manufacturing semiconductor device, and recording medium
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
JP2019110340A (en) * 2019-03-27 2019-07-04 株式会社Kokusai Electric Substrate processing device, semiconductor device manufacturing method and recording medium
JP2019110339A (en) * 2019-03-27 2019-07-04 株式会社Kokusai Electric Substrate processing device, semiconductor device manufacturing method and recording medium
JP2019125805A (en) * 2019-03-27 2019-07-25 株式会社Kokusai Electric Substrate processing device, method for manufacturing semiconductor device, and storage medium
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP2019135776A (en) * 2019-03-27 2019-08-15 株式会社Kokusai Electric Substrate processing apparatus, manufacturing method for semiconductor device, and recording medium
JP2019135777A (en) * 2019-03-27 2019-08-15 株式会社Kokusai Electric Substrate processing apparatus, manufacturing method for semiconductor device and recording medium
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
JP2020043361A (en) * 2016-06-30 2020-03-19 株式会社Kokusai Electric Substrate processing device and method for manufacturing semiconductor device
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US12027365B2 (en) 2021-11-19 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices

Cited By (384)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005175125A (en) * 2003-12-10 2005-06-30 Dainippon Screen Mfg Co Ltd Substrate processing equipment and its managing method
JP2005243858A (en) * 2004-02-26 2005-09-08 Tokyo Electron Ltd Processing apparatus
WO2005083753A1 (en) * 2004-02-26 2005-09-09 Tokyo Electron Limited Semiconductor treating device
JP2005317783A (en) * 2004-04-28 2005-11-10 Tokyo Electron Ltd Substrate transport device, its washing method, substrate processing system and its washing method
JP4606319B2 (en) * 2005-12-19 2011-01-05 日東電工株式会社 Recovery support device
JP2007173305A (en) * 2005-12-19 2007-07-05 Nitto Denko Corp Restoration assisting system
US9257851B2 (en) 2008-01-07 2016-02-09 Access Business Group International Llc Inductive power supply with duty cycle control
JP2010016144A (en) * 2008-07-03 2010-01-21 Tokyo Electron Ltd Substrate treatment equipment and supporting frame used for the equipment
WO2010054206A3 (en) * 2008-11-07 2010-07-22 Applied Materials, Inc. Improved process equipment architecture
WO2010054206A2 (en) * 2008-11-07 2010-05-14 Applied Materials, Inc. Improved process equipment architecture
US9508576B2 (en) 2008-11-07 2016-11-29 Applied Materials, Inc. Process equipment architecture
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
JP2013077819A (en) * 2011-09-29 2013-04-25 Asm Internatl Nv Modular semiconductor processing system
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
WO2018003072A1 (en) * 2016-06-30 2018-01-04 株式会社日立国際電気 Substrate processing device, method for manufacturing semiconductor device, and recording medium
JPWO2018003072A1 (en) * 2016-06-30 2019-01-17 株式会社Kokusai Electric Substrate processing apparatus, semiconductor device manufacturing method, and recording medium
US11456190B2 (en) 2016-06-30 2022-09-27 Kokusai Electric Corporation Substrate processing apparatus and method of manufacturing semiconductor device
JP2020043361A (en) * 2016-06-30 2020-03-19 株式会社Kokusai Electric Substrate processing device and method for manufacturing semiconductor device
CN110265322B (en) * 2016-06-30 2020-10-30 株式会社国际电气 Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium
US11062918B2 (en) 2016-06-30 2021-07-13 Kokusai Electric Corpotation Substrate processing apparatus and method of manufacturing semiconductor device
US10636681B2 (en) 2016-06-30 2020-04-28 Kokusai Electric Corporation Substrate processing apparatus and method of manufacturing semiconductor device
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US12000042B2 (en) 2016-12-15 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US12020938B2 (en) 2018-03-27 2024-06-25 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
JP2019135777A (en) * 2019-03-27 2019-08-15 株式会社Kokusai Electric Substrate processing apparatus, manufacturing method for semiconductor device and recording medium
JP2019125805A (en) * 2019-03-27 2019-07-25 株式会社Kokusai Electric Substrate processing device, method for manufacturing semiconductor device, and storage medium
JP2019135776A (en) * 2019-03-27 2019-08-15 株式会社Kokusai Electric Substrate processing apparatus, manufacturing method for semiconductor device, and recording medium
JP2019110340A (en) * 2019-03-27 2019-07-04 株式会社Kokusai Electric Substrate processing device, semiconductor device manufacturing method and recording medium
JP2019110339A (en) * 2019-03-27 2019-07-04 株式会社Kokusai Electric Substrate processing device, semiconductor device manufacturing method and recording medium
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US12025484B2 (en) 2019-04-29 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11996304B2 (en) 2019-07-16 2024-05-28 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
JP2021129118A (en) * 2019-11-28 2021-09-02 株式会社Kokusai Electric Substrate processing device, manufacturing method of semiconductor device, program and recording medium
JP7158533B2 (en) 2019-11-28 2022-10-21 株式会社Kokusai Electric SUBSTRATE PROCESSING APPARATUS, SEMICONDUCTOR DEVICE MANUFACTURING METHOD, PROGRAM AND RECORDING MEDIUM
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US12033885B2 (en) 2021-01-04 2024-07-09 Asm Ip Holding B.V. Channeled lift pin
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US12033861B2 (en) 2021-06-07 2024-07-09 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US12027365B2 (en) 2021-11-19 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices
US12033849B2 (en) 2022-12-08 2024-07-09 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by PEALD using bis(diethylamino)silane

Similar Documents

Publication Publication Date Title
JP2001023872A (en) Semiconductor substrate processing apparatus
US6179214B1 (en) Portable plug-in control module for use with the service modules of HVAC systems
US6120371A (en) Docking and environmental purging system for integrated circuit wafer transport assemblies
JPS63206099A (en) Distributed function monitoring control system in building
JP6024980B2 (en) Load port unit and EFEM system
CN101329998B (en) Semiconductor treating device
US20020044860A1 (en) Processing system
US11061417B2 (en) Selectable-rate bottom purge apparatus and methods
US8606378B2 (en) Methods, apparatus, and articles of manufacture to identify hazardous process conditions associated with devices in a process control system
JP6649157B2 (en) Substrate processing equipment
JP2000286176A (en) Semiconductor substrate processing unit and display method of its processing status
JPWO2007034957A1 (en) Substrate processing equipment
KR20050007588A (en) Clean assembling module device, production system formed with the module, industrial robot, and pollution spred prevention system
JP3236724B2 (en) Vacuum processing equipment
JP3914273B2 (en) Semiconductor manufacturing apparatus and display method therefor
JPH11204449A (en) Vertical heat treatment system
JP2007194446A (en) Substrate processing apparatus
JP2000286246A (en) Method for displaying treatment status of semiconductor substrate treatment apparatus and the same apparatus
JP3492417B2 (en) Processing equipment
KR101415262B1 (en) Method for monitoring maintenance time for substrate processing apparatus
JP4101166B2 (en) Substrate processing equipment
JPH06314392A (en) Nurse total information management control system
JP3771347B2 (en) Vacuum processing apparatus and vacuum processing method
JP2001175974A (en) House information panel and disaster prevention panel
JPH06347396A (en) System and method for dust concentration regulation