KR20100032812A - Cvd apparatus and substrate processing system - Google Patents

Cvd apparatus and substrate processing system Download PDF

Info

Publication number
KR20100032812A
KR20100032812A KR1020090040815A KR20090040815A KR20100032812A KR 20100032812 A KR20100032812 A KR 20100032812A KR 1020090040815 A KR1020090040815 A KR 1020090040815A KR 20090040815 A KR20090040815 A KR 20090040815A KR 20100032812 A KR20100032812 A KR 20100032812A
Authority
KR
South Korea
Prior art keywords
reaction
substrate
gas supply
gas
unit
Prior art date
Application number
KR1020090040815A
Other languages
Korean (ko)
Inventor
장경호
Original Assignee
주식회사 테스
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 테스 filed Critical 주식회사 테스
Priority to KR1020090040815A priority Critical patent/KR20100032812A/en
Publication of KR20100032812A publication Critical patent/KR20100032812A/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

PURPOSE: A chemical vapor deposition apparatus and substrate processing system using the same forms the reaction space which at the same time can process the substrate of the different field inside one chamber a plurality of. The action efficiency and board processing productivity of the apparatus can be improved. CONSTITUTION: Reaction spaces(S11, S12) in which the processing of substrate is included in inside is each other communicated and a process chamber(210) is at least formed over two. Two or more gas supply units(220) is arranged in each reaction space upper. In order to be arranged in each reaction space lower and it is near in each gas supply unit two or more substrate seating units(240) is ascended and descended. It surrounds the upper of reaction spaces and the housing is divided by the state separated as the interval in the edge of the substrate seating unit. The reaction gas is exhausted to the separate space with the substrate seating unit. A conveying unit(250) transfers the substrate flowed in among the reaction space in one to the reaction space which is near.

Description

화학기상증착 장치와 이를 이용한 기판 처리 시스템{CVD APPARATUS AND SUBSTRATE PROCESSING SYSTEM}Chemical vapor deposition apparatus and substrate processing system using the same {CVD APPARATUS AND SUBSTRATE PROCESSING SYSTEM}

본 발명은 화학기상증착 장치와 이를 이용한 기판 처리 시스템에 관한 것으로서, 보다 상세하게는 하나의 챔버 내에 기판을 처리하는 다수의 반응공간을 형성하고, 반응공간 사이에서 기판을 이송하는 이송유닛을 마련하여 기판의 생산성을 향상시킬 수 있는 화학기상증착 장치와 이를 이용한 기판 처리 시스템에 관한 것이다.The present invention relates to a chemical vapor deposition apparatus and a substrate processing system using the same, and more specifically, to form a plurality of reaction space for processing the substrate in one chamber, and to provide a transfer unit for transferring the substrate between the reaction space The present invention relates to a chemical vapor deposition apparatus capable of improving productivity of a substrate and a substrate processing system using the same.

반도체 소자를 제조하기 위한 공정에서 기판 표면에서 반응기체를 반응시켜서 필요한 재질의 막을 형성하는 공정을 화학기상증착(Chemical Vapor Deposition; 이하 "CVD"라 한다) 공정이라 하며, CVD 공정이 진행되는 화학기상증착 장치는 일반적으로 내부에 기판의 처리가 이루어지는 반응공간이 형성되는 챔버와, 상기 반응공간에 구비되어 기판이 안착되는 기판 안착유닛 및 기판에 반응가스를 공급하는 가스공급유닛으로 이루어져서, 한 번의 공정으로 한 장의 기판을 처리하는 것이 일 반적이다.In the process of manufacturing a semiconductor device, a process of forming a film of a required material by reacting a reactant on a surface of a substrate is called chemical vapor deposition (hereinafter referred to as "CVD") process. The deposition apparatus generally includes a chamber in which a reaction space in which a substrate is processed is formed, a substrate seating unit provided in the reaction space, and a gas supply unit supplying a reaction gas to the substrate. It is common to treat a single substrate with one.

하지만, 하나의 챔버에서 한 장의 기판을 처리하는 기판 처리 시스템으로는 기판의 처리 생산성을 향상하는데 한계가 있었다. 따라서, 기판 처리 생산성을 향상시키고, 구성 유닛의 최적 작동을 위한 장치 및 방법의 개발이 필요하다.However, there has been a limitation in improving the processing productivity of a substrate with a substrate processing system that processes one substrate in one chamber. Accordingly, there is a need for development of apparatus and methods for improving substrate processing productivity and for optimal operation of component units.

본 발명은 상술한 문제점을 해결하기 위하여 안출된 것으로서, 하나의 챔버 내부에 여러 장의 기판을 동시에 처리할 수 있는 반응공간을 다수개 마련하고, 각각의 반응공간들 사이에서 기판을 이송할 수 있는 이송유닛을 마련하여 기판을 효율적으로 이송시키는 동시에 여러 장의 기판을 동시에 처리함에 따라 기판 처리 생산성을 향상시킬 수 있는 화학기상증착 장치와 이를 이용한 기판 처리 시스템을 제공한다.The present invention has been made in order to solve the above-described problems, a plurality of reaction spaces that can simultaneously process a plurality of substrates in one chamber, and transfer to transfer the substrate between the respective reaction spaces The present invention provides a chemical vapor deposition apparatus and a substrate processing system using the same, by providing a unit to efficiently transfer substrates and simultaneously processing a plurality of substrates.

상기와 같은 목적을 달성하기 위한 본 발명에 따른 화학기상증착 장치는 내부에 기판의 처리가 이루어지는 반응공간이 서로 연통되어 적어도 두 개 이상 형성되는 프로세스 챔버와; 상기 각각의 반응공간 상측에 배치되는 적어도 두 개 이상의 가스공급유닛과; 상기 각각의 반응공간 하측에 배치되고 상기 각각의 가스공급유닛에 근접되도록 승강되는 적어도 두 개 이상의 기판안착유닛과; 상승된 상기 기판안착유닛의 가장자리에서 일정한 간격만큼 이격된 상태로 상기 반응공간들의 상측을 둘러싸서 구획하고, 상기 기판안착유닛과의 이격공간으로 반응가스가 배기되도록 하는 하우징과; 상기 반응공간 중 어느 하나에 인입된 기판을 인접된 반응공간으로 이송시키는 이송유닛을 포함한다.Chemical vapor deposition apparatus according to the present invention for achieving the above object comprises a process chamber in which at least two reaction chambers in which the processing of the substrate is processed in communication with each other is formed; At least two gas supply units disposed above each reaction space; At least two substrate seating units disposed below the respective reaction spaces and lifted to approach each of the gas supply units; A housing surrounding the upper sides of the reaction spaces in a state spaced apart by a predetermined distance from the edge of the raised substrate seating unit, and allowing the reaction gas to be exhausted into a space separated from the substrate seating unit; It includes a transfer unit for transferring the substrate introduced into any one of the reaction space to the adjacent reaction space.

이때 상기 가스공급유닛은 상기 각각의 반응공간 상부에 배치되어 반응가스 를 반응공간에 분사하는 적어도 두 개 이상의 가스 분사부와; 상기 프로세스 챔버의 외부에 구비되어 상기 각각의 가스 분사부에 반응가스를 공급하는 반응가스 공급부와; 상기 반응가스 공급부에 연결되어 상기 각각의 가스 분사부로 반응가스가 유동되도록 분기되는 반응가스 공급라인을 포함한다.The gas supply unit may include at least two gas injectors disposed above the respective reaction spaces to inject the reaction gas into the reaction spaces; A reaction gas supply unit provided outside the process chamber to supply a reaction gas to each of the gas injection units; And a reaction gas supply line connected to the reaction gas supply part and branched to flow the reaction gas into the respective gas injection parts.

그리고, 상기 가스공급유닛은, 상기 프로세스 챔버의 외부에 구비되어 상기 각각의 가스 분사부에 세정가스를 공급하는 세정가스 공급부와; 상기 세정가스 공급부에 연결되어 상기 각각의 가스 분사부 및 상기 각각의 반응공간 측부로 반응가스가 유동되도록 분기되는 세정가스 공급라인을 포함한다.The gas supply unit may include: a cleaning gas supply unit provided outside the process chamber to supply a cleaning gas to each of the gas injection units; And a cleaning gas supply line connected to the cleaning gas supply unit and branched to flow the reaction gas to the respective gas injection units and the reaction space side.

또한, 상기 이송유닛은, 상기 프로세스 챔버의 반응공간들 사이에 구비되는 스핀들과; 상기 스핀들에 연결되어 상기 반응공간들 사이에서 회동되는 회동암과; 상기 스핀들을 회동시키는 회동 구동부를 포함한다.In addition, the transfer unit, the spindle provided between the reaction space of the process chamber; A rotational arm connected to the spindle and pivoted between the reaction spaces; It includes a rotation drive for rotating the spindle.

이때 상기 회동암은 일측에 상기 스핀들의 측방으로 연결되는 연결부와, 타측에 기판이 안착되는 안착부가 형성되는 것을 특징으로 한다.At this time, the pivoting arm is characterized in that the connection portion is connected to the side of the spindle on one side, the other side is formed with a seating portion for mounting the substrate.

또한, 상기 안착부는 상기 이송유닛에 구비되는 리프트핀의 설치 위치에 간섭되지 않도록 적어도 두 개 이상의 갈래로 분기되어 절곡되는 것을 특징으로 한다.In addition, the seating portion is characterized in that the bent branched to at least two or more so as not to interfere with the installation position of the lift pin provided in the transfer unit.

본 발명에 따른 기판 처리 시스템은 이송 챔버와; 내부에 기판의 처리가 이루어지는 반응공간이 서로 연통되어 적어도 두 개 이상 형성되고, 상기 각각의 반응공간 상측에는 적어도 두 개 이상의 가스공급유닛이 배치되며, 상기 각각의 반응공간 하측에는 상기 각각의 가스공급유닛에 근접되도록 승강되는 적어도 두 개 이 상의 기판안착유닛이 구비되고, 상승된 상기 기판안착유닛의 가장자리에서 일정한 간격만큼 이격된 상태로 상기 반응공간들의 상측을 둘러싸서 구획하고, 상기 기판안착유닛과의 이격공간으로 반응가스가 배기되도록 하우징이 구비되며, 상기 반응공간들 사이에서 기판을 각각의 반응공간으로 이송시키는 이송유닛이 구비되어 상기 이송 챔버에 연결되는 적어도 두 개 이상의 프로세스 챔버 모듈과; 상기 이송 챔버 내에 구비되고, 다수의 기판을 동시에 이송하기 위한 다수의 기판 핸들링 블레이드가 구비되는 핸들링 로봇과; 상기 이송 챔버에 연결되는 로드락 챔버를 포함하고, 상기 프로세스 챔버 모듈들은 핸들링 블레이드의 수와 대응되는 개수가 인접배치되어 상기 핸들링 로봇의 동작에 의해 상기 인접배치되는 프로세스 챔버 모듈들에 기판이 동시에 출입되는 것을 특징으로 한다.A substrate processing system according to the present invention includes a transfer chamber; At least two reaction spaces in which a substrate is processed are communicated with each other, and at least two gas supply units are disposed above each reaction space, and each gas supply is provided below each reaction space. At least two substrate seating units are provided which are lifted to be close to the unit, and are partitioned by surrounding the upper sides of the reaction spaces in a state spaced apart at regular intervals from the edge of the substrate seating unit that is raised. At least two process chamber modules including a housing configured to exhaust the reaction gas into a space of the reaction space, and a transfer unit configured to transfer the substrate to each reaction space between the reaction spaces and connected to the transfer chamber; A handling robot provided in the transfer chamber and having a plurality of substrate handling blades for simultaneously transferring a plurality of substrates; And a load lock chamber connected to the transfer chamber, wherein the process chamber modules are arranged in a number corresponding to the number of the handling blades so that the substrate can simultaneously enter and exit the process chamber modules disposed adjacent to each other by the operation of the handling robot. It is characterized by.

본 발명에 따르면, 하나의 챔버 내에서 여러 장의 기판을 로딩하여 동시에 처리할 수 있는 장치를 마련함에 따라 장치의 동작 효율성 및 기판 처리 생산성을 향상시킬 수 있는 효과가 있다.According to the present invention, by providing a device that can be processed at the same time by loading a plurality of substrates in one chamber there is an effect that can improve the operating efficiency and substrate processing productivity of the device.

또한, 하나의 챔버에서 여러 장의 기판을 처리할 수 있기 때문에 다수개의 쌍으로 구비되는 챔버 중 선택되는 어느 하나를 예열 챔버로 활용할 수 있는 효과가 있다.In addition, since a plurality of substrates can be processed in one chamber, any one selected from a plurality of pairs of chambers can be utilized as a preheating chamber.

또한, 한 쌍의 챔버를 인접배치하여 하나의 핸들링 로봇으로 한 쌍의 챔버에 각각 기판을 출입시키고, 각각의 챔버 내에서 기판을 서로 다른 반응공간으로 이송 시킴에 따라 설비의 동선을 최소화할 수 있는 효과가 있다.In addition, by placing a pair of chambers adjacent to each other in a pair of chambers with a single handling robot, and to transfer the substrates to each reaction space in each chamber to minimize the movement of the equipment It works.

이하, 첨부된 도면을 참조하여 본 발명의 실시예를 더욱 상세히 설명하기로 한다. 그러나 본 발명은 이하에서 개시되는 실시예에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 실시예들은 본 발명의 개시가 완전하도록 하며, 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이다. 도면상에서 동일 부호는 동일한 요소를 지칭한다. Hereinafter, with reference to the accompanying drawings will be described an embodiment of the present invention in more detail. However, the present invention is not limited to the embodiments disclosed below, but will be implemented in various forms, and only the embodiments are intended to complete the disclosure of the present invention, and to those skilled in the art to fully understand the scope of the invention. It is provided to inform you. Like numbers refer to like elements in the figures.

도 1은 본 발명에 따른 기판 처리 시스템을 개략적으로 보여주는 구성도이다. 도면에 도시된 바와 같이 본 발명에 따른 기판 처리 시스템은 이송 챔버(100)와; 상기 이송 챔버(100)에 연결되는 적어도 두 개 이상의 프로세스 챔버 모듈(200)과; 상기 이송 챔버(100) 내에 구비되어 기판을 이송시키는 핸들링 로봇(300)과; 상기 이송 챔버(100)에 연결되는 로드락 챔버(400)를 포함한다.1 is a schematic view showing a substrate processing system according to the present invention. As shown in the drawings, the substrate processing system according to the present invention includes a transfer chamber 100; At least two process chamber modules (200) connected to the transfer chamber (100); A handling robot 300 provided in the transfer chamber 100 to transfer a substrate; The load lock chamber 400 is connected to the transfer chamber 100.

이송 챔버(100)는 기판 처리 시스템의 중심부에 위치하여 상기 로드락 챔버(400) 내부에 대기 중인 기판(W)을 상기 프로세스 챔버(210)에 로딩 또는 언로딩할 수 있도록 이송 공간을 제공한다. 상기 이송 챔버(100)의 외주면의 형상은 사각형, 오각형, 육각형, 팔각형 등을 포함하는 다각형의 형상 또는 원형, 타원형의 형상으로도 제작이 가능하다. 본 실시예에서는 도 1에 도시된 바와 같이 사각형 형상으로 이송 챔버(100)를 제시하였다.The transfer chamber 100 is located at the center of the substrate processing system and provides a transfer space for loading or unloading the substrate W waiting in the load lock chamber 400 into the process chamber 210. The shape of the outer circumferential surface of the transfer chamber 100 can also be produced in the shape of a polygon, including a square, pentagon, hexagon, octagon, or the like, circular, oval. In this embodiment, the transfer chamber 100 is presented in a rectangular shape as shown in FIG. 1.

프로세스 챔버 모듈(200)은 기판에 박막을 증착시키거나 또는 박막을 식각시키는 공정이 이루어지는 수단으로서, 내부에 기판(W)의 처리가 이루어지는 반응공간(S11,S12 및 S21,S22)이 적어도 두 개 이상 형성되고, 상기 반응공간(S11,S12 및 S21,S22)들 사이에서 기판을 각각의 반응공간(S11,S12 및 S21,S22)으로 이송시키는 이송유닛(250)이 구비된다. 이러한 프로세스 챔버 모듈(200)은 상기 이송 챔버(100)의 일면에 각각 한 쌍씩 인접배치되도록 적어도 두 개 이상의 프로세스 챔버 모듈(200)이 구비된다. 예를 들어 본 실시예에서는 도면에 도시된 바와 같이 4면을 갖는 이송 챔버(100)의 일면에는 한 쌍의 로드락 챔버(400)가 연결되고, 나머지 3면에는 한 쌍씩의 프로세스 챔버 모듈(200a와 200b, 200c와 200d, 200e와 200f,)이 각각 인접되어 연결된다. 물론 로드락 챔버(400) 및 프로세스 챔버 모듈(200)의 배치 위치 및 개수는 제시된 실시예에 한정되지 않고, 로드락 챔버(400) 및 프로세스 챔버 모듈(200)이 한 쌍씩 인접 배치될 수 있다면 어떠하여도 무방하다.The process chamber module 200 is a means in which a process of depositing a thin film or etching a thin film on a substrate is performed. At least two reaction spaces S11, S12, S21, and S22 in which a substrate W is processed are formed. The transfer unit 250 is formed to transfer the substrate to the reaction spaces S11, S12, S21, and S22 between the reaction spaces S11, S12, S21, and S22. The process chamber module 200 is provided with at least two or more process chamber modules 200 to be disposed adjacent to each other by a pair on one surface of the transfer chamber 100. For example, in this embodiment, as shown in the figure, a pair of load lock chambers 400 are connected to one surface of the transfer chamber 100 having four sides, and a pair of process chamber modules 200a are connected to the other three surfaces. And 200b, 200c and 200d, 200e and 200f, respectively, are adjacently connected. Of course, the arrangement position and the number of the load lock chamber 400 and the process chamber module 200 is not limited to the embodiment shown, what if the load lock chamber 400 and the process chamber module 200 can be arranged adjacent to each other in pairs You may.

그리고, 각각의 프로세스 챔버 모듈(200)에는 기판(W)이 출입되는 게이트(217)가 상기 반응공간(S11,S12 및 S21,S22) 중 어느 하나로 연통되도록 하나씩 마련되는데, 이때 게이트(217)의 위치는 인접되는 프로세스 챔버 모듈(200) 및 이송 챔버(100)와 가까운 위치에 배치되는 반응공간(S11,S21)과 연통되도록 형성되는 것이 바람직하다. 상기 프로세스 챔버 모듈(200)의 상세한 구성에 대한 설명은 도면을 참조하여 후술하도록 한다.Each of the process chamber modules 200 is provided with one gate 217 through which the substrate W enters and exits from one of the reaction spaces S11, S12, S21, and S22. The position is preferably formed to be in communication with the adjacent process chamber module 200 and the reaction space (S11, S21) disposed in a position close to the transfer chamber 100. A detailed configuration of the process chamber module 200 will be described later with reference to the drawings.

핸들링 로봇(300)은 상기 이송 챔버(100)의 대략 중앙지점에 위치하여 로드 락 챔버(400)와 프로세스 챔버(210)로 기판(W)을 이송시키는 수단으로서, 지면과 평행한 면 상에서 회전 및 승하강되도록 구비된다. 이때 핸들링 로봇(300)에는 다수의 기판(W)을 동시에 직접 지지하는 다수의 핸들링 블레이드(310)가 구비된다. 상기 핸들링 블레이드(310)의 개수는 한 번의 동작으로 각각의 프로세스 챔버 모듈(200)에 한 장의 기판(W)을 로딩 및 언로딩시킬 수 있도록 인접배치되는 프로세스 챔버 모듈(200)의 개수에 대응되도록 형성하는 것이 바람직하다. 본 실시예에서는 두 개의 핸들링 블레이드(310)를 지면과 평행한 동일면 상에서 서로 평행하게 설치하였다. Handling robot 300 is a means for transferring the substrate (W) to the load lock chamber 400 and the process chamber 210 is located at approximately the center of the transfer chamber 100, the rotation and on a plane parallel to the ground It is provided to be raised and lowered. At this time, the handling robot 300 is provided with a plurality of handling blades 310 for directly supporting a plurality of substrates (W) at the same time. The number of the handling blades 310 corresponds to the number of adjacently arranged process chamber modules 200 to load and unload one substrate W into each process chamber module 200 in one operation. It is preferable to form. In this embodiment, two handling blades 310 are installed in parallel with each other on the same plane parallel to the ground.

로드락 챔버(400a,400b)는 상기 이송 챔버(100)의 일면에 형성되어 공정수행을 위해 대기중이거나, 처리가 완료된 기판들을 대기시키는 수단으로서, 이송 챔버(100) 및 프로세스 챔버 모듈(200) 내부의 공기압 상황에 따라 대기압 상태 또는 진공 상태로 변경이 가능하게 설치된다. 이때 로드락 챔버(400)의 개수는 상기 핸들링 블레이드(310)의 개수와 대응되도록 구비되는 것이 바람직하다. 예를 들어 본 실시예에서는 한 쌍의 로드락 챔버(400a,400b)를 구비하였다. 그리고, 로드락 챔버(400)의 외부에는 로드락 챔버(400)와 연결되어 기판(W)들을 수용하는 저장 랙(500)이 더 구비된다.The load lock chambers 400a and 400b are formed on one surface of the transfer chamber 100 to wait for process execution or to wait for processed substrates. The transfer chamber 100 and the process chamber module 200 may be used. Depending on the internal air pressure situation, it is possible to change the atmospheric pressure or vacuum state. At this time, the number of the load lock chamber 400 is preferably provided to correspond to the number of the handling blade 310. For example, in this embodiment, a pair of load lock chambers 400a and 400b are provided. In addition, a storage rack 500 connected to the load lock chamber 400 to accommodate the substrates W is further provided outside the load lock chamber 400.

도 2는 본 발명에 따른 화학기상증착 장치를 개략적으로 보여주는 단면 개념도이고, 도 3은 본 발명에 따른 화학기상증착 장치의 요부를 개략적으로 보여주는 평면도이며, 도 4는 본 발명에 따른 회동암을 보여주는 사시도이다.Figure 2 is a schematic cross-sectional view showing a chemical vapor deposition apparatus according to the present invention, Figure 3 is a plan view schematically showing the main part of the chemical vapor deposition apparatus according to the present invention, Figure 4 shows a rotatable rock according to the present invention Perspective view.

도면에 도시된 바와 같이 본 발명에 따른 화학기상증착 장치, 즉 프로세스 챔버 모듈(200)은 내부에 기판(W)의 처리가 이루어지는 반응공간(S11,S12)이 적어도 두 개 이상 형성되는 프로세스 챔버(210)와; 상기 각각의 반응공간(S11,S12) 상측에 배치는 가스공급유닛(220)과; 상기 각각의 반응공간(S11,S12) 하측에 배치되는 적어도 두 개 이상의 기판안착유닛(240)과; 상기 반응공간(S11,S12) 중 어느 하나의 반응공간(S11)에 인입된 기판(W)을 인접된 반응공간(S12)으로 이송시키는 이송유닛(250)을 포함한다.As shown in the drawing, the chemical vapor deposition apparatus according to the present invention, that is, the process chamber module 200 has a process chamber in which at least two reaction spaces S11 and S12 are formed in which a substrate W is processed. 210; A gas supply unit 220 disposed above each of the reaction spaces S11 and S12; At least two substrate seating units 240 disposed under the reaction spaces S11 and S12; It includes a transfer unit 250 for transferring the substrate (W) drawn in any one of the reaction space (S11, S12) of the reaction space (S11) to the adjacent reaction space (S12).

프로세스 챔버(210)는 내부에 적어도 두 개 이상의 반응공간(S11,S12)이 서로 연통되도록 마련되는 통 형상으로 제작된다. 이때, 상기 프로세스 챔버(210)의 내부 형상은 그 수평 단면 형상이 일정한 형태에 한정되지 않고, 공정이 진행되는 기판(W)의 형상에 따라 다양하게 변화될 수 있다. 본 실시예의 프로세스 챔버(210)는 측벽면과 바닥면을 구성하는 하부 몸체부(211)와, 상측면을 구성하는 상부 몸체부(213) 및 상기 하부 몸체부(211)에 수용되고, 프로세스 챔버(210)의 내부에서 상기 반응공간(S11,S12)들을 구획하는 하우징(215)으로 구성된다. 물론 상기 반응공간(S11,S12)들은 상기 하우징(215)이 설치되더라도 후술되는 이송유닛이 동작되는 공간을 확보하도록 연통되는 것이 바람직하다.The process chamber 210 is manufactured in a tubular shape in which at least two or more reaction spaces S11 and S12 communicate with each other. At this time, the internal shape of the process chamber 210 is not limited to the horizontal cross-sectional shape is a constant shape, it can be variously changed according to the shape of the substrate (W) in which the process is performed. The process chamber 210 of the present embodiment is accommodated in the lower body portion 211 constituting the side wall and the bottom surface, the upper body portion 213 and the lower body portion 211 constituting the upper side, the process chamber The interior of the 210 is composed of a housing 215 partitioning the reaction space (S11, S12). Of course, the reaction spaces S11 and S12 are preferably communicated with each other to ensure a space for the transfer unit to be described later, even if the housing 215 is installed.

그리고, 프로세스 챔버(210)의 측벽면의 일측에는 기판(W)의 인입 및 인출을 위한 게이트(217)가 상기 반응공간(S11,S12)들 중 어느 하나에 연통되도록 마련된다. 그리고, 상기 게이트(217)는 개폐부(미도시)에 의해 개폐된다. 이때, 상기 개폐부로 게이트 밸브 또는 슬릿 밸브를 사용하는 것이 가능하다. 물론 이에 한정되 지 않고, 개폐부로 기판(W) 인입후 프로세스 챔버(210) 내부를 진공으로 유지할 수 있는 다양한 형태의 개폐 수단이 사용될 수 있다. In addition, at one side of the sidewall surface of the process chamber 210, a gate 217 for drawing in and out of the substrate W is provided to communicate with any one of the reaction spaces S11 and S12. In addition, the gate 217 is opened and closed by an opening and closing portion (not shown). At this time, it is possible to use a gate valve or a slit valve as the opening and closing portion. Of course, the present invention is not limited thereto, and various types of opening and closing means capable of maintaining the vacuum inside the process chamber 210 after the substrate W is drawn into the opening and closing unit may be used.

또한, 프로세스 챔버(210)에 형성된 반응공간(S11,S12)의 바닥면 또는 측면의 일측에는 프로세스 챔버(210) 내부의 압력을 일정하게 유지하거나, 프로세스 챔버(210) 내부의 미반응 가스 및 불순물을 배기하기 위한 각각의 진공 배기 라인(218) 및 진공 배기 공급부(219)가 연결된다. 이때 각각의 반응공간(S11,S12)에는 진공 배기 라인(218) 및 진공 배기 공급부(219)가 각각 별도로 구비되거나 또는 하나의 진공 배기 공급부(219)에 각각의 반응공간(S11,S12)으로 분기되는 한 쌍의 진공 배기 라인(218)이 구비될 수 있다.In addition, one side of the bottom or side surfaces of the reaction spaces S11 and S12 formed in the process chamber 210 maintains a constant pressure inside the process chamber 210, or unreacted gas and impurities inside the process chamber 210. Each vacuum exhaust line 218 and a vacuum exhaust supply 219 for exhausting the gas are connected. In this case, each of the reaction spaces S11 and S12 is provided with a vacuum exhaust line 218 and a vacuum exhaust supply 219 separately or branched into one reaction space S11 and S12 in one vacuum exhaust supply 219. A pair of vacuum exhaust lines 218 may be provided.

그리고, 프로세스 챔버(210)는 접지 연결되어 프로세스 챔버(210)를 통하여 전류가 흐르지 않도록 구성된다.In addition, the process chamber 210 is configured to be grounded so that no current flows through the process chamber 210.

가스공급유닛(220)은 상기 각각의 반응공간(S11,S12) 상부에 배치되는 가스 분사부(221)와; 상기 프로세스 챔버(210)의 외부에 구비되어 상기 각각의 가스 분사부(221)에 반응가스를 공급하는 반응가스 공급부(223)와; 상기 반응가스 공급부(223)에 연결되어 상기 각각의 가스 분사부(221)로 반응가스가 유동되도록 분기되는 반응가스 공급라인(225)을 포함된다. 또한, 상기 프로세스 챔버(210)의 외부에 구비되어 상기 각각의 가스 분사부(221)에 세정가스를 공급하는 세정가스 공급부(227)와; 상기 세정가스 공급부(227)에 연결되어 상기 각각의 가스 분사부(221) 및 상기 각각의 반응공간(S11,S12) 측부로 세정가스가 유동되도록 분기되는 세정가스 공급라인(229)을 포함한다.The gas supply unit 220 includes a gas injection unit 221 disposed above the reaction spaces S11 and S12; A reaction gas supply unit 223 provided outside the process chamber 210 and supplying a reaction gas to each of the gas injection units 221; A reaction gas supply line 225 connected to the reaction gas supply unit 223 is branched to flow the reaction gas into the respective gas injection units 221. In addition, the cleaning gas supply unit 227 is provided outside the process chamber 210 to supply a cleaning gas to the respective gas injection unit 221; And a cleaning gas supply line 229 connected to the cleaning gas supply unit 227 so that the cleaning gas flows toward the respective gas injection units 221 and the reaction spaces S11 and S12.

상기 가스 분사부(221)는 반응공간(S11,S12) 내부에 반응 가스 및 세정 가스를 공급하고, 플라즈마 형성시 캐소드 또는 애노드 역할을 하는 수단으로서, 예를 들어 종래의 샤워헤드 구조가 적용될 수 있다. 물론 이에 한정되지 않고, 가스의 분사 및 캐소드 또는 애노드 역할을 할 수 있다면 다양하게 변경 가능하다. 본 실시예에서는 상기 가스 분사부(221)에 플라즈마 전원을 제공하기 위한 별도의 플라즈마 전원부(230)가 연결된다. 플라즈마 전원부(230)는 플라즈마 전원으로 고주파 전원을 제공한다.The gas injector 221 is a means for supplying a reaction gas and a cleaning gas into the reaction spaces S11 and S12 and acting as a cathode or an anode when plasma is formed. For example, a conventional shower head structure may be applied. . Of course, the present invention is not limited thereto, and various modifications may be made as long as the gas may serve as a cathode and an anode. In the present embodiment, a separate plasma power supply unit 230 for providing plasma power to the gas injection unit 221 is connected. The plasma power supply unit 230 provides a high frequency power supply to the plasma power supply.

상기 반응가스 공급부(223)는 기판(W)의 처리공정에 사용되는 각종 반응가스를 각각의 반응공간으로 제공하는 수단으로서, 반응가스를 공급할 수 있는 수단이라면 어떠하여도 무방하다.The reaction gas supply unit 223 is a means for providing various reaction gases used in the process of processing the substrate W to each reaction space, and may be any means capable of supplying the reaction gas.

상기 반응가스 공급라인(225)은 상기 반응가스 공급부(223)와 각각의 가스 분사부(221)가 연통되도록 형성된다면 어떠하여도 무방하나, 바람직하게는 하나의 반응가스 공급부(223)에 연결되는 반응가스 공급라인(225)이 각각의 가스 분사부(221)로 분기되어 형성된다. The reaction gas supply line 225 may be any type as long as the reaction gas supply unit 223 and each gas injector 221 are in communication with each other, but is preferably connected to one reaction gas supply unit 223. The reaction gas supply line 225 is formed to branch to each gas injector 221.

상기 세정가스 공급부(227)는 반응공간(S11,S12) 및 후술되는 안착 플레이트(241) 전면 및 후면의 세정공정에 사용되는 각종 세정가스를 각각의 반응공간(S11,S12)으로 제공하는 수단으로서, 세정가스를 공급할 수 있는 수단이라면 어떠하여도 무방하다.The cleaning gas supply unit 227 is a means for providing various cleaning gases used in the cleaning processes of the reaction spaces S11 and S12 and the front and rear surfaces of the seating plate 241 to be described later to the respective reaction spaces S11 and S12. It may be any means that can supply cleaning gas.

상기 세정가스 공급라인(229)은 상기 세정가스 공급부(227)와 각각의 반응공간(S11,S12)이 연통되도록 형성된다면 어떠하여도 무방하나, 바람직하게는 하나의 세정가스 공급부(227)에 연결되는 세정가스 공급라인(229)이 각각의 가스 분사부(221) 및 반응공간(S11,S12)의 측부, 바람직하게는 안착 플레이트(241)의 측부 방향으로 분기되어 형성된다. 이때 반응공간(S11,S12)의 측부로 분기되는 세정가스 공급라인(229)은 상기 하우징(215)을 통하여 연장될 수 있다.The cleaning gas supply line 229 may be formed so as to be in communication with the cleaning gas supply unit 227 and the reaction spaces S11 and S12, but is preferably connected to one cleaning gas supply unit 227. The cleaning gas supply line 229 is branched to the sides of each of the gas injection units 221 and the reaction spaces S11 and S12, and preferably to the side of the seating plate 241. In this case, the cleaning gas supply line 229 branched to the side portions of the reaction spaces S11 and S12 may extend through the housing 215.

기판안착유닛(240)은 기판(W)이 안착되는 안착 플레이트(241)와; 상기 안착 플레이트(241)에 구비되어 안착 플레이트(241)를 가열시키는 히팅부(243)와; 상기 안착 플레이트(241)를 상하로 이동시키는 승강 구동부(245)를 포함한다.The substrate seating unit 240 includes a seating plate 241 on which the substrate W is mounted; A heating part 243 provided on the seating plate 241 to heat the seating plate 241; It includes a lift drive unit 245 for moving the seating plate 241 up and down.

상기 안착 플레이트(241)는 기판(W)이 직접 안착되고, 플라즈마 형성시 캐소드 또는 애노드 역할을 하는 수단으로서, 상기 가스 분사부(221)에 대향되어 배치되고, 기판(W)의 형상에 대응되는 형상으로 형성되는 것이 바람직하고, 본 실시예에서는 원형으로 형성된다. 또한 본 실시예에서 상기 안착 플레이트(241)는 접지 연결된다. 물론 이에 한정되지 않고, 안착 플레이트(241)에 고주파 전원을 인가하고, 상기 가스 분사부(221)를 접지 연결시킬 수도 있을 것이다.The seating plate 241 is a means for directly seating the substrate W and acting as a cathode or an anode when plasma is formed. The mounting plate 241 is disposed to face the gas injector 221 and corresponds to the shape of the substrate W. It is preferable that it is formed in a shape, and in this embodiment, it is formed circular. In addition, in the present embodiment, the seating plate 241 is grounded. Of course, the present invention is not limited thereto, and a high frequency power may be applied to the seating plate 241, and the gas injector 221 may be grounded.

상기 히팅부(243)는 상기 안착 플레이트(241)에 안착된 기판(W)을 처리시 상승되는 온도에 의한 기판(W)의 열손상을 줄이기 위한 수단으로서, 기판(W)의 온도를 상승시킬 수 있다면 어떠한 수단이라도 사용될 수 있다. 예를 들어 전원의 공급에 의해 가열되는 열선과 같은 코어히터 또는 램프히터 등이 사용될 수 있다. The heating unit 243 is a means for reducing the thermal damage of the substrate (W) due to the temperature rising during processing the substrate (W) seated on the seating plate 241, to increase the temperature of the substrate (W) Any means may be used if possible. For example, a core heater or a lamp heater such as a heating wire heated by supply of power may be used.

상기 승강 구동부(245)는 상기 안착 플레이트(241)를 반응공간(S11,S12) 내부에서 기판(W)을 안착시키는 위치 및 기판(W)의 처리가 이루어지는 반응위치로 이동시키기 위하여 승강시키는 수단으로서, 상기 안착 플레이트(241)를 승강시킬 수 있는 수단이라면 어떠하여도 무방하다. 예를 들어 실린더가 사용될 수 있다.The elevating driving unit 245 is a means for elevating the mounting plate 241 to move the mounting plate 241 to a position where the substrate W is seated in the reaction spaces S11 and S12 and a reaction position at which the substrate W is processed. As long as it is a means which can raise and lower the said mounting plate 241, it may be any. For example, a cylinder can be used.

그리고, 상기 기판안착유닛(240)은 상기 안착 플레이트(241)를 관통하여 입설되는 복수개의 리프트핀(247)과, 상기 리프트핀(247)을 일체로 승강시키는 리프트핀 승강수단(249)을 더 포함한다. The substrate seating unit 240 further includes a plurality of lift pins 247 penetrating through the seating plate 241 and a lift pin lifting means 249 for raising and lowering the lift pins 247 integrally. Include.

상기 리프트핀(247)은 후술되는 회동암(253)과의 간섭을 피하고, 기판(W)의 안정적인 안착을 위하여 3개가 120도 간격으로 입설되는 것이 바람직하고, 상기 리프트핀 승강수단(249)은 실린더 등이 사용될 수 있다.Preferably, the lift pins 247 are installed at three intervals 120 degrees in order to avoid interference with the pivot arm 253 to be described later and to stably mount the substrate W. The lift pin lifting means 249 Cylinders and the like can be used.

상기 이송유닛(250)은 상기 프로세스 챔버(210)의 내부에 구성되는 수단으로서, 정확하게는 상기 프로세스 챔버(210)의 반응공간(S11,S12)들 사이에 구비되는 스핀들(251)과; 상기 스핀들(251)에 연결되어 상기 반응공간(S11,S12)들 사이에서 회동되는 회동암(253)과; 상기 스핀들(251)을 회동시키는 회동 구동부(255)를 포함한다.The transfer unit 250 is a means configured in the process chamber 210, and the spindle 251 is provided between the reaction space (S11, S12) of the process chamber (210) exactly; A rotation arm 253 connected to the spindle 251 and pivoted between the reaction spaces S11 and S12; It includes a rotation drive unit 255 for rotating the spindle 251.

상기 스핀들(251)은 상기 회동 구동부(255)에서 발생되는 회동 동력에 의해 상기 회동암(253)에 회동시키는 수단으로서, 인접되는 반응공간(S11,S12)들 사이 공간에 구비되는 것이 바람직하다. The spindle 251 is a means for rotating the pivot arm 253 by the rotational power generated by the rotation driver 255, and is preferably provided in a space between adjacent reaction spaces S11 and S12.

상기 회동암(253)은 도 4에 도시된 바와 같이 일측에 상기 스핀들(251)의 측방으로 연결되는 연결부(253a)와, 타측에 기판(W)이 안착되는 안착부(253b)가 형성된다.As shown in FIG. 4, the pivotal arm 253 has a connection part 253a connected to the side of the spindle 251 on one side and a seating part 253b on which the substrate W is seated on the other side.

상기 연결부(253a)는 상기 회동암(253)에 연결되도록 길게 형성되고, 상기 안착부(253b)는 상기 기판안착유닛(240)에 구비되는 리프트핀(247)의 설치 위치에 간섭되지 않도록 적어도 두 개 이상의 갈래로 분기된 상태에서 절곡되어 형성된다. 본 실시예에서는 연결부에서 연장되는 안착부(253b)가 대략 "T"자 형상이 되도록 분기하였고, 각각의 단부는 리프트핀(247)에 간섭되지 않도록 절곡하였다. 그리고, 상기 안착부(253b)에는 기판(W)의 크기에 대응되는 크기의 안착홈(253c)이 형성된다. 물론 상기 회동암(253)은 상기 스핀들(251)에 의해 회동되면서 기판(W)을 각각의 반응공간(S11,S12)으로 이송할 수 있는 다양한 방식으로 변경되어 실시될 수 있을 것이다.The connecting portion 253a is formed to be long to be connected to the pivot arm 253, and the seating portion 253b is at least two so as not to interfere with the installation position of the lift pin 247 provided in the substrate seating unit 240. It is bent and formed in a branched state into two or more branches. In the present embodiment, the seating portion 253b extending from the connecting portion is branched to have an approximately "T" shape, and each end is bent so as not to interfere with the lift pin 247. In addition, a seating groove 253c having a size corresponding to the size of the substrate W is formed in the seating portion 253b. Of course, the pivoting arm 253 may be rotated by the spindle 251 and may be changed in various ways to transfer the substrate W to the respective reaction spaces S11 and S12.

상기 회동 구동부(255)는 상기 스핀들(251)에 회동력을 제공하는 수단으로서, 상기 스핀들(251)을 회동시키는 동력을 제공할 수 있다면 어떠한 수단이 사용되어도 무방하다.The rotation driving unit 255 is a means for providing a rotational force to the spindle 251, any means may be used as long as it can provide power to rotate the spindle 251.

상기와 같이 구성되는 본 발명에 따른 기판 처리 시스템을 이용하여 기판을 처리하는 방법을 도면을 참조하여 설명하면 다음과 같다.Referring to the drawings, a method of processing a substrate using the substrate processing system according to the present invention configured as described above is as follows.

도 5a 내지 도 5k는 본 발명에 따른 기판 처리 시스템의 작동 상태를 보여주는 단면 개념도이다.5A to 5K are cross-sectional conceptual views showing an operating state of a substrate processing system according to the present invention.

도면에 도시된 바와 같이 본 발명에 따른 기판 처리 방법은 먼저, 전술된 이송 챔버(100), 로드락 챔버(400) 및 상기 이송 챔버(100)의 각 면에 한 쌍씩 인접배치되는 적어도 두 개 이상의 프로세스 챔버(210)를 포함하는 기판 처리 시스템을 마련한다.As shown in the drawings, the substrate processing method according to the present invention, first, the transfer chamber 100, the load lock chamber 400 and the at least two or more adjacently arranged in pairs on each side of the transfer chamber 100 A substrate processing system including a process chamber 210 is provided.

그리고, 저장 랙(500)에 수용되는 비처리 기판들을 로드락 챔버(400)에 인입하여 로드락 챔버(400)에 다수의 비처리 기판들을 대기시킨다. 그런 다음, 필요시 로드락 챔버(400)를 기판(W)의 처리 공정에 필요한 고진공 상태로 형성한다.Then, the unprocessed substrates accommodated in the storage rack 500 are introduced into the load lock chamber 400 to hold a plurality of unprocessed substrates in the load lock chamber 400. Then, if necessary, the load lock chamber 400 is formed in a high vacuum state necessary for the processing of the substrate (W).

그리고, 이송 챔버(100)에 구비된 핸들링 로봇(300)의 핸들링 블레이드(310)를 이용하여 로드락 챔버(400)에 대기중인 한 쌍의 제 1차 비처리 기판(W11,W12)을 척킹한 다음 인접된 프로세스 챔버(210)에 형성된 제 1 반응공간(S11,S21)으로 동시에 각각 로딩시킨다.And using the handling blade 310 of the handling robot 300 provided in the transfer chamber 100 chucking a pair of primary unprocessed substrate (W11, W12) waiting in the load lock chamber 400 Next, the first reaction spaces S11 and S21 formed in the adjacent process chamber 210 are simultaneously loaded.

제 1차 비처리 기판(W11,W12)을 각각의 제 1 반응공간(S11,S21)으로 로딩시키는 단계를 보다 상세하게 설명하자면, 먼저, 제 1 차 비처리 기판(W11,W12)을 로딩하기 위하여 이송유닛(250)의 회동암(253)을 각각의 제 1 반응공간(S11,S21)에 정위치 시키고 대기한다. 그런 다음, 도 5a에 도시된 바와 같이 인접된 프로세스 챔버(210)의 제 1 반응공간(S11,S21)에 각각 마련되는 제 1 및 제 2 리프트핀(2471,2472)의 대기 높이가 도면에 표시된 간격(D)만큼 격차를 갖도록 각각의 리프트핀(2471,2472)을 상승시키고, 한 쌍의 제 1차 비처리 기판(W11,W12)을 제 1 및 제 2 리프트핀(2471,2472) 상부로 인입시킨다. 그리고, 핸들링 로봇(300)의 핸들링 블레이드(310)를 수평면 상에서 좌우로 이동시켜 핸들링 블레이드(310)에 지지된 제 1차 비처리 기판(W11,W12) 중 상대적으로 높은 위치에서 대기하는 제 1 리프트핀(2471)의 상부에 배치되는 제 1 비처리 기판(W11)을 제 1 리프트핀(2471)의 상부에 정위치 시킨다.Loading of the first unprocessed substrates W11 and W12 into the respective first reaction spaces S11 and S21 will be described in detail. First, loading the first unprocessed substrates W11 and W12. In order to position the rotating arm 253 of the transfer unit 250 in each of the first reaction space (S11, S21) and wait. Then, as shown in FIG. 5A, the atmospheric heights of the first and second lift pins 2471 and 2472 respectively provided in the first reaction spaces S11 and S21 of the adjacent process chamber 210 are shown in the drawing. Lift pins 2471 and 2472 are lifted so as to have a gap D therebetween, and a pair of first unprocessed substrates W11 and W12 are moved over the first and second lift pins 2247 and 2472. Pull in. In addition, a first lift that moves the handling blade 310 of the handling robot 300 from side to side on a horizontal plane to wait at a relatively high position among the first unprocessed substrates W11 and W12 supported by the handling blade 310. The first unprocessed substrate W11 disposed above the pin 2471 is positioned at the top of the first lift pin 2247.

그런 다음, 도 5b에 도시된 바와 같이 핸들링 블레이드(310)를 하강하여 제 1차 비처리 기판(W11,W12) 중 제 1 비처리 기판(W11)을 제 1 리프트핀(2471)에 안착시킨다.Then, as illustrated in FIG. 5B, the handling blade 310 is lowered to seat the first unprocessed substrate W11 among the first unprocessed substrates W11 and W12 on the first lift pin 2247.

그리고, 핸들링 블레이드(310)를 수평으로 이동시켜 상대적으로 낮은 위치에 대기하는 제 2 리프트핀(2472)의 상부에 배치되는 제 1차 비처리 기판(W11,W12) 중 제 2 비처리 기판(W12)을 제 2 리프트핀(2472)의 상부에 정위치 시킨다.The second unprocessed substrate W12 of the first unprocessed substrates W11 and W12 disposed on the second lift pin 2472 that moves the handling blade 310 horizontally and waits at a relatively low position. ) Is positioned on top of the second lift pin 2472.

그런 다음, 도 5c에 도시된 바와 같이 핸들링 블레이드(310)를 하강하여 제 2 비처리 기판(W12)을 제 2 리프트핀(2472)에 안착시킨다.Then, as illustrated in FIG. 5C, the handling blade 310 is lowered to seat the second unprocessed substrate W12 on the second lift pin 2472.

이렇게 제 1차 비처리 기판(W11,W12) 한 쌍이 모두 제 1 및 제 2 리프트핀(2471,2472)에 안착시킨 다음, 도 5d에 도시된 바와 같이 핸들링 블레이드(310)를 프로세스 챔버(210) 외부로 인출시킨다.Thus, the pair of the first unprocessed substrates W11 and W12 both rest on the first and second lift pins 2471 and 2472, and then the handling blade 310 is transferred to the process chamber 210 as shown in FIG. 5D. Withdraw to the outside.

그리고, 도 5e에 도시된 바와 같이 제 1 및 제 2 리프트핀(2471,2472)을 하강시켜 제 1 및 제 2 비처리 기판(W11,W12)을 각각의 회동암(253)에 형성된 안착홈(253c)에 안착시킨다.As shown in FIG. 5E, the first and second lift pins 2471 and 2472 are lowered to form the first and second non-processed substrates W11 and W12 formed in the pivotal arms 253. 253c).

이렇게 제 1 및 제 2 비처리 기판(W11,W12)이 각각의 회동암(253)에 안정적으로 안착되었다면, 도 5f에 도시된 바와 같이 제 1 및 제 2 리프트핀(2471,2472)을 계속 하강시켜 회동암(253)이 회동되는 높이보다 낮은 높이까지 하강시킨다. 바람직하게는 제 1 및 제 2 리프트핀(2471,2472)을 계속 하강시켜 각각의 안착 플레이트(241)에 안착되도록 한다.When the first and second non-processing substrates W11 and W12 are stably seated on the respective pivot arms 253, the first and second lift pins 2247 and 2472 are continuously lowered as shown in FIG. 5F. It lowers to a height lower than the rotational rock 253 is rotated. Preferably, the first and second lift pins 2471 and 2472 are continuously lowered to be seated on the respective seating plates 241.

그리고, 도 5g에 도시된 바와 같이 이송유닛(250), 즉 회동암(253)을 동일한 높이에서 회동시켜 한 쌍의 제 1차 비처리 기판(W11,W12)을 각각의 제 2 반응공 간(S12,S22)에 위치시킨다.As shown in FIG. 5G, the transfer unit 250, that is, the pivoting arm 253 is rotated at the same height so that the pair of first unprocessed substrates W11 and W12 may be formed in each second reaction space ( S12, S22).

그런 다음, 도 5h에 도시된 바와 같이 인접된 프로세스 챔버(210)의 제 2 반응공간(S12,S22)에 각각 마련되는 제 3 및 제 4 리프트핀(2473,2474)을 상승시켜 제 1차 비처리 기판(W11,W12)을 제 3 및 제 4 리프트핀(2473,2474)에 각각 안착시킨다.Then, as shown in FIG. 5H, the third and fourth lift pins 2473 and 2474 provided in the second reaction spaces S12 and S22 of the adjacent process chamber 210 are raised to raise the first ratio. The processing substrates W11 and W12 are seated on the third and fourth lift pins 2473 and 2474, respectively.

이렇게 제 1차 비처리 기판(W11,W12)이 제 3 및 제 4 리프트핀(2473,2474)에 각각 안착되었다면, 도 5i에 도시된 바와 같이 회동암(253)을 회동시켜 회동암(253)이 제 1 반응공간(S11,S21)과 제 2 반응공간(S12,S22) 사이에 위치되도록 한다. 이때 회동암(253)은 각각의 제 2 반응공간(S12,S22)에 입설된 제 3 및 제 4 리프트핀(2473,2474)에 간섭받지 않도록 안착부(253b)의 형상에 의해 제 3 및 제 4 리프트핀(2473,2474)을 회피하면서 회동된다.When the first unprocessed substrates W11 and W12 are seated on the third and fourth lift pins 2473 and 2474, respectively, the pivot arm 253 is rotated by rotating the pivot arm 253 as shown in FIG. 5I. The first reaction space (S11, S21) and the second reaction space (S12, S22) to be located between. At this time, the pivot arm 253 is formed by the shape of the seating portion 253b so as not to interfere with the third and fourth lift pins 2473 and 2474 installed in the respective second reaction spaces S12 and S22. It is rotated while avoiding the four lift pins 2473 and 2474.

그런 다음, 도 5j에 도시된 바와 같이 제 1 및 제 2 리프트핀(2471,2472)을 상승시킨다. 이때 제 1 및 제 2 리프트핀(2471,2472)의 상승 높이는 도 5a에 도시된 바와 같이 서로 대기되는 높이가 다르도록 각각의 제 1 및 제 2 리프트핀(2471,2472)을 상승시킨다.Then, as shown in FIG. 5J, the first and second lift pins 2471 and 2472 are raised. At this time, the rising height of the first and second lift pins 2247 and 2472 raises the first and second lift pins 2247 and 2472 so that the heights of the first and second lift pins 2247 and 2472 are different from each other.

그리고, 도 5k에 도시된 바와 같이 제 1 반응공간(S11,S21)에서는 제 2차 비처리 기판(W21,W22)을 인입시켜 도 5a 및 도 5d의 과정이 순차적으로 이루어져서 제 1 및 제 2 리프트핀(2471,2472)에 제 2차 비처리 기판(W21,W22)이 안착된다.In addition, as shown in FIG. 5K, in the first reaction spaces S11 and S21, the second unprocessed substrates W21 and W22 are introduced to sequentially process the processes of FIGS. 5A and 5D so that the first and second lifts are sequentially performed. Second unprocessed substrates W21 and W22 are seated on the pins 2471 and 2472.

이렇게 한 쌍의 프로세스 챔버(210)에 형성된 각각의 제 1 반응공간(S11,S21) 및 제 2 반응공간(S12,S22)에 한 쌍의 제 1차 비처리 기판(W11,W12) 및 제 2 차 비처리 기판(W)이 배치되었다면, 각각의 반응공간(S11,S12,S21,S22)에 마련된 안착 플레이트(241)를 상승시켜 비처리 기판(W11,W12,W21,W22)을 안착 플레이트(241) 상면에 안착시키는 동시에, 계속적인 상승을 하여 비처리 기판(W11,W12,W21,W22)이 반응위치까지 상승되도록 한다.Thus, a pair of first unprocessed substrates W11 and W12 and a second in each of the first reaction spaces S11 and S21 and the second reaction spaces S12 and S22 formed in the pair of process chambers 210. If the difference unprocessed substrate W is disposed, the mounting plates 241 provided in the respective reaction spaces S11, S12, S21, and S22 are raised to mount the untreated substrates W11, W12, W21, and W22. 241) while being seated on the upper surface, the continuous processing is performed so that the untreated substrates W11, W12, W21, W22 are raised to the reaction position.

그런 다음, 각각의 가스 분사부(221)에서 반응가스를 비처리 기판(W11,W12,W21,W22)에 분사하면서 가스 분사부(221)와 안착 플레이트(241) 사이에 플라즈마를 형성함에 따라 각각의 반응공간에서 소정의 처리공정을 동시에 진행한다. 이렇게 비처리 기판(W11,W12,W21,W22)의 처리가 동시에 완료되면, 완료된 기판(W)은 전술된 비처리 기판(W)의 로딩과정을 역순으로 진행하면서 프로세스 챔버(210)에서 언로딩시킨다. 그리고, 언로딩된 처리 기판(W)은 로드락 챔버(400)로 회수되고, 로드락 챔버(400)의 내부를 대기압 상태로 변경하고, 로드락 챔버(400)에 회수된 처리 기판(W)을 저장 랙(500)에 저장한다.Then, as each gas injector 221 forms a plasma between the gas injector 221 and the seating plate 241 while injecting the reaction gas onto the untreated substrates W11, W12, W21, and W22, respectively. The predetermined treatment process is carried out simultaneously in the reaction space of. When the processing of the unprocessed substrates W11, W12, W21, and W22 is completed at the same time, the completed substrate W is unloaded from the process chamber 210 while the above-described loading process of the unprocessed substrate W is performed in reverse order. Let's do it. The unloaded processing substrate W is recovered to the load lock chamber 400, the inside of the load lock chamber 400 is changed to an atmospheric pressure state, and the processing substrate W recovered to the load lock chamber 400. To store in the storage rack 500.

이상 실시예를 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허청구범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다. Although described with reference to the embodiments, it will be understood by those skilled in the art that the present invention may be modified and changed without departing from the spirit and scope of the invention as set forth in the claims below. .

도 1은 본 발명에 따른 기판 처리 시스템을 개략적으로 보여주는 구성도이고,1 is a schematic view showing a substrate processing system according to the present invention,

도 2는 본 발명에 따른 화학기상증착 장치를 개략적으로 보여주는 단면 개념도이고,Figure 2 is a schematic cross-sectional view showing a chemical vapor deposition apparatus according to the present invention,

도 3은 본 발명에 따른 화학기상증착 장치의 요부를 개략적으로 보여주는 평면도이고, Figure 3 is a plan view schematically showing the main part of the chemical vapor deposition apparatus according to the present invention,

도 4는 본 발명에 따른 회동암을 보여주는 사시도이며,4 is a perspective view showing the rotational arm according to the present invention,

도 5a 내지 도 5k는 본 발명에 따른 기판 처리 시스템의 작동 상태를 보여주는 단면 개념도이다.5A to 5K are cross-sectional conceptual views showing an operating state of a substrate processing system according to the present invention.

<도면의 주요 부분에 대한 부호의 설명><Explanation of symbols for the main parts of the drawings>

100: 이송챔버 200: 프로세스 챔버 모듈100: transfer chamber 200: process chamber module

210: 프로세스 챔버 220: 가스공급유닛210: process chamber 220: gas supply unit

221: 가스 분사부 240: 기판안착유닛221: gas injection unit 240: substrate seating unit

241: 안착 플레이트 250: 이송유닛241: mounting plate 250: transfer unit

251: 스핀들 253: 회동암251: spindle 253: slewing rock

Claims (7)

기판을 처리하는 장치에 있어서,In the apparatus for processing a substrate, 내부에 기판의 처리가 이루어지는 반응공간이 서로 연통되어 적어도 두 개 이상 형성되는 프로세스 챔버와;A process chamber in which at least two reaction chambers in which reaction processes in which substrates are processed are communicated with each other are formed; 상기 각각의 반응공간 상측에 배치되는 적어도 두 개 이상의 가스공급유닛과;At least two gas supply units disposed above each reaction space; 상기 각각의 반응공간 하측에 배치되고 상기 각각의 가스공급유닛에 근접되도록 승강되는 적어도 두 개 이상의 기판안착유닛과;At least two substrate seating units disposed below the respective reaction spaces and lifted to approach each of the gas supply units; 상승된 상기 기판안착유닛의 가장자리에서 일정한 간격만큼 이격된 상태로 상기 반응공간들의 상측을 둘러싸서 구획하고, 상기 기판안착유닛과의 이격공간으로 반응가스가 배기되도록 하는 하우징과;A housing surrounding the upper sides of the reaction spaces in a state spaced apart by a predetermined distance from the edge of the raised substrate seating unit, and allowing the reaction gas to be exhausted into a space separated from the substrate seating unit; 상기 반응공간 중 어느 하나에 인입된 기판을 인접된 반응공간으로 이송시키는 이송유닛을 포함하는 화학기상증착 장치.Chemical vapor deposition apparatus comprising a transfer unit for transferring the substrate introduced into any one of the reaction space to the adjacent reaction space. 청구항 1에 있어서,The method according to claim 1, 상기 가스공급유닛은 The gas supply unit 상기 각각의 반응공간 상부에 배치되어 반응가스를 반응공간에 분사하는 적어도 두 개 이상의 가스 분사부와;At least two gas injectors disposed above the respective reaction spaces to inject the reaction gas into the reaction spaces; 상기 프로세스 챔버의 외부에 구비되어 상기 각각의 가스 분사부에 반응가스를 공급하는 반응가스 공급부와;A reaction gas supply unit provided outside the process chamber to supply a reaction gas to each of the gas injection units; 상기 반응가스 공급부에 연결되어 상기 각각의 가스 분사부로 반응가스가 유동되도록 분기되는 반응가스 공급라인을 포함하는 화학기상증착 장치.And a reaction gas supply line connected to the reaction gas supply part and branched to flow the reaction gas into the respective gas injection parts. 청구항 2에 있어서, 상기 가스공급유닛은,The gas supply unit of claim 2, 상기 프로세스 챔버의 외부에 구비되어 상기 각각의 가스 분사부에 세정가스를 공급하는 세정가스 공급부와;A cleaning gas supply unit provided outside the process chamber to supply a cleaning gas to each of the gas injection units; 상기 세정가스 공급부에 연결되어 상기 각각의 가스 분사부 및 상기 각각의 반응공간 측부로 반응가스가 유동되도록 분기되는 세정가스 공급라인을 포함하는 화학기상증착 장치.And a cleaning gas supply line connected to the cleaning gas supply part and branched to flow the reaction gas to the respective gas injection parts and the respective reaction space sides. 청구항 1에 있어서, 상기 이송유닛은,The method according to claim 1, wherein the transfer unit, 상기 프로세스 챔버의 반응공간들 사이에 구비되는 스핀들과;A spindle provided between the reaction spaces of the process chamber; 상기 스핀들에 연결되어 상기 반응공간들 사이에서 회동되는 회동암과;A rotational arm connected to the spindle and pivoted between the reaction spaces; 상기 스핀들을 회동시키는 회동 구동부를 포함하는 화학기상증착 장치.Chemical vapor deposition apparatus comprising a rotation drive for rotating the spindle. 청구항 4에 있어서,The method according to claim 4, 상기 회동암은 일측에 상기 스핀들의 측방으로 연결되는 연결부와, 타측에 기판이 안착되는 안착부가 형성되는 것을 특징으로 하는 화학기상증착 장치.The pivotal arm is a chemical vapor deposition apparatus characterized in that the connection portion is connected to the side of the spindle side on one side, and a seating portion for mounting the substrate on the other side. 청구항 5에 있어서,The method according to claim 5, 상기 안착부는 상기 이송유닛에 구비되는 리프트핀의 설치 위치에 간섭되지 않도록 적어도 두 개 이상의 갈래로 분기되어 절곡되는 것을 특징으로 하는 화학기상증착 장치. The seating unit is chemical vapor deposition apparatus characterized in that the branched at least two or more bent so as not to interfere with the installation position of the lift pin provided in the transfer unit. 이송 챔버와;A transfer chamber; 내부에 기판의 처리가 이루어지는 반응공간이 서로 연통되어 적어도 두 개 이상 형성되고, 상기 각각의 반응공간 상측에는 적어도 두 개 이상의 가스공급유닛이 배치되며, 상기 각각의 반응공간 하측에는 상기 각각의 가스공급유닛에 근접되도록 승강되는 적어도 두 개 이상의 기판안착유닛이 구비되고, 상승된 상기 기판안착유닛의 가장자리에서 일정한 간격만큼 이격된 상태로 상기 반응공간들의 상측을 둘러싸서 구획하고, 상기 기판안착유닛과의 이격공간으로 반응가스가 배기되도록 하우징이 구비되며, 상기 반응공간들 사이에서 기판을 각각의 반응공간으로 이송시키는 이송유닛이 구비되어 상기 이송 챔버에 연결되는 적어도 두 개 이상의 프로세 스 챔버 모듈과;At least two reaction spaces in which a substrate is processed are communicated with each other, and at least two gas supply units are disposed above each reaction space, and each gas supply is provided below each reaction space. At least two substrate seating units are provided, which are elevated to approach the unit, and are partitioned by surrounding the upper sides of the reaction spaces at a predetermined interval from the edge of the substrate seating unit. At least two process chamber modules provided with a housing to exhaust the reaction gas into the separation space and having a transfer unit for transferring the substrate to each reaction space between the reaction spaces and connected to the transfer chamber; 상기 이송 챔버 내에 구비되고, 다수의 기판을 동시에 이송하기 위한 다수의 기판 핸들링 블레이드가 구비되는 핸들링 로봇과;A handling robot provided in the transfer chamber and having a plurality of substrate handling blades for simultaneously transferring a plurality of substrates; 상기 이송 챔버에 연결되는 로드락 챔버를 포함하고,A load lock chamber connected to the transfer chamber; 상기 프로세스 챔버 모듈들은 핸들링 블레이드의 수와 대응되는 개수가 인접배치되어 상기 핸들링 로봇의 동작에 의해 상기 인접배치되는 프로세스 챔버 모듈들에 기판이 동시에 출입되는 것을 특징으로 하는 기판 처리 시스템.The process chamber modules are arranged in a number corresponding to the number of the handling blades adjacent to the substrate processing system, characterized in that the substrate simultaneously enters into and out of the process chamber modules arranged adjacently by the operation of the handling robot.
KR1020090040815A 2009-05-11 2009-05-11 Cvd apparatus and substrate processing system KR20100032812A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020090040815A KR20100032812A (en) 2009-05-11 2009-05-11 Cvd apparatus and substrate processing system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020090040815A KR20100032812A (en) 2009-05-11 2009-05-11 Cvd apparatus and substrate processing system

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020080091766A Division KR100903521B1 (en) 2008-09-18 2008-09-18 Substrate processing method

Publications (1)

Publication Number Publication Date
KR20100032812A true KR20100032812A (en) 2010-03-26

Family

ID=42181941

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090040815A KR20100032812A (en) 2009-05-11 2009-05-11 Cvd apparatus and substrate processing system

Country Status (1)

Country Link
KR (1) KR20100032812A (en)

Cited By (317)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012036393A2 (en) * 2010-09-15 2012-03-22 주식회사 유진테크 Substrate-processing apparatus and substrate-transferring method
US20120325148A1 (en) * 2011-06-22 2012-12-27 Asm Japan K.K. Method for Positioning Wafers in Multiple Wafer Transport
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
KR20190084186A (en) * 2018-01-05 2019-07-16 삼성디스플레이 주식회사 Manufacturing apparatus and method for a display apparatus
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US12025484B2 (en) 2019-04-29 2024-07-02 Asm Ip Holding B.V. Thin film forming method

Cited By (417)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2012036393A2 (en) * 2010-09-15 2012-03-22 주식회사 유진테크 Substrate-processing apparatus and substrate-transferring method
WO2012036393A3 (en) * 2010-09-15 2012-06-21 주식회사 유진테크 Substrate-processing apparatus and substrate-transferring method
CN103119707A (en) * 2010-09-15 2013-05-22 株式会社Eugene科技 Substrate-processing apparatus and substrate-transferring method
US20130149078A1 (en) * 2010-09-15 2013-06-13 Eugene Technology Co., Ltd. Substrate-processing apparatus and substrate-transferring method
JP2013546159A (en) * 2010-09-15 2013-12-26 ユ−ジーン テクノロジー カンパニー.リミテッド Substrate processing apparatus and substrate transfer method
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20120325148A1 (en) * 2011-06-22 2012-12-27 Asm Japan K.K. Method for Positioning Wafers in Multiple Wafer Transport
US9793148B2 (en) * 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US12000042B2 (en) 2016-12-15 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
KR20190084186A (en) * 2018-01-05 2019-07-16 삼성디스플레이 주식회사 Manufacturing apparatus and method for a display apparatus
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US12020938B2 (en) 2018-03-27 2024-06-25 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US12025484B2 (en) 2019-04-29 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11996304B2 (en) 2019-07-16 2024-05-28 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US12033885B2 (en) 2021-01-04 2024-07-09 Asm Ip Holding B.V. Channeled lift pin
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US12033861B2 (en) 2021-06-07 2024-07-09 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US12027365B2 (en) 2021-11-19 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices
US12033849B2 (en) 2022-12-08 2024-07-09 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by PEALD using bis(diethylamino)silane

Similar Documents

Publication Publication Date Title
KR20100032812A (en) Cvd apparatus and substrate processing system
JP6002312B2 (en) Equipment and cluster equipment for selective epitaxial growth
JP4912253B2 (en) Substrate transport apparatus, substrate processing apparatus, and substrate transport method
KR101685150B1 (en) Thin film deposition apparatus and substrate processing system comprising the same
KR101390474B1 (en) Apparatus for processing substrate
KR100779118B1 (en) Display Panel Manufacturing System
KR102135740B1 (en) Substrate process apparatus
KR20180013034A (en) Substrate processing apparatus and method of operating the same
WO2014003297A1 (en) Substrate heating device and process chamber
US20120325148A1 (en) Method for Positioning Wafers in Multiple Wafer Transport
KR102163605B1 (en) Substrate processing apparatus
KR101133390B1 (en) Heat treatment method and heat treatment apparatus
KR102244354B1 (en) Substrate transfer mechanism, substrate processing apparatus, and substrate processing method
US10872798B2 (en) Substrate transfer mechanism, substrate processing apparatus, and substrate transfer method
KR20130074145A (en) Tray exchanging module, substrate processing apparatus and method
KR101372333B1 (en) Substrate processing module and substrate processing apparatus including the same
KR101394109B1 (en) Substrate processing apparatus and Substrate processing system
KR100903521B1 (en) Substrate processing method
KR20170055141A (en) Substrate disposition apparatus and substrate disposition method
JP2003037146A (en) Semiconductor manufacturing apparatus having buffer mechanism and method
KR20130069310A (en) Substrate holder unit and substrate processing apparatus using the same
KR100317462B1 (en) Substrate processing apparatus
KR20110072356A (en) Substrate process system, and process module therefor
KR101856112B1 (en) Substrate process chamber and apparatus
KR101168148B1 (en) Ald for manufacturing solar-cell

Legal Events

Date Code Title Description
A107 Divisional application of patent
WITN Withdrawal due to no request for examination