JP3140111U - Gas supply equipment for semiconductor manufacturing equipment - Google Patents

Gas supply equipment for semiconductor manufacturing equipment Download PDF

Info

Publication number
JP3140111U
JP3140111U JP2007009817U JP2007009817U JP3140111U JP 3140111 U JP3140111 U JP 3140111U JP 2007009817 U JP2007009817 U JP 2007009817U JP 2007009817 U JP2007009817 U JP 2007009817U JP 3140111 U JP3140111 U JP 3140111U
Authority
JP
Japan
Prior art keywords
gas
gas supply
manifold
supply device
supply pipe
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2007009817U
Other languages
Japanese (ja)
Inventor
亮 清水
朗 渡部
Original Assignee
日本エー・エス・エム株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日本エー・エス・エム株式会社 filed Critical 日本エー・エス・エム株式会社
Priority to JP2007009817U priority Critical patent/JP3140111U/en
Application granted granted Critical
Publication of JP3140111U publication Critical patent/JP3140111U/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Landscapes

  • Chemical Vapour Deposition (AREA)

Abstract

【課題】抵抗率の半導体基板面内均一性を向上させることが可能な半導体製造装置用のガス供給装置を提供する。
【解決手段】半導体製造装置の反応室内部にプロセスガス及びパージガスを導入するためのガス供給装置1は、反応室内部にプロセスガスを導入するためのマニホールド2を有し、マニホールド2には、少なくとも4つのガス供給配管(3,4,5,6)が結合されており、プロセスガスのひとつである添加ガスが、オリフィス7を有する複数の流路に分流されて、ガス供給配管の少なくとも2つを通じてマニホールド2に流入するように構成されたガスラインを備えることを特徴とする。
【選択図】図1
A gas supply apparatus for a semiconductor manufacturing apparatus capable of improving the uniformity of resistivity within a semiconductor substrate surface.
A gas supply apparatus (1) for introducing a process gas and a purge gas into a reaction chamber inside a semiconductor manufacturing apparatus has a manifold (2) for introducing the process gas into the reaction chamber, and the manifold (2) includes at least Four gas supply pipes (3, 4, 5, 6) are connected, and an additive gas, which is one of the process gases, is divided into a plurality of flow paths having orifices 7, so that at least two of the gas supply pipes And a gas line configured to flow into the manifold 2.
[Selection] Figure 1

Description

本考案は、半導体製造装置にプロセスガスを供給するためのガス供給装置の構造に関する。   The present invention relates to a structure of a gas supply apparatus for supplying a process gas to a semiconductor manufacturing apparatus.

従来、原子層堆積法(ALD:Atomic Layer Deposition)を用いた金属薄膜の製造が周知である。ALD法は、材料物質膜を一度に単層ずつ形成するものである。そのため、2種類以上のプロセスガスが交互にかつ連続的に導入される。このALDによれば、層の膜厚均一性及び堆積層の整合性が改善されるため、超薄膜ゲートの形成、メタライゼーションのための超薄膜バリア層、シード層の形成などへの応用が期待されている。   Conventionally, the manufacture of a metal thin film using an atomic layer deposition (ALD) is well known. In the ALD method, a material film is formed one layer at a time. For this reason, two or more kinds of process gases are alternately and continuously introduced. According to this ALD, the film thickness uniformity of the layer and the consistency of the deposited layer are improved. Therefore, it is expected to be applied to the formation of ultra-thin gates, ultra-thin barrier layers for metallization, and seed layers. Has been.

ALD法によるタンタル(Ta)系金属薄膜の形成には、プラズマALD法が用いられてきた。その際、前駆体として、TaF5、TaCl5、TBTDET(tris-diethylamino-buthylimino-Tantalum)、TiMata(tertiaryamlimidotris-diethylamino-Tantalum)等のハロゲン系Taまたは有機系Taを用い、反応ガスとしてH2、NH3を用いて、反応ガスの導入時に13.56MHzまたは27MHzの高周波(RF)電力を印加し、半導体基板上にTa系薄膜が堆積される。特に、抵抗率を制御する必要がある場合、添加ガスとして、窒素ガス(N2)が用いられる。   A plasma ALD method has been used to form a tantalum (Ta) metal thin film by the ALD method. At that time, halogen-based Ta or organic Ta such as TaF5, TaCl5, TBTDET (tris-diethylamino-buthylimino-Tantalum), TiMata (tertiaryamlimidotris-diethylamino-Tantalum) is used as a precursor, and H2 and NH3 are used as reaction gases. Then, when introducing the reactive gas, radio frequency (RF) power of 13.56 MHz or 27 MHz is applied, and a Ta-based thin film is deposited on the semiconductor substrate. In particular, when the resistivity needs to be controlled, nitrogen gas (N2) is used as the additive gas.

しかしながら、Ta系膜は成膜時に膜中に取り込まれる窒素原子の量によって抵抗率が大きく変化するため、窒素ガスの流量が増加すると半導体基板面内での抵抗率の均一性が悪くなるという問題があった。   However, since the resistivity of Ta-based films varies greatly depending on the amount of nitrogen atoms taken into the film at the time of film formation, the uniformity of the resistivity in the semiconductor substrate surface deteriorates when the flow rate of nitrogen gas increases. was there.

本願の目的は、半導体基板表面に堆積する膜の抵抗率の面内均一性を向上させることが可能な半導体製造装置用のガス供給装置を提供することである。   The objective of this application is providing the gas supply apparatus for semiconductor manufacturing apparatuses which can improve the in-plane uniformity of the resistivity of the film | membrane deposited on the surface of a semiconductor substrate.

本考案のひとつの態様において、半導体製造装置の反応室内部にプロセスガス及びパージガスを導入するためのガス供給装置は、前記反応室内部に前記プロセスガスを導入するためのマニホールドを有し、前記マニホールドには、少なくとも3つのガス供給配管が結合されており、前記プロセスガスのひとつである添加ガスが、オリフィスを有する複数の流路に分流されて、前記ガス供給配管の少なくとも2つを通じて前記マニホールドに流入するように構成されたガスラインシステムを備えることを特徴とする。   In one aspect of the present invention, a gas supply device for introducing a process gas and a purge gas into a reaction chamber inside a semiconductor manufacturing apparatus has a manifold for introducing the process gas into the reaction chamber, and the manifold Is connected to at least three gas supply pipes, and an additive gas, which is one of the process gases, is divided into a plurality of flow paths having orifices, and is supplied to the manifold through at least two of the gas supply pipes. It comprises a gas line system configured to flow in.

本考案の他の態様において、半導体製造装置の反応室内部に、プロセスガス及びパージガスを導入するためのガス供給装置は、前記反応室内部に前記プロセスガス及びパージガスを導入するためのマニホールドと、前記マニホールドに結合されたガスラインシステムとを備え、前記ガスラインシステムは、前記マニホールドに互いに略等間隔に結合された、第1ガス供給配管、第2ガス供給配管、第3ガス供給配管及び第4ガス供給配管を有し、前記第1ガス供給配管はパージガス供給装置に結合し、前記第2ガス供給配管は二手に分岐し、一方は第1反応ガス供給装置に結合し、他方はオリフィスを介して分流点でさらに二手に分岐し、その一方はパージガス供給装置に結合し、他方は前記プロセスガスのひとつである添加ガス供給装置に結合し、前記第3ガス供給配管は、二手に分岐し、一方は第2反応ガス供給装置に結合し、他方は第2オリフィスを介して前記分流点に結合し、前記第4ガス供給配管は、二手に分岐し、一方は前駆体ガス供給装置に結合し、他方は第3オリフィスを介して前記分流点に結合することを特徴とする。   In another aspect of the present invention, a gas supply device for introducing process gas and purge gas into a reaction chamber inside a semiconductor manufacturing apparatus includes a manifold for introducing the process gas and purge gas into the reaction chamber, A gas line system coupled to a manifold, wherein the gas line system is coupled to the manifold at substantially equal intervals, a first gas supply pipe, a second gas supply pipe, a third gas supply pipe, and a fourth gas supply system. A gas supply pipe, the first gas supply pipe is connected to a purge gas supply apparatus, the second gas supply pipe is bifurcated, one is connected to the first reaction gas supply apparatus, and the other is connected through an orifice. Branching at the branch point, one of which is connected to the purge gas supply device and the other is connected to the additive gas supply device which is one of the process gases. The third gas supply pipe is bifurcated, one is connected to the second reactive gas supply device, the other is connected to the diversion point via the second orifice, and the fourth gas supply pipe is The second branch is one, and one is connected to the precursor gas supply device, and the other is connected to the branch point through the third orifice.

本考案のさらに他の態様において、半導体製造装置の反応室内部に、プロセスガス及びパージガスを導入するためのガス供給装置は、前記反応室内部に前記プロセスガス及びパージガスを導入するためのマニホールドと、前記マニホールドに結合されたガスラインシステムとを備え、前記ガスラインシステムは、前記マニホールドに互いに略等間隔に結合された、第1ガス供給配管、第2ガス供給配管、第3ガス供給配管及び第4ガス供給配管を有し、前記第1ガス供給配管はパージガス供給装置に結合し、前記第2ガス供給配管は二手に分岐し、一方は第1反応ガス供給装置に結合し、他方は第1オリフィスを介して分流点でさらに二手に分岐し、その一方はパージガス供給装置に結合し、他方はさらに二手に分岐し、その一方は前記プロセスガスのひとつである添加ガス供給装置に結合し、他方は第2反応ガス供給装置に結合し、前記第3ガス供給配管は、第2オリフィスを介して、前記分流点に結合し、前記第4ガス供給配管は二手に分岐し、一方は前駆体ガス供給装置に結合し、他方は第3オリフィスを介して前記分流点に結合することを特徴とする。   In still another aspect of the present invention, a gas supply device for introducing a process gas and a purge gas into a reaction chamber inside a semiconductor manufacturing apparatus includes a manifold for introducing the process gas and the purge gas into the reaction chamber, A gas line system coupled to the manifold, wherein the gas line system is coupled to the manifold at substantially equal intervals from each other, the first gas supply pipe, the second gas supply pipe, the third gas supply pipe, and the first gas supply system. 4 gas supply pipes, the first gas supply pipe is coupled to the purge gas supply apparatus, the second gas supply pipe is bifurcated, one is coupled to the first reaction gas supply apparatus, and the other is the first Two further branches at the diversion point through the orifice, one of which is connected to the purge gas supply device and the other is further branched into two. The other gas is connected to the second reaction gas supply device, the third gas supply pipe is connected to the branch point via the second orifice, and the fourth gas is connected to the branch point. The gas supply pipe is bifurcated, one is connected to the precursor gas supply device, and the other is connected to the branch point through the third orifice.

本願によれば、半導体基板表面に堆積する膜の抵抗率の面内均一性を向上させることが可能な半導体製造装置用のガス供給装置を提供することができる。   According to the present application, it is possible to provide a gas supply device for a semiconductor manufacturing apparatus capable of improving the in-plane uniformity of resistivity of a film deposited on the surface of a semiconductor substrate.

以下、図面を参照しながら、本考案の実施形態について説明する。   Hereinafter, embodiments of the present invention will be described with reference to the drawings.

[考案の第1の実施形態]
図1は、本考案の第1の実施形態に係るガス供給装置を概略的に示したものである。第1の実施形態に係るガス供給装置1は、後述するプラズマALD装置50と結合するマニホールド2と、該マニホールド2に結合された第1ガス供給配管3、第2ガス供給配管4、第3ガス供給配管5、第4ガス供給配管6を含むガスラインシステムとを備えて構成されている。
[First embodiment of the invention]
FIG. 1 schematically shows a gas supply apparatus according to a first embodiment of the present invention. The gas supply apparatus 1 according to the first embodiment includes a manifold 2 coupled to a plasma ALD apparatus 50 described later, a first gas supply pipe 3, a second gas supply pipe 4, and a third gas coupled to the manifold 2. And a gas line system including a supply pipe 5 and a fourth gas supply pipe 6.

第1ガス供給配管3は、マニホールド2から上流側に向かって、質量流量制御器8を介して、パージガス供給装置(図示せず)に結合されている。パージガスは、例えばアルゴン(Ar)のような不活性ガスである。   The first gas supply pipe 3 is connected to a purge gas supply device (not shown) via a mass flow controller 8 from the manifold 2 toward the upstream side. The purge gas is an inert gas such as argon (Ar).

第2ガス供給配管4は、マニホールド2から上流側に向かって、分岐点9において二手に分岐し、ガスライン10及びガスライン11となる。ガスライン10の終端は第1反応ガス供給装置(図示せず)に結合されている。ガスライン11には流体の流れを絞るオリフィス7が設けられ、分流点12において二手に分岐し、ガスライン13及びガスライン14となる。ガスライン13は質量流量制御器8を介して、例えばアルゴンガスのようなパージガス供給装置(図示せず)に結合されている。ガスライン14は質量流量制御器8を介して窒素ガス(N2)供給装置(図示せず)に結合されている。   The second gas supply pipe 4 is bifurcated at the branch point 9 from the manifold 2 toward the upstream side to become a gas line 10 and a gas line 11. The end of the gas line 10 is coupled to a first reaction gas supply device (not shown). The gas line 11 is provided with an orifice 7 for restricting the flow of the fluid. The gas line 13 is coupled via a mass flow controller 8 to a purge gas supply device (not shown) such as argon gas. The gas line 14 is coupled via a mass flow controller 8 to a nitrogen gas (N2) supply device (not shown).

第3ガス供給配管5は、マニホールド2から上流側に向かって、分岐点15において二手に分岐し、ガスライン16及びガスライン17となる。ガスライン16の終端は第2反応ガス供給装置(図示せず)に結合されている。ガスライン17には流体の流れを絞るオリフィス7が設けられ、分岐点18を経て、ガスライン19となる。ガスライン19は分流点12において上記したガスライン13及びガスライン14に分岐する。   The third gas supply pipe 5 is bifurcated at the branch point 15 from the manifold 2 toward the upstream side to form a gas line 16 and a gas line 17. The end of the gas line 16 is coupled to a second reaction gas supply device (not shown). The gas line 17 is provided with an orifice 7 for restricting the flow of fluid, and becomes a gas line 19 through a branch point 18. The gas line 19 branches to the gas line 13 and the gas line 14 at the branch point 12.

第4ガス供給配管6は、マニホールド2から上流側に向かって、分岐点20において二手に分岐し、ガスライン21及びガスライン22となる。ガスライン21の終端は前駆体(プリカーサ)供給装置(図示せず)に結合されている。ガスライン22には流体の流れを絞るオリフィス7が設けられている。ガスライン22は、分岐点18を経て、ガスライン19となり、分流点12に至り、上記したガスライン13及びガスライン14となる。   The fourth gas supply pipe 6 is bifurcated at the branch point 20 from the manifold 2 toward the upstream side to become a gas line 21 and a gas line 22. The end of the gas line 21 is coupled to a precursor supply device (not shown). The gas line 22 is provided with an orifice 7 for restricting the flow of fluid. The gas line 22 passes through the branch point 18 to become the gas line 19, reaches the branch point 12, and becomes the gas line 13 and the gas line 14 described above.

各ガスラインには、バルブ23が適宜設けられる。また、質量流量制御装置8はすべてのガスラインに設けることも可能であり、選択的に特定のガスラインに設けることも可能である。オリフィス7は円環状のものが一般的であるが、流体の流れを絞る機能を有するものであればどのようなものでもよい。   Each gas line is provided with a valve 23 as appropriate. Further, the mass flow rate control device 8 can be provided in all the gas lines, or can be selectively provided in a specific gas line. The orifice 7 is generally an annular one, but may be any as long as it has a function of restricting the flow of fluid.

本考案の第1の実施形態に係るガス供給装置1のマニホールド2を図2に示す。同図(a)は、マニホールド2の側面図であり、同図(b)はA−A’断面図である。マニホールド2は、略直方体形状を有し、内側中央部に円筒形の空洞24を備える。マニホールド2の4つの側面には、上記した第1、第2、第3、第4ガス供給配管が互いに等間隔で結合されており、それぞれ空洞24と連通している。マニホールド2の形状は、これに限定されず、任意の形状を取りうる。また、ガス供給配管の数は4つに限定されず、反応ガスが1種類の場合には3つでもよい。すなわち、少なくとも3つのガス供給配管を備えればよい。   A manifold 2 of the gas supply device 1 according to the first embodiment of the present invention is shown in FIG. FIG. 4A is a side view of the manifold 2, and FIG. 4B is a cross-sectional view taken along line A-A '. The manifold 2 has a substantially rectangular parallelepiped shape, and includes a cylindrical cavity 24 at an inner central portion. The first, second, third, and fourth gas supply pipes described above are coupled to the four side surfaces of the manifold 2 at equal intervals, and communicate with the cavity 24, respectively. The shape of the manifold 2 is not limited to this, and can take any shape. Further, the number of gas supply pipes is not limited to four, and may be three when there is one kind of reaction gas. That is, at least three gas supply pipes may be provided.

次に、本考案の第1の実施形態に係るガス供給装置1の機能について説明する。N2ガス供給装置から供給されたN2ガスは、質量流量制御器8により所定の流量に制御された後、流量fのN2ガスとなる。流量fのN2ガスが分流点12に流入される。流量fのN2ガスは、分流点12において分流され、ガスライン11、17、22を流れる。これらガスライン11、17、22に設けられたオリフィス7によって、N2ガスは各ガスライン11、17、22を流量f1、f2、f3で流れる。ここで、f=f1+f2+f3であって、f1=f2=f3となるようにオリフィス7を調節する。   Next, functions of the gas supply device 1 according to the first embodiment of the present invention will be described. The N2 gas supplied from the N2 gas supply device is controlled to a predetermined flow rate by the mass flow controller 8 and then becomes N2 gas having a flow rate f. N 2 gas having a flow rate f is introduced into the diversion point 12. The N2 gas having a flow rate f is diverted at the diversion point 12 and flows through the gas lines 11, 17, and 22. N2 gas flows through the gas lines 11, 17, and 22 at flow rates f1, f2, and f3 through the orifices 7 provided in the gas lines 11, 17, and 22, respectively. Here, the orifice 7 is adjusted so that f = f1 + f2 + f3 and f1 = f2 = f3.

流量f1のN2ガスは、分岐点9を介して、第2ガス供給配管4を通じてマニホールド2に流入される。流量f2のN2ガスは、分岐点15を介して、第3ガス供給配管5を通じてマニホールド2に流入される。流量f3のN2ガスは、分岐点20を介して、第4ガス供給配管6を通じてマニホールド2に流入される。マニホールド2の内部では、図2(b)に示すように、3方向から均一な流量のN2ガス(流量f1、f2、f3)が流入するため、マニホールド2内部におけるN2ガス濃度の均一性が向上する。ひいては、成膜時に半導体基板上に噴射されるN2ガスの均一性が向上する。   The N2 gas at the flow rate f1 flows into the manifold 2 through the second gas supply pipe 4 via the branch point 9. The N 2 gas at the flow rate f 2 flows into the manifold 2 through the third gas supply pipe 5 via the branch point 15. The N2 gas at the flow rate f3 flows into the manifold 2 through the fourth gas supply pipe 6 via the branch point 20. Inside the manifold 2, as shown in FIG. 2 (b), N2 gas (flow rates f1, f2, f3) having a uniform flow rate from three directions flows, so that the uniformity of the N2 gas concentration in the manifold 2 is improved. To do. As a result, the uniformity of the N 2 gas injected onto the semiconductor substrate during film formation is improved.

また、パージガスであるアルゴンガスArは、質量流量制御8で所定の流量に制御されたのち、ガスライン13を通じて流れ、分流点12で分流される。パージガスは、N2ガスと同様の流路をたどってマニホールド2に至る。   The argon gas Ar, which is a purge gas, is controlled to a predetermined flow rate by the mass flow rate control 8, then flows through the gas line 13, and is diverted at the diversion point 12. The purge gas follows the same flow path as the N 2 gas and reaches the manifold 2.

ここで、本考案の第1の実施形態に係るガス供給装置と、従来のガス供給装置との違いについて説明する。図3は、従来のガス供給装置30を概略的に示したものである。第1実施形態と同一の構成要素については、同一符号で示す。   Here, the difference between the gas supply device according to the first embodiment of the present invention and the conventional gas supply device will be described. FIG. 3 schematically shows a conventional gas supply device 30. The same components as those in the first embodiment are denoted by the same reference numerals.

従来のガス供給装置30は、第1実施形態と同様に、マニホールド2と、該マニホールド2に互いに等間隔で結合された4つのガス供給配管とを備える点で第1実施形態と同様であるが、N2ガスは第1ガス供給配管3を通じてのみマニホールド2に流入される点で第1実施形態と異なっている。   Similar to the first embodiment, the conventional gas supply device 30 is similar to the first embodiment in that it includes a manifold 2 and four gas supply pipes coupled to the manifold 2 at equal intervals. , N2 gas is different from the first embodiment in that it flows into the manifold 2 only through the first gas supply pipe 3.

従来のガス供給装置30では、4つのガス供給配管のうち、一つのガス供給配管3のみからN2ガスが供給されるため、N2ガス濃度の均一性が劣る。したがって、従来のガス供給装置30では、成膜時に均一性が高いN2ガスを半導体基板上に噴射することが不可能であった。本考案は、この問題点を解決するべく考案されたものである。   In the conventional gas supply apparatus 30, since N2 gas is supplied from only one gas supply pipe 3 among the four gas supply pipes, the uniformity of the N2 gas concentration is inferior. Therefore, in the conventional gas supply apparatus 30, it was impossible to inject N2 gas with high uniformity onto the semiconductor substrate during film formation. The present invention has been devised to solve this problem.

本考案の第1実施形態によれば、N2ガスを分流し、分流したそれぞれのガスラインにオリフィスを設けることにより、マニホールド内でのN2ガス濃度を均一化することができる。結果として、半導体基板に噴射するN2ガス濃度の均一性を向上させることが可能となる。   According to the first embodiment of the present invention, N2 gas is divided and an orifice is provided in each of the divided gas lines, so that the N2 gas concentration in the manifold can be made uniform. As a result, it is possible to improve the uniformity of the N2 gas concentration injected onto the semiconductor substrate.

また、パージガスを供給するガスラインとN2ガスを供給するガスラインとを共有化することにより、装置構造を簡略化することが可能となる。   Further, by sharing the gas line for supplying the purge gas and the gas line for supplying the N 2 gas, the structure of the apparatus can be simplified.

[考案の第2の実施形態]
次に、本考案の第2の実施形態に係るガス供給装置について図面を参照して説明する。図4は、第2の実施形態に係るガス供給装置を概略的に示したものである。第2実施形態に係るガス供給装置40は、第2反応ガスを供給するためのガスライン16’が新たに設けられ、該ガスライン16’はN2ガス供給用のガスライン14と分岐点26で結合する点で、第1実施形態と異なる。第1実施形態と同一の構成要素については、同一符号で示す。
[Second Embodiment of the Invention]
Next, a gas supply device according to a second embodiment of the present invention will be described with reference to the drawings. FIG. 4 schematically shows a gas supply device according to the second embodiment. The gas supply apparatus 40 according to the second embodiment is newly provided with a gas line 16 ′ for supplying a second reaction gas, and the gas line 16 ′ includes a gas line 14 for supplying N 2 gas and a branch point 26. It differs from the first embodiment in that it is coupled. The same components as those in the first embodiment are denoted by the same reference numerals.

ガスライン16’を流れる第2反応ガスは、分岐点26を介して、ガスライン27を流れ、分流点12を介して、ガスライン11、17、22に分流される。ガスライン11、17、22に設けられたオリフィス7により、流量制限された後、ガス供給配管4、5、6を通じて、マニホールド2に流入される。   The second reaction gas flowing through the gas line 16 ′ flows through the gas line 27 via the branch point 26, and is branched into the gas lines 11, 17, and 22 through the branch point 12. After the flow rate is restricted by the orifices 7 provided in the gas lines 11, 17, and 22, the gas flows into the manifold 2 through the gas supply pipes 4, 5, and 6.

その他の機能については、第1実施形態と同様なので説明を省略する。尚、図中、ガスライン16が示されているが、ガスライン16’を使用する場合には省略することができる。   Since other functions are the same as those in the first embodiment, the description thereof is omitted. Although the gas line 16 is shown in the figure, it can be omitted when the gas line 16 'is used.

本考案の第2実施形態によれば、反応ガス及びN2ガスを分流し、分流したそれぞれのガスラインにオリフィスを設けることにより、マニホールド内での反応ガス及びN2ガスの濃度を均一化することができる。結果として、半導体基板上に噴射する反応ガス及びN2ガス濃度の均一性を向上させることが可能となる。   According to the second embodiment of the present invention, the reactant gas and the N2 gas are divided, and the orifices are provided in the divided gas lines, so that the concentrations of the reactant gas and the N2 gas in the manifold can be made uniform. it can. As a result, it is possible to improve the uniformity of the concentration of the reactive gas and N2 gas injected onto the semiconductor substrate.

また、反応ガス、パージガス及びN2ガスを供給するガスラインを共有化することにより、装置構造を簡略化することが可能となる。   In addition, by sharing a gas line for supplying the reaction gas, purge gas, and N 2 gas, the structure of the apparatus can be simplified.

[プラズマALD装置の構造]
次に、本考案の第1の実施形態に係るプラズマALD装置について説明する。図5は、本実施形態に係るプラズマALD装置50の断面を概略的に示したものである。プラズマALD装置50は、リアクタ51と、該リアクタ51内にあって半導体基板52を載置するためのサセプタ53と、該サセプタ53に対向して設置され、半導体基板52に反応ガスを噴射するためのシャワーヘッド54とを備えて構成されている。
[Structure of plasma ALD apparatus]
Next, a plasma ALD apparatus according to the first embodiment of the present invention will be described. FIG. 5 schematically shows a cross section of the plasma ALD apparatus 50 according to the present embodiment. The plasma ALD apparatus 50 is installed in a reactor 51, a susceptor 53 for placing a semiconductor substrate 52 in the reactor 51, and opposed to the susceptor 53, for injecting a reaction gas to the semiconductor substrate 52. The shower head 54 is provided.

リアクタ51の内部は、ロードロックチャンバ(図示せず)との間で半導体基板52の受け渡しを行うための搬送室55と、プラズマALD反応を生じさせ薄膜を堆積するための反応室56とに区分けされる。搬送室55の底部には、搬送室55内部を真空排気するための排気口57が設けられ、該排気口57はリアクタ外部の真空ポンプ(図示せず)に結合されている。搬送室55は排気口57を通じて真空排気される。反応室56の周囲には環状の排気ダクト58が設けられ、排気ダクト58の側面に設けられた排気口59を通じて反応室56の内部が排気される。   The interior of the reactor 51 is divided into a transfer chamber 55 for transferring the semiconductor substrate 52 to and from a load lock chamber (not shown) and a reaction chamber 56 for generating a plasma ALD reaction and depositing a thin film. Is done. An exhaust port 57 for evacuating the interior of the transfer chamber 55 is provided at the bottom of the transfer chamber 55, and the exhaust port 57 is connected to a vacuum pump (not shown) outside the reactor. The transfer chamber 55 is evacuated through an exhaust port 57. An annular exhaust duct 58 is provided around the reaction chamber 56, and the inside of the reaction chamber 56 is exhausted through an exhaust port 59 provided on a side surface of the exhaust duct 58.

サセプタ53は、例えばアルミニウム合金から成り、その内部には半導体基板52を加熱するためのヒータ(図示せず)が埋設されている。該ヒータは、例えば抵抗加熱型シースヒータである。サセプタ53は、接地されており、プラズマ放電の一方の電極を構成する。サセプタ53は、アルミニウム合金の代わりにセラミック製であってもよい。セラミック製のサセプタは、抵抗加熱型ヒータを一体焼結して製作されたセラミック基体から成る。セラミック基体の素材として、窒化アルミニウム、酸化アルミニウム、酸化マグネシウムなどが挙げられる。   The susceptor 53 is made of, for example, an aluminum alloy, and a heater (not shown) for heating the semiconductor substrate 52 is embedded therein. The heater is, for example, a resistance heating type sheath heater. The susceptor 53 is grounded and constitutes one electrode of plasma discharge. The susceptor 53 may be made of ceramic instead of an aluminum alloy. A ceramic susceptor is formed of a ceramic substrate manufactured by integrally sintering a resistance heating type heater. Examples of the material for the ceramic substrate include aluminum nitride, aluminum oxide, and magnesium oxide.

サセプタ53と対向する位置に、該サセプタ53と平行にシャワーヘッド54が設置されている。該シャワーヘッド54の下面には反応ガスを半導体基板52に均一に噴射するためのφ0.5mm〜1.0mmの細孔(図示せず)が約1000〜5000個設けられている。該シャワーヘッド54は、高周波発振器60と電気的に接続され、プラズマ放電の一方の電極を構成する。ここで、サセプタ53に高周波発振器を接続し、シャワーヘッド54を接地電位とすることもできる。高周波発振器60は13MHz以上(例えば、13.56MHzまたは27.12MHz)の高周波電力を生成する。シャワーヘッド54の上面略中央部にはガス導入口61が設けられている。シャワーヘッド54の上面には、円板状のインシュレータ62が載置されている。インシュレータ62の略中央部には開口部63が設けられ、該開口部63を介して、ガス導入口61とマニホールド2とが結合されている。シャワーヘッド54の上面にはシャワーヘッド54の内部を排気するための排気口64が設けられている。シャワーヘッド54の内部は、排気口64から、インシュレータ62に設けられた開口部65を介して、真空排気される。   A shower head 54 is installed in parallel to the susceptor 53 at a position facing the susceptor 53. On the lower surface of the shower head 54, about 1000 to 5000 fine holes (not shown) having a diameter of 0.5 mm to 1.0 mm for uniformly injecting the reaction gas onto the semiconductor substrate 52 are provided. The shower head 54 is electrically connected to the high frequency oscillator 60 and constitutes one electrode of plasma discharge. Here, a high frequency oscillator can be connected to the susceptor 53, and the shower head 54 can be set to the ground potential. The high frequency oscillator 60 generates high frequency power of 13 MHz or higher (for example, 13.56 MHz or 27.12 MHz). A gas introduction port 61 is provided at a substantially central portion of the upper surface of the shower head 54. A disk-shaped insulator 62 is placed on the upper surface of the shower head 54. An opening 63 is provided in a substantially central portion of the insulator 62, and the gas introduction port 61 and the manifold 2 are coupled to each other through the opening 63. An exhaust port 64 for exhausting the inside of the shower head 54 is provided on the upper surface of the shower head 54. The inside of the shower head 54 is evacuated from an exhaust port 64 through an opening 65 provided in the insulator 62.

[薄膜製造方法の実施形態]
次に、本考案の実施形態にかかる薄膜製造方法について説明する。図6は、本実施形態に係るタンタル系金属薄膜の製造方法のフローチャートを示したものであり、図7はプロセスガス及びパージガスの流量と時間の関係を示すタイミングチャートである。
[Embodiment of Thin Film Manufacturing Method]
Next, a thin film manufacturing method according to an embodiment of the present invention will be described. FIG. 6 shows a flowchart of a method for manufacturing a tantalum-based metal thin film according to this embodiment, and FIG. 7 is a timing chart showing the relationship between the flow rates of process gas and purge gas and time.

まず、工程1として、抵抗率を制御するためのN2ガスの供給を開始する。N2ガスは、上記した本考案の実施形態に係るガス供給装置により分流されかつオリフィスによって均一化されて供給される(ステップ1)。   First, as step 1, supply of N 2 gas for controlling the resistivity is started. The N2 gas is diverted by the gas supply device according to the above-described embodiment of the present invention and is uniformly supplied by the orifice (step 1).

次に、工程2として、前駆体ガスをパルス状に短時間流す(ステップ2)。ここで、前駆体は、例えば、TaF5、TaCl5、TBTDET、TiMata等のハロゲン系Taまたは有機系Taである。   Next, as step 2, the precursor gas is flowed in a pulsed form for a short time (step 2). Here, the precursor is, for example, a halogen-based Ta or an organic Ta such as TaF5, TaCl5, TBTDET, or TiMata.

次いで、工程3として、パージガスを流し、前駆体ガスをパージする(ステップ3)。パージガスとして、例えば、アルゴンなどの不活性ガスが使用される。   Next, as step 3, a purge gas is flowed to purge the precursor gas (step 3). As the purge gas, for example, an inert gas such as argon is used.

次に、工程4として、所定の流量の反応ガスを流すと同時に、高周波電力を印加し、プラズマ反応を生じさせる(ステップ4)。ここで、反応ガスとして、例えば、H2、NH3などが使用される。反応ガスは、1種類でもよく、また2種類以上であってもよい。印加する高周波電力として、例えば13.56MHzまたは27MHzのRF電力が使用される。   Next, as Step 4, a reaction gas having a predetermined flow rate is flowed, and at the same time, a high frequency power is applied to cause a plasma reaction (Step 4). Here, for example, H2, NH3, or the like is used as the reactive gas. The reaction gas may be one type or two or more types. As the high frequency power to be applied, for example, RF power of 13.56 MHz or 27 MHz is used.

次いで、工程5として、パージガスを流し、反応ガスをパージする(ステップ5)。   Next, in step 5, purge gas is flowed to purge the reaction gas (step 5).

上記したように、プラズマALD法は、前駆体ガスと、反応ガスを交互にかつ連続して導入することにより、薄膜を一度に単層ずつ形成する成膜プロセスである。上記した工程2から工程5までを1サイクルとし、これを所定の回数繰り返し実行することにより、所望の膜厚のタンタル系金属薄膜を得ることができる。   As described above, the plasma ALD method is a film forming process in which a precursor gas and a reactive gas are alternately and continuously introduced to form a thin film one layer at a time. A tantalum-based metal thin film having a desired film thickness can be obtained by setting the above-described steps 2 to 5 as one cycle and repeating this a predetermined number of times.

工程6として、堆積した薄膜が所望の膜厚に達したか否かを判定し(ステップ6)、所望の膜厚に達していれば、工程7に進み、達していなければステップ2に戻り成膜サイクルを繰り返す。   In step 6, it is determined whether or not the deposited thin film has reached a desired film thickness (step 6). If the desired film thickness has been reached, the process proceeds to step 7, and if not, the process returns to step 2. Repeat the membrane cycle.

ステップ6で、薄膜が所望の膜厚に達したと判定されると、工程7としてN2ガスの供給を停止し(ステップ7)、成膜プロセスを終了する。   If it is determined in step 6 that the thin film has reached the desired film thickness, the supply of N 2 gas is stopped in step 7 (step 7), and the film forming process is terminated.

以下、具体的に評価実験を行ったので説明する。実験は、半導体基板上にタンタル系金属薄膜を堆積させ、添加ガスの流量の変化に対する金属薄膜の抵抗率の変化と半導体基板の面内均一性を評価したものである。   Hereinafter, a specific evaluation experiment was performed and will be described. In the experiment, a tantalum-based metal thin film was deposited on a semiconductor substrate, and the change in resistivity of the metal thin film with respect to the change in the flow rate of the additive gas and the in-plane uniformity of the semiconductor substrate were evaluated.

図8は、添加ガスとしてN2を使用し、図3に示す従来のガス供給装置を使用してプラズマALD法によりタンタル系金属薄膜を成膜した場合の実験結果を示す。図9は、添加ガスとしてN2を使用し、図1に示す本考案の第1実施形態に係るガス供給装置を使用してプラズマALD法によりタンタル系金属薄膜を成膜した場合の実験結果を示す。図10は、添加ガスとしてNH3を使用し、図1に示す本考案の第1実施形態に係るガス供給装置を使用して熱ALD法によりタンタル系金属薄膜を成膜した場合の実験結果を示す。   FIG. 8 shows the experimental results when a tantalum-based metal thin film is formed by plasma ALD using N2 as the additive gas and using the conventional gas supply apparatus shown in FIG. FIG. 9 shows an experimental result in the case where a tantalum-based metal thin film is formed by plasma ALD using N 2 as an additive gas and using the gas supply apparatus according to the first embodiment of the present invention shown in FIG. . FIG. 10 shows an experimental result when NH3 is used as an additive gas and a tantalum-based metal thin film is formed by a thermal ALD method using the gas supply apparatus according to the first embodiment of the present invention shown in FIG. .

図8の実験結果より、従来のガス供給装置を使用した場合には、N2ガスの流量が増加するに従い抵抗率の面内均一性が低下していくことがわかる。これは、従来のガス供給装置では、マニホールドへのN2ガスの流入位置が1箇所であるため、N2ガスの流量の増加とともに、半導体表面全体に均一にN2ガスが供給されにくくなっているためであると考えられる。   From the experimental results of FIG. 8, it can be seen that when the conventional gas supply apparatus is used, the in-plane uniformity of resistivity decreases as the flow rate of N 2 gas increases. This is because in the conventional gas supply device, the N2 gas flows into the manifold at one location, and therefore, as the flow rate of N2 gas increases, it becomes difficult to uniformly supply N2 gas to the entire semiconductor surface. It is believed that there is.

これに対して、図9の実験結果では、N2ガスの流量が増加しても抵抗率の面内均一性が低下することはなく、ほぼ一定に維持されているのがわかる。これは、本考案の第1実施形態に係るガス供給装置では、N2ガスが3つに分流されかつオリフィスによって流量調節されてマニホールドへ流入されるため、N2ガスの流量が増加しても、半導体表面全体に均一にN2ガスが供給されるためであると考えられる。   On the other hand, in the experimental results of FIG. 9, it can be seen that the in-plane uniformity of the resistivity does not decrease even when the flow rate of the N 2 gas increases, and is maintained substantially constant. This is because, in the gas supply device according to the first embodiment of the present invention, the N2 gas is divided into three and the flow rate is adjusted by the orifice and flows into the manifold, so that even if the flow rate of the N2 gas increases, the semiconductor This is probably because the N2 gas is uniformly supplied to the entire surface.

尚、図10の実験結果から、本考案の実施形態に係るガス供給装置を使えば、添加ガスとしてNH3を使用する熱ALDにおいても同様の効果が得られることがわかる。   From the experimental results shown in FIG. 10, it can be seen that the same effect can be obtained in thermal ALD using NH 3 as an additive gas if the gas supply device according to the embodiment of the present invention is used.

[その他]
以上、本考案の特定の実施形態について説明してきたが、ここに開示される装置の構造は例示に過ぎず、実用新案登録請求の範囲に記載された本考案の思想から離れることなく、さまざまな修正及び変更が可能であることは当業者の知るところである。
[Others]
Although specific embodiments of the present invention have been described above, the structure of the apparatus disclosed herein is merely an example, and various configurations can be made without departing from the spirit of the present invention described in the claims of the utility model registration. Those skilled in the art know that modifications and changes are possible.

本考案の第1の実施形態に係るガス供給装置の概略図である。1 is a schematic view of a gas supply device according to a first embodiment of the present invention. (a)は同実施形態に使用されるマニホールドの側面図、(b)はA−A’断面図である。(A) is a side view of a manifold used in the embodiment, and (b) is a cross-sectional view along A-A ′. 従来のガス供給装置の概略図である。It is the schematic of the conventional gas supply apparatus. 本考案の第2の実施形態に係るガス供給装置の概略図である。It is the schematic of the gas supply apparatus which concerns on the 2nd Embodiment of this invention. プラズマALD装置の断面を概略的に示す。1 schematically shows a cross section of a plasma ALD apparatus. プラズマALD法による薄膜製造方法のフローチャートを示す。The flowchart of the thin film manufacturing method by a plasma ALD method is shown. 同方法によるプロセスガスのタイミングチャートを示す。The timing chart of the process gas by the same method is shown. 従来のガス供給装置を使った実験結果を示す。The experimental result using the conventional gas supply apparatus is shown. 本考案の第1実施形態に係るガス供給装置を使った実験結果を示す。The experimental result using the gas supply apparatus which concerns on 1st Embodiment of this invention is shown. 同実施形態に係るガス供給装置を使った実験結果を示す。The experimental result using the gas supply apparatus which concerns on the same embodiment is shown.

符号の説明Explanation of symbols

1・・・ガス供給装置、2・・・マニホールド、3・・・第1ガス供給配管、4・・・第2ガス供給配管、5・・・第3ガス供給配管、6・・・第4ガス供給配管、7・・・オリフィス、8・・・質量流量制御器、9・・・分岐点、10、11・・・ガスライン、12・・・分流点、13、14・・・ガスライン、15・・・分岐点、16、17、18、19・・・ガスライン、20・・・分岐点、21、22・・・ガスライン、23・・・バルブ、50・・・プラズマALD装置。 DESCRIPTION OF SYMBOLS 1 ... Gas supply apparatus, 2 ... Manifold, 3 ... 1st gas supply piping, 4 ... 2nd gas supply piping, 5 ... 3rd gas supply piping, 6 ... 4th Gas supply piping, 7 ... orifice, 8 ... mass flow controller, 9 ... branch point, 10, 11 ... gas line, 12 ... branch point, 13, 14 ... gas line 15 ... branch point 16, 17, 18, 19 ... gas line, 20 ... branch point, 21, 22 ... gas line, 23 ... valve, 50 ... plasma ALD device .

Claims (8)

半導体製造装置の反応室内部にプロセスガス及びパージガスを導入するためのガス供給装置であって、
前記反応室内部に前記プロセスガス及びパージガスを導入するためのマニホールドを有し、
前記マニホールドには、少なくとも3つのガス供給配管が結合されており、
前記プロセスガスのひとつである添加ガスが、オリフィスを有する複数の流路に分流されて、前記ガス供給配管の少なくとも2つを通じて前記マニホールドに流入するように構成されたガスラインシステムを備える、
ことを特徴とするガス供給装置。
A gas supply apparatus for introducing a process gas and a purge gas into a reaction chamber of a semiconductor manufacturing apparatus,
A manifold for introducing the process gas and purge gas into the reaction chamber;
At least three gas supply pipes are coupled to the manifold,
An additive gas that is one of the process gases is divided into a plurality of flow paths having orifices, and includes a gas line system configured to flow into the manifold through at least two of the gas supply pipes;
A gas supply device.
前記添加ガスが窒素ガスであることを特徴とする請求項1記載のガス供給装置。 The gas supply apparatus according to claim 1, wherein the additive gas is nitrogen gas. 前記半導体製造装置が、プラズマALD装置であることを特徴とする請求項1記載のガス供給装置。 The gas supply apparatus according to claim 1, wherein the semiconductor manufacturing apparatus is a plasma ALD apparatus. 前記パージガスは、前記ガスラインを通じて前記マニホールドに流入されることを特徴とする請求項1から3いずれか1項記載のガス供給装置。 The gas supply apparatus according to any one of claims 1 to 3, wherein the purge gas flows into the manifold through the gas line. 半導体製造装置の反応室内部に、プロセスガス及びパージガスを導入するためのガス供給装置であって、
前記反応室内部に前記プロセスガス及びパージガスを導入するためのマニホールドと、
前記マニホールドに結合されたガスラインシステムと、
を備え、
前記ガスラインシステムは、前記マニホールドに互いに略等間隔に結合された、第1ガス供給配管、第2ガス供給配管、第3ガス供給配管及び第4ガス供給配管を有し、
前記第1ガス供給配管はパージガス供給装置に結合し、
前記第2ガス供給配管は二手に分岐し、一方は第1反応ガス供給装置に結合し、他方はオリフィスを介して分流点でさらに二手に分岐し、その一方はパージガス供給装置に結合し、他方は前記プロセスガスのひとつである添加ガス供給装置に結合し、
前記第3ガス供給配管は、二手に分岐し、一方は第2反応ガス供給装置に結合し、他方は第2オリフィスを介して前記分流点に結合し、
前記第4ガス供給配管は、二手に分岐し、一方は前駆体ガス供給装置に結合し、他方は第3オリフィスを介して前記分流点に結合する、
ことを特徴とするガス供給装置。
A gas supply device for introducing a process gas and a purge gas into a reaction chamber of a semiconductor manufacturing apparatus,
A manifold for introducing the process gas and purge gas into the reaction chamber;
A gas line system coupled to the manifold;
With
The gas line system includes a first gas supply pipe, a second gas supply pipe, a third gas supply pipe, and a fourth gas supply pipe that are coupled to the manifold at substantially equal intervals.
The first gas supply pipe is coupled to a purge gas supply device;
The second gas supply pipe is bifurcated, one is coupled to the first reaction gas supply device, the other is further bifurcated at the branch point through the orifice, one of which is coupled to the purge gas supply device, and the other Is coupled to an additive gas supply device which is one of the process gases,
The third gas supply pipe is bifurcated, one is connected to the second reaction gas supply device, and the other is connected to the diversion point through the second orifice,
The fourth gas supply pipe is bifurcated, one is connected to the precursor gas supply device, and the other is connected to the diversion point through a third orifice.
A gas supply device.
前記添加ガスは、窒素ガスであることを特徴とする請求項5記載のガス供給装置。 6. The gas supply apparatus according to claim 5, wherein the additive gas is nitrogen gas. 半導体製造装置の反応室内部に、プロセスガス及びパージガスを導入するためのガス供給装置であって、
前記反応室内部に前記プロセスガス及びパージガスを導入するためのマニホールドと、
前記マニホールドに結合されたガスラインシステムと、
を備え、
前記ガスラインシステムは、前記マニホールドに互いに略等間隔に結合された、第1ガス供給配管、第2ガス供給配管、第3ガス供給配管及び第4ガス供給配管を有し、
前記第1ガス供給配管はパージガス供給装置に結合し、
前記第2ガス供給配管は二手に分岐し、一方は第1反応ガス供給装置に結合し、他方は第1オリフィスを介して分流点でさらに二手に分岐し、その一方はパージガス供給装置に結合し、他方はさらに二手に分岐し、その一方は前記プロセスガスのひとつである添加ガス供給装置に結合し、他方は第2反応ガス供給装置に結合し、
前記第3ガス供給配管は、第2オリフィスを介して、前記分流点に結合し、
前記第4ガス供給配管は二手に分岐し、一方は前駆体ガス供給装置に結合し、他方は第3オリフィスを介して前記分流点に結合する、
ことを特徴とするガス供給装置。
A gas supply device for introducing a process gas and a purge gas into a reaction chamber of a semiconductor manufacturing apparatus,
A manifold for introducing the process gas and purge gas into the reaction chamber;
A gas line system coupled to the manifold;
With
The gas line system includes a first gas supply pipe, a second gas supply pipe, a third gas supply pipe, and a fourth gas supply pipe that are coupled to the manifold at substantially equal intervals.
The first gas supply pipe is coupled to a purge gas supply device;
The second gas supply pipe branches into two hands, one is connected to the first reaction gas supply device, and the other is further branched into two branches at the diversion point through the first orifice, one of which is connected to the purge gas supply device. The other is further divided into two branches, one of which is coupled to an additive gas supply device which is one of the process gases, and the other is coupled to a second reactive gas supply device,
The third gas supply pipe is connected to the diversion point via a second orifice;
The fourth gas supply pipe is bifurcated; one is connected to the precursor gas supply device, and the other is connected to the diversion point through a third orifice;
A gas supply device.
前記添加ガスは、窒素ガスであることを特徴とする請求項7記載のガス供給装置。 The gas supply device according to claim 7, wherein the additive gas is nitrogen gas.
JP2007009817U 2007-12-21 2007-12-21 Gas supply equipment for semiconductor manufacturing equipment Expired - Lifetime JP3140111U (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2007009817U JP3140111U (en) 2007-12-21 2007-12-21 Gas supply equipment for semiconductor manufacturing equipment

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007009817U JP3140111U (en) 2007-12-21 2007-12-21 Gas supply equipment for semiconductor manufacturing equipment

Publications (1)

Publication Number Publication Date
JP3140111U true JP3140111U (en) 2008-03-13

Family

ID=43290264

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007009817U Expired - Lifetime JP3140111U (en) 2007-12-21 2007-12-21 Gas supply equipment for semiconductor manufacturing equipment

Country Status (1)

Country Link
JP (1) JP3140111U (en)

Cited By (206)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160111963A (en) * 2014-01-21 2016-09-27 어플라이드 머티어리얼스, 인코포레이티드 Thin film encapsulation processing system and process kit permitting low-pressure tool replacement
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11996304B2 (en) 2019-07-16 2024-05-28 Asm Ip Holding B.V. Substrate processing device
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US12000042B2 (en) 2016-12-15 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US12027365B2 (en) 2020-11-24 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US12033885B2 (en) 2020-01-06 2024-07-09 Asm Ip Holding B.V. Channeled lift pin
US12033849B2 (en) 2019-08-23 2024-07-09 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by PEALD using bis(diethylamino)silane

Cited By (253)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR20210144933A (en) * 2014-01-21 2021-11-30 어플라이드 머티어리얼스, 인코포레이티드 Thin film encapsulation processing system and process kit permitting low-pressure tool replacement
KR20160111963A (en) * 2014-01-21 2016-09-27 어플라이드 머티어리얼스, 인코포레이티드 Thin film encapsulation processing system and process kit permitting low-pressure tool replacement
JP2017504725A (en) * 2014-01-21 2017-02-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Atomic layer deposition processing chamber allowing low pressure tool change
KR20160111962A (en) * 2014-01-21 2016-09-27 어플라이드 머티어리얼스, 인코포레이티드 Atomic layer deposition processing chamber permitting low-pressure tool replacement
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US12000042B2 (en) 2016-12-15 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US12033861B2 (en) 2017-10-05 2024-07-09 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US12020938B2 (en) 2018-03-27 2024-06-25 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11996304B2 (en) 2019-07-16 2024-05-28 Asm Ip Holding B.V. Substrate processing device
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US12033849B2 (en) 2019-08-23 2024-07-09 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by PEALD using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US12033885B2 (en) 2020-01-06 2024-07-09 Asm Ip Holding B.V. Channeled lift pin
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US12027365B2 (en) 2020-11-24 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method

Similar Documents

Publication Publication Date Title
JP3140111U (en) Gas supply equipment for semiconductor manufacturing equipment
US8287647B2 (en) Apparatus and method for atomic layer deposition
JP4713241B2 (en) High speed atomic layer deposition apparatus and method of use
US7482283B2 (en) Thin film forming method and thin film forming device
US7273526B2 (en) Thin-film deposition apparatus
CN101536154B (en) Barrier film forming method
KR101554334B1 (en) Shower-head assembly and thin film deposition apparatus and method having the same
US20100136313A1 (en) Process for forming high resistivity thin metallic film
KR20080100793A (en) In situ silicon and titanium nitride deposition
TWI669747B (en) Substrate processing apparatus and substrate processing method using the same
KR20030068366A (en) Thin film deposition apparatus having more than one rotatable gas injector and thin film deposition method using the same
KR101471973B1 (en) Atomic layer deposition equipment and its control method
KR20110054829A (en) Thin film deposition apparatus
JP2011071414A (en) Substrate processing apparatus and method of manufacturing semiconductor device
JP2022544165A (en) Gas inflow device and substrate processing apparatus using the same
KR102070864B1 (en) Gas supply control method for substrate processing apparatus
WO2022119893A1 (en) Precursor dispensing systems with line charge volume containers for atomic layer deposition
KR101462154B1 (en) Method for depositing W thin film
US20220301829A1 (en) Temperature controlled reaction chamber
CN111492092A (en) System and method for intimate mixing of precursors in atomic layer deposition of alloys
KR100668645B1 (en) The tan films deposited by two-step ald
KR20240072524A (en) Method for forming a thin film of Molybdenum atomic layer
US20230203656A1 (en) Gas supply unit and substrate processing apparatus including gas supply unit
US20230323531A1 (en) Coating interior surfaces of complex bodies by atomic layer deposition
JP4543611B2 (en) Precoat layer forming method and film forming method

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20071221

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110220

Year of fee payment: 3

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20080331

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120220

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120220

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130220

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130220

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140220

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term