JP2010123843A - Electrostatic chuck - Google Patents

Electrostatic chuck Download PDF

Info

Publication number
JP2010123843A
JP2010123843A JP2008297728A JP2008297728A JP2010123843A JP 2010123843 A JP2010123843 A JP 2010123843A JP 2008297728 A JP2008297728 A JP 2008297728A JP 2008297728 A JP2008297728 A JP 2008297728A JP 2010123843 A JP2010123843 A JP 2010123843A
Authority
JP
Japan
Prior art keywords
electrostatic chuck
convex portion
workpiece
region
particles
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008297728A
Other languages
Japanese (ja)
Other versions
JP5225041B2 (en
Inventor
Koji Ono
浩司 小野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kyocera Corp
Original Assignee
Kyocera Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kyocera Corp filed Critical Kyocera Corp
Priority to JP2008297728A priority Critical patent/JP5225041B2/en
Publication of JP2010123843A publication Critical patent/JP2010123843A/en
Application granted granted Critical
Publication of JP5225041B2 publication Critical patent/JP5225041B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To solve a problem that many particles are generated at the time when a workpiece is gradually removed by a lift pin, due to the fact that the contact time and gliding time with the vertex surface of the projection part of an attraction surface on the internal circumference portion of the workpiece removed at the end are longer than those of the external circumference portion removed at the beginning, because of the warpage of the workpiece. <P>SOLUTION: An electrostatic chuck 1 includes an electrostatic attraction electrode 3 on one principal plane or the inside of a plate shape ceramic body 2, and an attraction surface 2a for attracting and holding a wafer on the other principal plane. In the electrostatic chuck 1 having many convex parts 6 on the attraction surface 2a, the projection portion 6 includes a surface R 9 between the vertex surface 8 and a circumference surface 10, and the curvature of the surface R 9 is larger in the area of the internal side than in the area of the external circumference of the attraction surface 2a. <P>COPYRIGHT: (C)2010,JPO&INPIT

Description

本発明は、PVD装置、CVD装置、イオンプレーティング装置、蒸着装置等の成膜装置およびエッチング装置において、例えば半導体用途のシリコンウエハ等の被加工物を固定保持、矯正、搬送するのに用いる静電チャックに関するものである。   The present invention is a static film used for fixing, correcting, and transporting a workpiece such as a silicon wafer for semiconductor use, for example, in a film forming apparatus and an etching apparatus such as a PVD apparatus, a CVD apparatus, an ion plating apparatus, and a vapor deposition apparatus. The present invention relates to an electric chuck.

従来、PVD装置、CVD装置、イオンプレーティング装置、蒸着装置等の成膜装置およびエッチング装置では、被加工物を精度良く固定するため、平坦かつ平滑に仕上げられた板状体の表面に被加工物を強制的に吸着させることが行われており、この吸着手段として静電吸着力を利用した静電チャックが用いられている。   Conventionally, in a film forming apparatus and an etching apparatus such as a PVD apparatus, a CVD apparatus, an ion plating apparatus, and a vapor deposition apparatus, the surface of a plate-like body finished flat and smooth is processed in order to fix the object to be processed with high accuracy. An object is forcibly adsorbed, and an electrostatic chuck using electrostatic attraction force is used as the adsorbing means.

これら成膜装置およびエッチング装置に用いられる従来の静電チャックは、板状セラミック体の一方の主面(一方の最も広い面)または内部に静電吸着用電極を備えているとともに、上記板状セラミック体の他方の主面(他方の最も広い面)を吸着面としている。そして、静電吸着用電極に電圧を印加して被加工物との間に誘電分極によるクーロン力または微少な漏れ電流によるジョンソン−ラーベック力等の静電吸着力を発現させることにより、被加工物を吸着面に強制的に吸着固定させることができる。   Conventional electrostatic chucks used in these film forming apparatuses and etching apparatuses include an electrode for electrostatic attraction on one main surface (one widest surface) or inside of a plate-shaped ceramic body, and the above plate-shaped ceramic chuck. The other main surface (the other widest surface) of the ceramic body is an adsorption surface. Then, by applying a voltage to the electrode for electrostatic attraction and expressing electrostatic attraction force such as Coulomb force due to dielectric polarization or Johnson-Rahbek force due to a minute leakage current between the workpiece and the workpiece, Can be forcibly adsorbed and fixed to the adsorption surface.

このような静電チャックは、被加工物のシリコンウエハ等を吸着面から離脱させるためのリフトピン装置が、被加工物の周辺部に対応する板状セラミック体の一方の主面(被加工物と反対側の主面(裏面))の側に設置されている。例えば、リフトピン装置のリフトピンは、板状セラミック体の周辺部に形成された貫通孔を通じて上下動するものであり、被加工物を吸着面から離脱させる際にリフトピンの先端が被加工物を押し上げることによって被加工物を吸着面から離脱させる。   In such an electrostatic chuck, a lift pin device for separating a silicon wafer or the like of a workpiece from the attracting surface has one main surface (a workpiece and a workpiece) of a plate-like ceramic body corresponding to the peripheral portion of the workpiece. It is installed on the opposite main surface (back surface) side. For example, a lift pin of a lift pin device moves up and down through a through-hole formed in the peripheral part of a plate-like ceramic body, and the tip of the lift pin pushes up the workpiece when the workpiece is released from the suction surface. The work piece is separated from the suction surface by.

近年、上記の静電チャックにおいて、吸着面をブラスト法等により凹凸面とし、この凹凸面の凸部の頂面もしくは凹凸面の全面を鏡面研磨して被加工物の固定保持面とするとともに、この凹凸面の凹部をヘリウムガス等の冷却ガスの供給路とした構造の静電チャックが知られている。   In recent years, in the above electrostatic chuck, the attracting surface is made an uneven surface by a blast method or the like, and the top surface of the convex portion of the uneven surface or the entire surface of the uneven surface is mirror-polished to be a fixed holding surface of the workpiece, An electrostatic chuck having a structure in which the concave portion of the concave and convex surface is used as a cooling gas supply path such as helium gas is known.

このような静電チャックは、凸部の頂面の合計面積を吸着面に対して所定の範囲内とすることで被加工物の吸着力を確保し、さらには凸部の頂面のみが被加工物の接触面であるため、残留吸着による被加工物の離脱時間をも好適に短縮化することが可能となっている。   In such an electrostatic chuck, the total area of the top surface of the convex portion is within a predetermined range with respect to the attracting surface, thereby securing the attraction force of the workpiece, and only the top surface of the convex portion is covered. Since it is the contact surface of the workpiece, it is possible to suitably shorten the workpiece separation time due to residual adsorption.

さらには、凸部の構造が、凸部の頂面のみならず、凸部の頂面と周面との間を曲線とすることで、凸部の頂面と周面の間が面取りされ、被加工物と凸部とが摺動して被加工物の表面および凸部の表面が細かく削れることによって発生する余分な粒子(以下、パーティクルともいう)を低減している。
特許第3784274号公報 特許第4094262号公報
Furthermore, the structure of the convex portion is not only the top surface of the convex portion, but also a curve between the top surface and the peripheral surface of the convex portion, so that the space between the top surface and the peripheral surface of the convex portion is chamfered, Excess particles (hereinafter also referred to as particles) generated when the workpiece and the convex portion slide and the surface of the workpiece and the surface of the convex portion are finely cut are reduced.
Japanese Patent No. 3784274 Japanese Patent No.4094262

ところで、上述の静電チャックを使用する半導体業界では、より高度なICの集積化による付加価値の向上および低コスト化が求められている。その要求を満たす重要なアイテムとして、具体的には配線微細化と歩留まり向上の両立という観点から、半導体製造時のパーティクル低減が、従来に増して重要な課題として挙げられている。   By the way, in the semiconductor industry using the above-mentioned electrostatic chuck, there is a demand for improvement in added value and cost reduction by integrating more advanced ICs. As an important item that satisfies the requirement, specifically, from the viewpoint of achieving both the miniaturization of wiring and the improvement of yield, particle reduction during semiconductor manufacturing is cited as an even more important issue than before.

このパーティクルの発生は、静電チャックに被加工物を静電吸着させる際よりも、被加工物を離脱させる際に多く発生しており、このため被加工物の離脱メカニズムに関連して種々の改良がなされてきた(例えば、特許文献2を参照)。   This generation of particles occurs more frequently when the workpiece is detached than when the workpiece is electrostatically attracted to the electrostatic chuck. Improvements have been made (see, for example, Patent Document 2).

この離脱メカニズムは、1)被加工物を離脱させる際にリフトピンを上昇させ、まずリフトピンで持ち上げられた被加工物の外周部が吸着面から離脱する、2)続いて被加工物が吸着面の内側に向かって順次離脱していく、という時間差を伴った段階的なものである。   This detachment mechanism is as follows: 1) When lifting the workpiece, the lift pin is raised, and first the outer periphery of the workpiece lifted by the lift pin is detached from the adsorption surface. 2) Subsequently, the workpiece is removed from the adsorption surface. It is a gradual process with a time difference that it gradually separates inward.

しかしながら、この被加工物の離脱の際に発生するパーティクルについて、従来の凸部の頂面に被加工物を積載する静電チャックでは、以下の問題点が依然として残っている。   However, regarding the particles generated when the workpiece is detached, the following problems still remain in the conventional electrostatic chuck in which the workpiece is stacked on the top surface of the convex portion.

すなわち、リフトピンによる被加工物の段階的な離脱の際に、図5に図示するように、被加工物51が撓み、最後に離脱する被加工物51の内側の領域53は、最初に離脱する外周部よりも、吸着面52aの凸部56のR面56cとの接触および摺動時間が長くなり、パーティクルを発生する問題点があった。   That is, when the work piece is stepwise detached by the lift pins, as shown in FIG. 5, the work piece 51 bends, and the region 53 inside the work piece 51 that is finally detached is first detached. The contact and sliding time of the convex portion 56 of the suction surface 52a with the R surface 56c is longer than that of the outer peripheral portion, and there is a problem that particles are generated.

なお、図5において、50は静電チャック、52は板状セラミック体、56aは凸部56の頂面、56bは凸部56の周面、57は静電吸着用電極、58はガス導入孔である。また、図5(b)は(a)のF部を拡大した拡大断面図である。   In FIG. 5, 50 is an electrostatic chuck, 52 is a plate-shaped ceramic body, 56a is the top surface of the convex portion 56, 56b is the peripheral surface of the convex portion 56, 57 is an electrode for electrostatic adsorption, and 58 is a gas introduction hole. It is. FIG. 5B is an enlarged cross-sectional view in which the F portion of FIG.

この問題点は、吸着面を構成するセラミック体の硬度と、被加工物であるシリコンウエハの硬度が異なることに由来しており、吸着面の凸部の頂面および周面、頂面と周面との間のR面、および凸部と凸部の間に形成される凹部を全て鏡面加工しても、完全に解消されるものではない。   This problem stems from the fact that the hardness of the ceramic body constituting the suction surface is different from the hardness of the silicon wafer that is the work piece. Even if the R surface between the surfaces and the concave portions formed between the convex portions are mirror-finished, they are not completely eliminated.

さらに、上記の静電チャックは、凸部の頂面のみで被加工物を固定保持しており、凸部の頂面の合計面積を吸着面の面積に対して小さくなりすぎないように所定の範囲内としても、本質的に静電吸着力が低下している。   Further, the electrostatic chuck holds the workpiece only by the top surface of the convex portion, and the predetermined area is set so that the total area of the top surface of the convex portion is not too small with respect to the area of the attracting surface. Even within the range, the electrostatic attraction force is essentially reduced.

また、被加工物および吸着面の外周部の領域、特に最外周の凸部の頂面と被加工物の隙間から冷却用のヘリウムガスが漏洩し易く、その結果、凸部のR面と被加工物の接触、摺動により発生したパーティクルが半導体製造装置内に飛散する問題点があった。   In addition, cooling helium gas is likely to leak from the outer peripheral region of the workpiece and the suction surface, particularly from the gap between the top surface of the outermost convex portion and the workpiece. There is a problem that particles generated by contact and sliding of the workpiece are scattered in the semiconductor manufacturing apparatus.

従って、本発明は、上記従来の問題点に鑑みて完成されたものであり、その目的は、パーティクルの発生を効果的に低減しつつ、被加工物の固定保持、矯正、搬送を行なうことが可能な静電チャックを提供することである。   Accordingly, the present invention has been completed in view of the above-described conventional problems, and the object thereof is to perform fixed holding, correction, and conveyance of a workpiece while effectively reducing the generation of particles. It is to provide a possible electrostatic chuck.

本発明の静電チャックは、板状セラミック体の一方の主面または内部に静電吸着用電極を備えて他方の主面をウエハを吸着保持する吸着面とし、該吸着面に多数の凸部を有する静電チャックにおいて、前記凸部は頂面と周面との間がR面であり、該R面の曲率が前記吸着面の外周部の領域よりも内側の領域で大きいことを特徴とするものである。   The electrostatic chuck of the present invention has an electrostatic chucking electrode on one main surface or inside of a plate-like ceramic body, and the other main surface is a chucking surface for chucking and holding a wafer, and a plurality of convex portions on the chucking surface. The convex portion has an R surface between the top surface and the peripheral surface, and the curvature of the R surface is larger in the inner region than the outer peripheral region of the attraction surface. To do.

また、本発明の静電チャックは、上記の構成において、前記凸部は上面視で円形状であることを特徴とするものである。   Moreover, the electrostatic chuck of the present invention is characterized in that, in the above configuration, the convex portion is circular in a top view.

また、本発明の静電チャックは、上記の構成において、前記吸着面が半径rの円形状であり、前記外周部の領域が半径r/2よりも外側であり、前記内側の領域が半径r/2よりも内側であることを特徴とするものである。   In the electrostatic chuck of the present invention, in the configuration described above, the attracting surface has a circular shape with a radius r, the outer peripheral region is outside the radius r / 2, and the inner region is the radius r. It is characterized by being inside of / 2.

また、本発明の静電チャックは、上記の構成において、前記内側の領域における前記凸部の前記R面および前記頂面の縦断面形状が半円状であることを特徴とするものである。   Moreover, the electrostatic chuck of the present invention is characterized in that, in the above configuration, the vertical cross-sectional shapes of the R surface and the top surface of the convex portion in the inner region are semicircular.

また、本発明の静電チャックは、上記の構成において、前記R面の曲率が前記吸着面の前記外周部の領域から中央部に向かって徐々に大きくなっていることを特徴とするものである。   Moreover, the electrostatic chuck of the present invention is characterized in that, in the above-described configuration, the curvature of the R surface gradually increases from the outer peripheral region to the central portion of the attracting surface. .

また、本発明の静電チャックは、上記の構成において、前記吸着面は前記凸部の間に凹凸を有することを特徴とするものである。   The electrostatic chuck according to the present invention is characterized in that, in the above configuration, the attraction surface has irregularities between the convex portions.

また、本発明の静電チャックは、上記の構成において、前記凹凸はそれぞれ底面または頂面の周縁部が滑らかな曲面であることを特徴とするものである。   Moreover, the electrostatic chuck of the present invention is characterized in that, in the above-described configuration, the unevenness is a curved surface having a smooth bottom surface or peripheral edge of the top surface.

また、本発明の静電チャックは、上記の構成において、前記凸部の頂面の面積が前記内側の領域よりも前記外周部の領域で大きいことを特徴とするものである。   Moreover, the electrostatic chuck of the present invention is characterized in that, in the above configuration, the area of the top surface of the convex portion is larger in the outer peripheral region than in the inner region.

また、本発明の静電チャックは、上記の構成において、前記吸着面の外周に周壁を有し、該周壁の下方に前記静電吸着用電極が延在していることを特徴とするものである。   The electrostatic chuck according to the present invention is characterized in that, in the above-described configuration, the chucking surface has a peripheral wall on an outer periphery thereof, and the electrostatic chucking electrode extends below the peripheral wall. is there.

本発明の静電チャックによれば、板状セラミック体の一方の主面または内部に静電吸着用電極を備えて他方の主面をウエハを吸着保持する吸着面とし、吸着面に多数の凸部を有する静電チャックにおいて、凸部は頂面と周面との間がR面であり、R面の曲率が吸着面の外周部の領域よりも内側の領域で大きいことから、リフトピンにより被加工物が吸着面から離脱する際に、凸部と被加工物の接触時間および摺動時間が長い吸着面の内側の領域において凸部の頂面と周面との間のR面の曲率を大きくすることによって、吸着面の内側の領域において凸部と被加工物との接触面積が小さくなるとともに摩擦力が小さくなる。その結果、パーティクルの発生を低減することができる。   According to the electrostatic chuck of the present invention, an electrostatic chucking electrode is provided on one main surface or inside of the plate-like ceramic body, and the other main surface is used as a suction surface for sucking and holding the wafer, and a large number of protrusions are formed on the suction surface. In the electrostatic chuck having a portion, the convex portion is an R surface between the top surface and the peripheral surface, and the curvature of the R surface is larger in the inner region than the outer peripheral region of the attracting surface. When the workpiece is detached from the suction surface, the curvature of the R surface between the top surface of the convex portion and the peripheral surface is determined in the region inside the suction surface where the contact time and sliding time between the convex portion and the workpiece are long. By increasing the size, the contact area between the convex portion and the workpiece is reduced in the region inside the suction surface, and the frictional force is reduced. As a result, the generation of particles can be reduced.

また、本発明の静電チャックは、上記の構成において、凸部は上面視で円形状であるときには、冷却用のヘリウムガスが吸着面内の凸部間を循環し易くなり、被加工物の吸着面側に付着したパーティクルが取れ易くなる。   In the electrostatic chuck of the present invention, in the above configuration, when the convex portion is circular in a top view, the cooling helium gas can easily circulate between the convex portions in the adsorption surface, and the workpiece Particles adhering to the suction surface side can be easily removed.

また、本発明の静電チャックは、上記の構成において、吸着面が半径rの円形状であり、外周部の領域が半径r/2よりも外側であり、内側の領域が半径r/2よりも内側であるときには、内側の領域で発生するパーティクルを効果的に低減することができる。   In the electrostatic chuck of the present invention, in the above configuration, the attracting surface has a circular shape with a radius r, the outer peripheral region is outside the radius r / 2, and the inner region is more than the radius r / 2. Can also effectively reduce particles generated in the inner region.

また、本発明の静電チャックは、上記の構成において、内側の領域における凸部のR面および頂面の縦断面形状が半円状であるときには、吸着面の内側の領域で撓んだ被加工物が凸部のR面に対し、接触面積が小さくなるとともに摩擦力が小さくなり、より効果的にパーティクルの発生を低減することができる。   In the electrostatic chuck of the present invention, in the above configuration, when the vertical cross-sectional shape of the R surface and the top surface of the convex portion in the inner region is a semicircle, the electrostatic chuck is bent in the inner region of the attracting surface. The workpiece has a small contact area and a small frictional force with respect to the R surface of the convex portion, and the generation of particles can be reduced more effectively.

また、本発明の静電チャックは、上記の構成において、R面の曲率が吸着面の外周部の領域から中央部に向かって徐々に大きくなっているときには、吸着面においてR面の曲率が突然大きく変化する部位がなくなり、そのような部位での急激な被加工物の離脱が解消される。このことにより、被加工物が吸着面の外周部から中央部に向かって順次離脱するため、被加工物の裏面(吸着される面)にパーティクルが付着したとしても周辺に飛散しない。   Further, in the electrostatic chuck of the present invention, in the above configuration, when the curvature of the R surface gradually increases from the outer peripheral region of the suction surface toward the center portion, the curvature of the R surface suddenly increases on the suction surface. The part which changes greatly is lost, and the detachment of the workpiece in such a part is eliminated. As a result, the workpiece is sequentially detached from the outer peripheral portion of the suction surface toward the center portion, so that even if particles adhere to the back surface (surface to be sucked) of the workpiece, the workpiece does not scatter around the periphery.

また、本発明の静電チャックは、上記の構成において、吸着面は凸部の間に凹凸を有するときには、被加工物と凸部との接触および摺動で発生するパーティクルを凸部間の凹凸の凹部で捕集することができる。また、リフトピンによる被加工物の離脱時に凸部間に被加工物が接触した場合、凸部間の凹凸によって被加工物の接触面積および摩擦力を低減し、パーティクルの発生をより有効に低減することができる。   In the electrostatic chuck of the present invention, in the above configuration, when the attracting surface has irregularities between the convex portions, the particles generated by contact and sliding between the workpiece and the convex portions are irregularities between the convex portions. It is possible to collect in the concave portion. Also, when the workpiece comes into contact between the projections when the workpiece is detached by the lift pins, the contact area and frictional force of the workpiece are reduced by the unevenness between the projections, and the generation of particles is more effectively reduced. be able to.

また、本発明の静電チャックは、上記の構成において、凹凸はそれぞれ底面または頂面の周縁部が滑らかな曲面であるときには、リフトピンによる被加工物の離脱時に凸部間に被加工物が接触した場合、凸部間の凹凸によって被加工物の接触面積および摩擦力を低減し、より効果的に被加工物の接触および摺動によるパーティクルの発生を低減することができる。   In the electrostatic chuck of the present invention, in the above-described configuration, when the unevenness is a smooth curved surface at the bottom surface or the top surface, the workpiece contacts between the convex portions when the workpiece is detached by the lift pin. In this case, the contact area and frictional force of the workpiece can be reduced by the unevenness between the convex portions, and generation of particles due to contact and sliding of the workpiece can be reduced more effectively.

また、本発明の静電チャックは、上記の構成において、凸部の頂面の面積が内側の領域よりも外周部の領域で大きいときには、外周部の領域における凸部の頂面での吸着力を高めることができ、冷却用のヘリウムガスがリークしにくい。その結果、被加工物の吸着面側の面でパーティクルが発生したとしても、パーティクルを周辺に飛散させない。   In the electrostatic chuck of the present invention, in the above configuration, when the area of the top surface of the convex portion is larger in the outer peripheral region than in the inner region, the attracting force on the top surface of the convex portion in the outer peripheral region. The helium gas for cooling is difficult to leak. As a result, even if particles are generated on the surface on the suction surface side of the workpiece, the particles are not scattered around.

また、本発明の静電チャックは、上記の構成において、吸着面の外周に周壁を有し、周壁の下方に静電吸着用電極が延在しているときには、周壁においても被加工物を吸着し、凸部の頂面による吸着力の低下を補いつつ、効果的にヘリウムガスのリークによるパーティクルの飛散を抑制することができる。   The electrostatic chuck of the present invention has a peripheral wall on the outer periphery of the suction surface in the above configuration, and when the electrostatic chucking electrode extends below the peripheral wall, the workpiece is also attracted to the peripheral wall. In addition, scattering of particles due to helium gas leakage can be effectively suppressed while compensating for a decrease in the adsorption force due to the top surface of the convex portion.

以下、本発明の静電チャックの実施の形態の例について図面を用いて詳細に説明する。   Hereinafter, an example of an embodiment of an electrostatic chuck of the present invention will be described in detail with reference to the drawings.

なお、ここで示す実施の形態は、本発明の趣旨をより明解にするため、具体的に説明するものであり、特に明記しない限り、本発明を限定するものではない。   The embodiments shown here are specifically described in order to make the gist of the present invention more clear, and do not limit the present invention unless otherwise specified.

図1は本発明に係る静電チャックを示す図で、(a)はその上面図、(b)はその縦断面図である。   1A and 1B are views showing an electrostatic chuck according to the present invention, in which FIG. 1A is a top view thereof and FIG. 1B is a longitudinal sectional view thereof.

この静電チャック1は、シリコンウエハ等の被加工物と同程度の大きさを有する円盤状をした板状セラミック体2の内部に、一対の静電吸着用電極3を埋設するとともに、板状セラミック体2の他方の主面に、図1(a)のドットパターンで示すように、多数の凸部6が形成されてあり、凸部6の頂面8を吸着固定面としている。   The electrostatic chuck 1 includes a pair of electrostatic chucking electrodes 3 embedded in a disk-shaped plate-like ceramic body 2 having a size similar to that of a workpiece such as a silicon wafer. As shown in the dot pattern of FIG. 1A, a large number of convex portions 6 are formed on the other main surface of the ceramic body 2, and the top surface 8 of the convex portions 6 is used as an adsorption fixing surface.

被加工物の吸着固定は、凸部6に被加工物を積載し、静電吸着用電極3間に給電端子(図示せず)を介して通電して、静電吸着用電極3と被加工物との間に静電吸着力を発現させることにより達成される。   The workpiece is attracted and fixed by loading the workpiece on the convex portion 6 and energizing the electrostatic adsorption electrode 3 via a power supply terminal (not shown) to connect the electrostatic adsorption electrode 3 and the workpiece. This is achieved by developing an electrostatic adsorption force between the object and the object.

また、凸部6と凸部6の間はガス流路5となっている。板状セラミック体2の中央部は、一方の主面(図1(b)では板状セラミック体2の下面)からガス流路5の底面まで連通するガス導入孔7が形成されており、凸部6の頂面8に被加工物を吸着したとき、被加工物とガス流路5とで構成される空間にヘリウムガス等の冷却ガスを供給する。これにより、ガス流路5と被加工物との間の熱伝達特性を高め、吸着固定面である凸部6の頂面8と被加工物との間の熱伝達を良好にし、被加工物の温度分布が均一となるように制御している。   Further, a gas flow path 5 is formed between the convex portion 6 and the convex portion 6. The central portion of the plate-like ceramic body 2 is formed with a gas introduction hole 7 that communicates from one main surface (the lower surface of the plate-like ceramic body 2 in FIG. 1B) to the bottom surface of the gas flow path 5. When the workpiece is adsorbed on the top surface 8 of the part 6, a cooling gas such as helium gas is supplied to the space formed by the workpiece and the gas flow path 5. Thereby, the heat transfer characteristic between the gas flow path 5 and the workpiece is enhanced, the heat transfer between the top surface 8 of the convex portion 6 which is the adsorption fixing surface and the workpiece is improved, and the workpiece is processed. The temperature distribution is controlled to be uniform.

なお、図1に示した静電チャック1では、板状セラミック体2の他方の主面(図1(b)では板状セラミック体2の上面)の外周縁に周壁4が形成されており、吸着面2aの凸部6と被加工物およびガス流路5がなす空間が閉じた空間としてあり、ガス導入孔7から供給された冷却ガスが外部に多量に漏れることを抑制するようにしてある。周壁4は目的に応じて設置しても良いし、設置しないでも良い。また、周壁4は板状セラミック体2と一体的に形成してもよく、あるいは板状セラミック体2とは別の部材として作製し、組み合わせて構成しても良い。   In the electrostatic chuck 1 shown in FIG. 1, the peripheral wall 4 is formed on the outer peripheral edge of the other main surface of the plate-like ceramic body 2 (the upper surface of the plate-like ceramic body 2 in FIG. 1B). The space formed by the convex portion 6 of the adsorption surface 2a, the workpiece, and the gas flow path 5 is a closed space, and a large amount of cooling gas supplied from the gas introduction hole 7 is prevented from leaking to the outside. . The peripheral wall 4 may be installed according to the purpose, or may not be installed. The peripheral wall 4 may be formed integrally with the plate-shaped ceramic body 2 or may be formed as a member separate from the plate-shaped ceramic body 2 and combined.

図2(a)〜(d)は、凸部6の構成の各種例を示す図である。また、図3(a)〜(d)は、静電チャック1の凸部6について、R面の曲率が外周部の領域と内側の領域で異なることを説明する図であり、図3(a)は凸部の位置を示す静電チャック1の縦断面図、図3(b)は(a)のA部を拡大した断面図および上面図、図3(c)は(a)のB部を拡大した断面図および上面図、図3(d)は(a)のC部を拡大した断面図である。   2A to 2D are diagrams showing various examples of the configuration of the convex portion 6. 3A to 3D are views for explaining that the curvature of the R surface of the convex portion 6 of the electrostatic chuck 1 is different between the outer peripheral region and the inner region. ) Is a longitudinal sectional view of the electrostatic chuck 1 showing the position of the convex portion, FIG. 3B is an enlarged sectional view and a top view of part A of FIG. 3A, and FIG. 3C is part B of FIG. FIG. 3D is an enlarged cross-sectional view of a portion C of FIG. 3A.

図2(a)に示すように、凸部6の頂面8は基本的に平坦面であり、周面10は基本的に垂直面である。頂面8と周面10との間にはR面9が形成されており、断面図ではR面9は滑らかな曲線となっている。   As shown in FIG. 2A, the top surface 8 of the convex portion 6 is basically a flat surface, and the peripheral surface 10 is basically a vertical surface. An R surface 9 is formed between the top surface 8 and the peripheral surface 10, and the R surface 9 is a smooth curve in the sectional view.

吸着面2aの内側の領域では、リフトピンで被加工物を離脱させる際に、下側に凸となるように撓んだ被加工物の吸着面2a側の面(下面)が凸部6に対し平行を保つことができず、撓みの変形応力が凸部6を押圧する応力として発現する。   In the region inside the suction surface 2 a, the surface (lower surface) on the suction surface 2 a side of the workpiece bent so as to be convex downward when the workpiece is detached with the lift pin is located on the convex portion 6. The parallelism cannot be maintained, and the bending deformation stress appears as a stress pressing the convex portion 6.

従って、図3(a)〜(c)に示すように、吸着面2aの内側の領域、例えばB部の凸部6についてR面の曲率9を、外周部の領域、例えばA部の凸部6のR面の曲率より大きくすることで、被加工物が凸部6の頂面8のみならず特にパーティクルを生じやすいR面9にも接触し難くなり、結果として被加工物の接触および摺動による摩耗損傷が低減する傾向がある。   Therefore, as shown in FIGS. 3A to 3C, the curvature 9 of the R surface of the inner region of the suction surface 2a, for example, the convex portion 6 of the B portion, and the outer peripheral region, for example, the convex portion of the A portion. By making the curvature larger than the curvature of the R surface of 6, it becomes difficult for the workpiece to contact not only the top surface 8 of the convex portion 6 but also the R surface 9 that is particularly prone to generate particles, resulting in contact and sliding of the workpiece. There is a tendency to reduce wear damage due to motion.

この傾向については以下の(1)〜(3)のことが解っている。   Regarding this tendency, the following (1) to (3) are known.

(1)凸部6の頂面8の合計面積が外周部の領域よりも内側の領域において大きい場合についても、この傾向は維持される。この場合、1)吸着面2aの凸部6が単一の幅(あるいは直径)を有するものであり、内側の領域に凸部6が多い場合、2)内側の領域における凸部6の幅が、外周部の領域における凸部6の幅より大きい場合、すなわち内側の領域における凸部6の頂面8が、外周部の領域における凸部6の頂面8より大きい場合、の2通りである。吸着面2aの内側の領域におけるパーティクルの発生は、凸部6に対し撓んだ被加工物が凸部6を押圧する応力が、被加工物を頂面8に押しつけることよりも、むしろ被加工物と凸部6のR面との摺動摩擦を増長することに起因する。   (1) This tendency is maintained even when the total area of the top surface 8 of the convex portion 6 is larger in the inner region than the outer peripheral region. In this case, 1) the convex portion 6 of the suction surface 2a has a single width (or diameter), and there are many convex portions 6 in the inner region, and 2) the width of the convex portion 6 in the inner region is When the width of the convex portion 6 is larger than the width of the convex portion 6 in the outer peripheral region, that is, when the top surface 8 of the convex portion 6 in the inner region is larger than the top surface 8 of the convex portion 6 in the outer peripheral region. . The generation of particles in the inner area of the suction surface 2a is caused by the fact that the stress by which the workpiece bent against the convex portion 6 presses the convex portion 6 rather than pressing the workpiece against the top surface 8. This is because the sliding friction between the object and the R surface of the convex portion 6 is increased.

(2)凸部6の頂面8の合計面積が、吸着面2aの内側の領域と外周部の領域で同じ場合、この傾向は維持される。(1)と同様の理由である。   (2) This tendency is maintained when the total area of the top surface 8 of the convex portion 6 is the same in the inner region and the outer peripheral region of the suction surface 2a. This is the same reason as (1).

(3)凸部6の頂面8の合計面積が、吸着面2aの内側の領域よりも外周部の領域において大きい場合、この傾向は維持される。この場合、1)被加工物が接触する凸部6が少ない、2)内側の領域の凸部6の幅が小さい場合の2通りであり、パーティクルが発生する凸部6のR面が少ない。   (3) This tendency is maintained when the total area of the top surface 8 of the convex portion 6 is larger in the outer peripheral region than in the inner region of the suction surface 2a. In this case, 1) there are two convex portions 6 in contact with the workpiece, and 2) there are two cases where the width of the convex portion 6 in the inner region is small, and the R surface of the convex portion 6 where the particles are generated is small.

さらにこの傾向は、凸部6の形状が図2(b)のようなテーパー状(先細り状)の周面11を有する円錐台形状である場合であっても、図2(c),(d)のように、四角柱状、八角柱状のような多角柱状である場合でも維持される。特には、凸部6は図2(a)の如く、凸部6の上面視での形状が円形状である円柱状であることが良く、この場合、多角柱状の凸部6のように周面10に稜線がなく冷却ガスが障害無く流れ易い。このため、被加工物の吸着面2a側に付着したパーティクルが取れ易いという効果がある。   Furthermore, even if this tendency is a case where the shape of the convex part 6 is a truncated cone shape having a tapered (tapered) peripheral surface 11 as shown in FIG. ), It is maintained even in the case of a polygonal column such as a quadrangular prism or an octagonal column. In particular, as shown in FIG. 2A, the convex portion 6 is preferably a cylindrical shape having a circular shape when viewed from the top, and in this case, the convex portion 6 has a circumferential shape like the polygonal columnar convex portion 6. There is no ridgeline on the surface 10 and the cooling gas flows easily without any obstacles. For this reason, there exists an effect that the particle adhering to the adsorption surface 2a side of the workpiece is easily removed.

また、吸着面2aが半径rの円形状である場合、好ましくは、図3(a)に示すように、外周部の領域が半径r/2よりも外側であり、内側の領域が半径r/2よりも内側であることがよい。この場合、r/2よりも内側の領域で凸部6が、撓んだ被加工物と接触、摺動することを効果的に回避でき、パーティクルがさらに低減できる。   When the suction surface 2a has a circular shape with a radius r, preferably, as shown in FIG. 3A, the outer peripheral region is outside the radius r / 2 and the inner region is the radius r /. It is better to be inside than 2. In this case, it is possible to effectively avoid the convex portion 6 from coming into contact with and sliding on the bent workpiece in the region inside r / 2, and particles can be further reduced.

また、図3(d)に示すように、内側の領域における凸部6のR面9および頂面12の縦断面形状を半円状(全体形状は半球状)とすることが好ましい。この場合、吸着面2aの内側の領域で撓んだ被加工物が凸部6のR面9に対し、より接触し難くなり効果的にパーティクルの発生を抑制できる。   Moreover, as shown in FIG.3 (d), it is preferable that the longitudinal cross-sectional shape of R surface 9 and the top surface 12 of the convex part 6 in an inner area | region is semicircular (the whole shape is hemispherical). In this case, the workpiece bent in the inner region of the suction surface 2a is less likely to come into contact with the R surface 9 of the convex portion 6, and the generation of particles can be effectively suppressed.

図2(c),(d)のように、凸部6が四角柱状、八角柱状のような多角柱状である場合、図3(a)のC部を示す図3(d)のように、R面9および頂面12の縦断面形状を半円状(全体形状は半球状)とすることもできる。この場合、縦断面によっては縦断面形状が半円状でなく四角形状等の他の形状であってもよい。さらに、R面9および頂面12の形状は、部分円筒状等の形状であってもよい。   As shown in FIGS. 2C and 2D, when the convex portion 6 is a polygonal columnar shape such as a quadrangular prism or an octagonal column, as shown in FIG. The longitudinal cross-sectional shape of the R surface 9 and the top surface 12 may be semicircular (the overall shape is hemispherical). In this case, depending on the longitudinal section, the longitudinal section may have another shape such as a square shape instead of a semicircular shape. Furthermore, the shape of the R surface 9 and the top surface 12 may be a shape such as a partial cylindrical shape.

また、図3(a)〜(d)に示すように、R面9の曲率が吸着面2aの外周部の領域から中央部に向かって徐々に大きくなっていることが好ましい。この場合、R面9の曲率が急激に変化する個所がないため、被加工物が吸着面2aの外周部から中央部に向かって順次離脱するようになり、被加工物の裏面(吸着される面、つまり吸着面2aに対向する面)にパーティクルが付着したとしても、周辺に飛散しない。   Further, as shown in FIGS. 3A to 3D, it is preferable that the curvature of the R surface 9 gradually increases from the outer peripheral region of the suction surface 2a toward the central portion. In this case, since there is no portion where the curvature of the R surface 9 changes suddenly, the workpiece is sequentially detached from the outer peripheral portion of the suction surface 2a toward the central portion, and the back surface (sucked) of the workpiece. Even if particles adhere to the surface, that is, the surface facing the attracting surface 2a, it does not scatter around.

ところで、ここでいう吸着面2aの中央部とは、内側の領域におけるより中心に近い領域であり、例えば、吸着面2aが半径rの円形状である場合、吸着面2aの半径r/10以下程度の領域を指す。   By the way, the central portion of the suction surface 2a here is a region closer to the center in the inner region. For example, when the suction surface 2a has a circular shape with a radius r, the radius r / 10 or less of the suction surface 2a. Refers to the extent of the area.

図1に示す凸部6間のガス流路5について、図4(b)に示すように、凸部6間に凹凸42が形成されており、その凹凸をパーティクル捕集用のポケットとすることができる。すなわち、被加工物を固定保持する凸部6以外の部位は多数の凹凸42となっていることで、パーティクル吸着のための表面積を増大させることができる。凹凸42は、大きさが均一でも不均一でもその機能にはほとんど影響しない。   In the gas flow path 5 between the convex portions 6 shown in FIG. 1, as shown in FIG. 4 (b), irregularities 42 are formed between the convex portions 6, and the irregularities are used as pockets for collecting particles. Can do. That is, the surface area for adsorbing particles can be increased because the portions other than the convex portions 6 for fixing and holding the workpiece have many concave and convex portions 42. The unevenness 42 has little influence on its function even if the size is uniform or non-uniform.

具体的な凹凸42の大きさ、特に凹凸42の深さ(高さ)は、板状セラミック体2の静電吸着力を発現させる静電吸着用電極3上の磁器抵抗(インピーダンス)に対し、大きさや深さが大きくなることにより、低抵抗化等の悪影響が及ぼさない範囲で適宣決定される。   The specific size of the unevenness 42, particularly the depth (height) of the unevenness 42, with respect to the ceramic resistance (impedance) on the electrostatic adsorption electrode 3 that expresses the electrostatic attractive force of the plate-like ceramic body 2, As the size and depth are increased, it is properly determined within the range where there is no adverse effect such as low resistance.

被加工物の離脱時に、撓んだ被加工物が凸部6間に接触する場合、凹凸42が被加工物との接触面積および摺動面積を低減し、パーティクルの発生を抑制することができる。より好ましくは、凸部6間の凹凸42は滑らかな曲面で形成されることがよく、その場合より効果的に被加工物の接触および摺動によるパーティクルの発生を低減できる。   When the workpiece to be bent comes into contact between the convex portions 6 when the workpiece is detached, the unevenness 42 can reduce the contact area and the sliding area with the workpiece and suppress the generation of particles. . More preferably, the unevenness 42 between the protrusions 6 is preferably formed with a smooth curved surface, and in this case, generation of particles due to contact and sliding of the workpiece can be reduced more effectively.

実用上は、凹凸42の算術平均粗さRaが5μm以下であれば特に問題はないが、好ましくは3μm以下、より好ましくは2μm以下、さらに好ましくは1μm以下であれば、凹凸42の曲面が滑らかであり、被加工物との接触および摺動による摩擦をより低減できるため、パーティクルの発生がより低減できる。   In practice, there is no particular problem if the arithmetic average roughness Ra of the unevenness 42 is 5 μm or less, but preferably 3 μm or less, more preferably 2 μm or less, and even more preferably 1 μm or less, the curved surface of the unevenness 42 is smooth. Since friction due to contact and sliding with the workpiece can be further reduced, generation of particles can be further reduced.

板状セラミック体2の吸着面2aに凸部6を形成する方法としては、まず板状セラミック体2の他方の主面に、マスクを用いたサンドブラスト法、マシニング加工法あるいは超音波加工法等の公知の手法を用い、高さが数μmから数十μmの凸部6を所定のパターン形状に形成する。   As a method of forming the convex portion 6 on the adsorption surface 2a of the plate-like ceramic body 2, first, the other main surface of the plate-like ceramic body 2 is a sandblasting method using a mask, a machining method, an ultrasonic processing method, or the like. Using a known method, the convex portion 6 having a height of several μm to several tens of μm is formed in a predetermined pattern shape.

次に、ガス流路5で囲まれる凸部6の頂面8が平坦でかつ同一平面上に位置するようにするため、ラッピング加工を施す。このとき、ラップ板として鋳鉄製のものを用い、10μmから1μmの大きさを有するダイヤモンド砥粒を用いて凸部6の頂面8を表面研磨する。さらに銅盤や錫盤を用いて仕上げ研磨を施してもよい。   Next, lapping is performed so that the top surface 8 of the convex portion 6 surrounded by the gas flow path 5 is flat and located on the same plane. At this time, the lap plate made of cast iron is used, and the top surface 8 of the convex portion 6 is subjected to surface polishing using diamond abrasive grains having a size of 10 μm to 1 μm. Further, finish polishing may be performed using a copper disk or a tin disk.

次に、線径0.3mmのナイロン糸を束ねた糸束を、歯ブラシのように基体に植毛し、適宣配置した大型研磨パッドを付けた表面研磨機を用いて、板状セラミック体2の吸着面2aを表面研磨する。すなわち、回転する板状セラミック体2の周縁部から中央部にかけて、大型研磨パッドの研磨面を押し当てながら自転させた状態で走査させる。その際、板状セラミック体2と大型研磨パッドとの間にダイヤモンドスラリーを供給しながら表面研磨加工を行う。これにより、頂面8と周面10との間にR面9を有する凸部6を形成することができる。   Next, the surface of the plate-like ceramic body 2 is formed using a surface polishing machine in which a yarn bundle obtained by bundling a nylon thread having a wire diameter of 0.3 mm is implanted in a base like a toothbrush and a large-sized polishing pad appropriately disposed is attached. The adsorption surface 2a is polished. That is, scanning is performed while rotating from the peripheral part to the central part of the rotating plate-shaped ceramic body 2 while pressing the polishing surface of the large polishing pad. At that time, surface polishing is performed while supplying diamond slurry between the plate-like ceramic body 2 and the large polishing pad. Thereby, the convex part 6 which has the R surface 9 between the top surface 8 and the surrounding surface 10 can be formed.

凸部6のR面9について、吸着面2aの外周部の領域と内側の領域で異なる曲率を有するようにするために、表面研磨機の走査速度を適宣変更することができる。すなわち、吸着面2aの外周部の領域でR面9の曲率を小さくし、内側の領域でR面9の曲率を大きくするためには、外周部の領域で走査速度を大きくし、内側の領域で走査速度を小さくすればよい。   In order to make the R surface 9 of the convex portion 6 have different curvatures in the outer peripheral region and the inner region of the suction surface 2a, the scanning speed of the surface polishing machine can be appropriately changed. That is, in order to reduce the curvature of the R surface 9 in the outer peripheral region of the suction surface 2a and increase the curvature of the R surface 9 in the inner region, the scanning speed is increased in the outer peripheral region and the inner region. The scanning speed may be reduced with

研磨パッドを構成する糸束の材質は、ナイロンに代表されるポリアミド繊維、ポリプロピレン、ポリエステル、ポリブチレンテレフタラート等の有機合成繊維、動物性および植物性の天然繊維、リン青銅、錫等の金属ワイヤーなど公知の材料、材質の中から目的に応じて適宣採用すればよい。また、糸の線径は、糸に選択する材料、材質により最適なサイズを適宜採用すればよい。   The material of the yarn bundle that composes the polishing pad is polyamide fiber typified by nylon, organic synthetic fiber such as polypropylene, polyester, polybutylene terephthalate, etc., animal and vegetable natural fiber, metal wire such as phosphor bronze, tin, etc. Any known material or material may be used according to the purpose. Moreover, the wire diameter of the yarn may be appropriately selected depending on the material and material selected for the yarn.

また、凸部6間に凹凸42を形成するための最も簡便な方法は、最初にガス流路5を形成する際に、サンドブラスト加工法によって同時に凹凸42を形成する方法である。この際用いる砥粒としては、炭化珪素,アルミナ,ガラスビーズ,ダイヤモンド等から成り、80メッシュ通過品から300メッシュ未通過品程度の粒径のものとすることが好ましい。また、凹凸42は、上述の如くガス流路5を形成した後、再度より所定の形状にするために、マシニング加工法または超音波加工法等を施してもよい。   Further, the simplest method for forming the unevenness 42 between the convex portions 6 is a method of forming the unevenness 42 at the same time by the sandblasting method when the gas flow path 5 is first formed. The abrasive grains used at this time are preferably made of silicon carbide, alumina, glass beads, diamond, etc., and have a particle size of about 80 mesh passing product to 300 mesh non-passing product. Further, after forming the gas flow path 5 as described above, the unevenness 42 may be subjected to a machining method, an ultrasonic processing method, or the like in order to obtain a predetermined shape again.

凹凸42は、表面研磨加工により凸部6と同時に研磨加工によって形成され、凹凸42の底面または頂面の周縁部を滑らかな曲面とすることができる。   The unevenness 42 is formed by polishing at the same time as the convex portion 6 by surface polishing, and the bottom surface or the peripheral edge of the top surface of the unevenness 42 can be a smooth curved surface.

吸着面2aの外周部の領域における凸部6の頂面8の面積を、内側の領域における凸部6の頂面8の面積よりも大きくすることによって、外周部の領域における凸部6の頂面8の静電吸着力が高まり、被加工物を強固に固定保持することができる。このため、冷却用のヘリウムガスが外周部の領域の凸部6からリークしにくい。すなわち、被加工物の吸着面2a側の面と凸部6およびガス流路5で形成される空間が閉じた空間となり、被加工物の吸着面2a側にパーティクルが発生したとしても、パーティクルを周辺に飛散させないようにすることができる。   By making the area of the top surface 8 of the convex portion 6 in the outer peripheral region of the suction surface 2a larger than the area of the top surface 8 of the convex portion 6 in the inner region, the apex of the convex portion 6 in the outer peripheral region. The electrostatic attraction force of the surface 8 is increased, and the workpiece can be firmly fixed and held. For this reason, the helium gas for cooling is less likely to leak from the convex portion 6 in the outer peripheral region. That is, the surface formed by the workpiece suction surface 2a side, the convex portion 6 and the gas flow path 5 is a closed space, and even if particles are generated on the workpiece suction surface 2a side, It can be prevented from being scattered around.

また、図4(a)に示すように、吸着面2aの外周に周壁4を設置し、周壁4の下方に静電吸着用電極3の延在部41を設けることによって、周壁4においても被加工物を吸着固定し、凸部6の頂面8による吸着力の低下を補うとともに、ヘリウムガスのリークによるパーティクルの飛散を効果的に抑制することができる。   Further, as shown in FIG. 4A, the peripheral wall 4 is provided on the outer periphery of the attracting surface 2a, and the extending portion 41 of the electrostatic chucking electrode 3 is provided below the peripheral wall 4, so that the peripheral wall 4 is also covered. The workpiece can be adsorbed and fixed to compensate for the decrease in the adsorbing force due to the top surface 8 of the convex portion 6, and the scattering of particles due to the leak of helium gas can be effectively suppressed.

本発明の静電チャックの実施例について以下に説明する。   Examples of the electrostatic chuck of the present invention will be described below.

図3の構成を有する静電チャック1と、図5に示す従来の構成の静電チャック50を作製した。   The electrostatic chuck 1 having the configuration shown in FIG. 3 and the conventional electrostatic chuck 50 shown in FIG. 5 were produced.

図3の構成を有する静電チャック1は、以下の(A)〜(D)の工程によって作製した。   The electrostatic chuck 1 having the configuration of FIG. 3 was produced by the following steps (A) to (D).

(A)まず、板状セラミック体2の吸着面2aに凸部6を形成するために、板状セラミック体2の他方の主面に、マスクを用いたサンドブラスト法によって、凸部6を平面視で所定のパターン形状となるように形成した。   (A) First, in order to form the convex portion 6 on the adsorption surface 2a of the plate-like ceramic body 2, the convex portion 6 is viewed from the other main surface of the plate-like ceramic body 2 by a sandblasting method using a mask. To form a predetermined pattern shape.

(B)次に、凸部6の頂面8が平坦でかつ同一平面上に位置するようにするため、ラッピング加工を施した。このとき、ラップ板として鋳鉄製のものを用い、粒径3μmの大きさを有するダイヤモンド砥粒を用いて凸部6の頂面8を表面研磨した。   (B) Next, lapping processing was performed so that the top surface 8 of the convex portion 6 was flat and positioned on the same plane. At this time, the lap plate made of cast iron was used, and the top surface 8 of the convex portion 6 was subjected to surface polishing using diamond abrasive grains having a particle size of 3 μm.

(C)次に、線径0.3mmのナイロン糸(ポリアミド繊維)を束ねた糸束を、歯ブラシのように基体に植毛し、適宣配置した大型研磨パッドを付けた表面研磨機を用いて、板状セラミック体2の吸着面2aを表面研磨した。すなわち、回転する板状セラミック体2の周縁部から中央部にかけて、大型研磨パッドの研磨面を押し当てながら自転させた状態で走査させた。その際、板状セラミック体2と大型研磨パッドとの間にダイヤモンドスラリーを供給しながら表面研磨加工を行った。これにより、頂面8と周面10との間にR面9を有する凸部6を形成した。   (C) Next, using a surface polishing machine in which a yarn bundle obtained by bundling a nylon thread (polyamide fiber) having a wire diameter of 0.3 mm is planted on a base like a toothbrush, and a large polishing pad appropriately disposed is attached. The adsorption surface 2a of the plate-like ceramic body 2 was polished. That is, scanning was performed while rotating from the peripheral part to the center part of the rotating plate-shaped ceramic body 2 while pressing the polishing surface of the large polishing pad. At that time, surface polishing was performed while supplying diamond slurry between the plate-like ceramic body 2 and the large polishing pad. Thereby, the convex part 6 which has the R surface 9 between the top surface 8 and the surrounding surface 10 was formed.

(D)次に、凸部6のR面9について、R面9の曲率が吸着面2aの外周部の領域から中央部に向かって徐々に大きくなるようにするために、表面研磨機の走査速度を適宣変更した。すなわち、吸着面2aの外周部の領域から中央部に向かって徐々に走査速度を小さくしていった。   (D) Next, with respect to the R surface 9 of the convex portion 6, the surface polishing machine is scanned so that the curvature of the R surface 9 gradually increases from the outer peripheral region of the suction surface 2a toward the central portion. Changed speed appropriately. That is, the scanning speed was gradually decreased from the outer peripheral area of the suction surface 2a toward the center.

図5に示す従来の構成の静電チャック50は、上記の(A)〜(C)の工程によって作製した。   The electrostatic chuck 50 having the conventional configuration shown in FIG. 5 was produced by the steps (A) to (C) described above.

静電チャック1および静電チャック50のそれぞれについて、真空チャンバー内でシリコンウエハを吸着固定させた後に、シリコンウエハに付着するパーティクル数および真空チャンバー内のパーティクル数の増加を測定した。   For each of the electrostatic chuck 1 and the electrostatic chuck 50, after the silicon wafer was attracted and fixed in the vacuum chamber, the number of particles adhering to the silicon wafer and the increase in the number of particles in the vacuum chamber were measured.

なお、静電チャック1及び静電チャック50とも製品サイズ、材質等は全て統一した。ここで、凸部6,56は均等ピッチで吸着面2aの全面に形成した。すなわち、半径r/2より外周部の領域と、半径r/2より内側の領域での凸部6,56の頂面8,56aの合計面積比は67%:33%となっている。また、凸部6,56の高さは9μmであった。   The electrostatic chuck 1 and the electrostatic chuck 50 all have the same product size, material, and the like. Here, the convex parts 6 and 56 were formed on the entire surface of the suction surface 2a at a uniform pitch. That is, the total area ratio of the top surfaces 8 and 56a of the convex portions 6 and 56 in the region outside the radius r / 2 and the region inside the radius r / 2 is 67%: 33%. The height of the convex portions 6 and 56 was 9 μm.

また、凸部6の形状を種々評価するため、静電チャック1は複数作製した。   A plurality of electrostatic chucks 1 were produced in order to evaluate various shapes of the convex portions 6.

また、評価にあたっては、真空チャンバー内に静電チャック1,50を設置し、静電チャック1,50の凸部6,56の頂面8,56aに、直径8インチのシリコンウエハを載せた状態で、静電吸着用電極3,57に通電して静電吸着力を発現させ、シリコンウエハを凸部6,56の頂面8,56aに固定保持した。   In the evaluation, the electrostatic chuck 1 and 50 is installed in the vacuum chamber, and a silicon wafer having a diameter of 8 inches is placed on the top surfaces 8 and 56a of the convex portions 6 and 56 of the electrostatic chuck 1 and 50. Thus, the electrostatic chucking electrodes 3 and 57 were energized to develop an electrostatic chucking force, and the silicon wafer was fixedly held on the top surfaces 8 and 56a of the protrusions 6 and 56.

その後、ガス導入孔7,58よりヘリウムガスを供給し、シリコンウエハに700Paの背圧をかけた状態で、静電吸着用電極3,57への通電を止め、シリコンウエハを、真空チャンバー内でリフトピンを用いて吸着面2a,52aから離脱させた。   Thereafter, helium gas is supplied from the gas introduction holes 7 and 58, and with the back pressure of 700 Pa applied to the silicon wafer, the energization to the electrostatic adsorption electrodes 3 and 57 is stopped, and the silicon wafer is placed in the vacuum chamber. It was made to detach | leave from adsorption | suction surface 2a, 52a using the lift pin.

このときの真空チャンバー内のパーティクル数の増加数、およびシリコンウエハに付着したパーティクル数をパーティクルカウンターによって測定した。これらの結果を表1に示す。   At this time, the increase in the number of particles in the vacuum chamber and the number of particles attached to the silicon wafer were measured with a particle counter. These results are shown in Table 1.

Figure 2010123843
表1に示すように、まず、No.11の従来の構成の静電チャック50は、吸着面52aの全域で凸部56のR面56cの曲率が単一であり、この場合、シリコンウエハの吸着面2a側の面に付着しているパーティクル数が1200個、真空チャンバー内のパーティクル数の増加数も300個と極めて多かった。シリコンウエハについて、パーティクルの付着位置を確認すると、吸着面52aの内側の領域53におけるパーティクルが非常に多かった。特に、凸部56の頂面56aよりも、R面56cと当接する位置にパーティクルの付着が目立っていた。これは、シリコンウエハが吸着面52aから離脱する際に撓んだことで、シリコンウエハが押しつけられる頂面56aよりも、摺動し摩擦が大きなR面56cでパーティクルが発生したと考えられる。
Figure 2010123843
As shown in Table 1, first, No. In the electrostatic chuck 50 having the conventional configuration of No. 11, the curvature of the R surface 56c of the convex portion 56 is single throughout the suction surface 52a, and in this case, it adheres to the surface on the suction surface 2a side of the silicon wafer. The number of particles was 1200, and the increase in the number of particles in the vacuum chamber was 300, which was extremely large. When the adhesion position of the particles on the silicon wafer was confirmed, the number of particles in the region 53 inside the suction surface 52a was very large. In particular, the adhesion of particles was more conspicuous at the position where it abuts on the R surface 56 c than on the top surface 56 a of the convex portion 56. This is presumably because particles are generated on the R surface 56c that slides and has a larger friction than the top surface 56a against which the silicon wafer is pressed because the silicon wafer is bent when it is detached from the suction surface 52a.

これに対し、凸部6のR面9の曲率を、外周部の領域よりも内側の領域(r/2より内周側の領域)において大きくしたNo.1〜10では、シリコンウエハに付着しているパーティクル数が大幅に低減した。また、真空チャンバー内のパーティクル数の増加数も50個以下と非常に少なかった。   On the other hand, the curvature of the R surface 9 of the convex portion 6 was increased in the inner region (region on the inner peripheral side than r / 2) of the outer peripheral region. In 1-10, the number of particles adhering to the silicon wafer was significantly reduced. Further, the number of particles in the vacuum chamber increased very little to 50 or less.

このことから、シリコンウエハと凸部6の摺動によるシリコンウエハの摩耗および損傷は、凸部6のR面9で主に発生したものと考えられる。この原因は、リフトピンでシリコンウエハを離脱させる際に、撓んだシリコンウエハの吸着面2a側の面が、吸着面2aの内側の領域で凸部6と長い時間、摺動されたことによるものであると言える。   From this, it is considered that the wear and damage of the silicon wafer due to the sliding of the silicon wafer and the convex portion 6 mainly occurred on the R surface 9 of the convex portion 6. This is because when the silicon wafer is detached with the lift pins, the surface of the bent silicon wafer on the suction surface 2a side has been slid for a long time with the convex portion 6 in the region inside the suction surface 2a. It can be said that.

ここで、No.5とNo.7あるいはNo.6とNo.8より、吸着面2aの内側の領域における凸部6を形成する円柱の直径が、外周部の領域における凸部6を形成する円柱の直径と同じであっても大きくなっていても、外周部の領域より内側の領域で凸部6のR面9の曲率が大きければ、パーティクル数に大差はなかった。すなわち、外周部の領域における凸部6の頂面8の面積より、内側の領域における凸部6の頂面8の面積が大きくても、R面9の曲率の大小がパーティクル数について支配的であることが分かった。   Here, no. 5 and No. 7 or No. 6 and no. 8, the diameter of the cylinder forming the convex portion 6 in the inner area of the suction surface 2 a is the same as or larger than the diameter of the cylinder forming the convex section 6 in the outer peripheral area. If the curvature of the R surface 9 of the convex portion 6 is large in the region inside the region, there is no large difference in the number of particles. That is, even if the area of the top surface 8 of the convex portion 6 in the inner region is larger than the area of the top surface 8 of the convex portion 6 in the outer peripheral region, the curvature of the R surface 9 is dominant in terms of the number of particles. I found out.

また、No.1〜5より、凸部6の形状は円柱状もしくは円錐台形状である場合がパーティクル数が少なかった。これは、円柱状もしくは円錐台形状の凸部6である場合、冷却ガスが流れ易くなり、シリコンウエハに付着したパーティクルが取れ易いためと考えられる。   No. From 1 to 5, the number of particles was small when the shape of the convex portion 6 was a columnar shape or a truncated cone shape. This is considered to be because the cooling gas easily flows and the particles adhering to the silicon wafer can be easily removed in the case of the columnar or frustoconical convex portion 6.

また、No.5とNo.9あるいはNo.9とNo.10より、r/2より内周側の内側の領域の凸部6の縦断面形状を半円状とすることで、よりパーティクル数の低減を達成できることが判明した。この縦断面形状が半円状の凸部6の数が多いほどよく、さらにパーティクル数が低減する。これは、吸着面2aの内側の領域の凸部6の縦断面形状を半円状とすることによって、凸部6のR面9の曲率がさらに大きくなり、離脱時に撓んだシリコンウエハのR面9への接触、摺動が回避され易くなるためと考えられる。   No. 5 and No. 9 or No. 9 and No. 10, it was found that the number of particles can be further reduced by making the vertical cross-sectional shape of the convex portion 6 in the region on the inner peripheral side from r / 2 into a semicircular shape. The larger the number of convex portions 6 having a semicircular cross-sectional shape, the better, and the number of particles is further reduced. This is because the curvature of the R surface 9 of the convex portion 6 is further increased by making the vertical cross-sectional shape of the convex portion 6 in the region inside the adsorption surface 2a semicircular, and the R of the silicon wafer that is bent at the time of detachment. This is considered to be because contact and sliding on the surface 9 are easily avoided.

特に、No.5とNo.6あるいはNo.7とNo.8より、凸部6のR面9の曲率が外周部の領域から、静電チャック1の中央部に向かって徐々に大きくなると、真空チャンバー内のパーティクル数の増加数が大幅に低減していることが分かる。このことより、R面9の曲率を急激に変化させないようにすれば、シリコンウエハが吸着面2aの外周部から中央部に向かって順次離脱し、その結果、シリコンウエハに付着したパーティクルが周辺に飛散せず、真空チャンバー内の雰囲気を汚染しないことが分かった。   In particular, no. 5 and No. 6 or No. 7 and no. 8, when the curvature of the R surface 9 of the convex portion 6 gradually increases from the outer peripheral region toward the central portion of the electrostatic chuck 1, the increase in the number of particles in the vacuum chamber is significantly reduced. I understand that. Therefore, if the curvature of the R surface 9 is not changed suddenly, the silicon wafer is sequentially detached from the outer peripheral portion of the suction surface 2a toward the central portion, and as a result, particles adhering to the silicon wafer are moved to the periphery. It was found that it does not scatter and does not contaminate the atmosphere in the vacuum chamber.

実施例1と同様にして、図3の構成を有する本発明の静電チャック1を作製した。吸着面2aの外周部の領域と内側の領域で、凸部6の頂面8の合計面積を種々変更し、真空チャンバー内でシリコンウエハを吸着固定した後に、シリコンウエハに付着したパーティクルの数および真空チャンバー内のパーティクル数の増加数を測定した。   In the same manner as in Example 1, an electrostatic chuck 1 of the present invention having the configuration of FIG. The total area of the top surface 8 of the convex portion 6 is variously changed in the outer peripheral region and the inner region of the suction surface 2a, and the number of particles adhering to the silicon wafer after the silicon wafer is suction fixed in the vacuum chamber The increase in the number of particles in the vacuum chamber was measured.

なお、静電チャック1の製品サイズ、材質等は全て統一した。ここで、凸部6の高さは9μmとし、凸部6は全て上面視形状が直径3mmの円形状である円柱状とした。   The product size and material of the electrostatic chuck 1 are all standardized. Here, the height of the convex portion 6 was 9 μm, and all the convex portions 6 were circular cylinders having a top view shape of 3 mm in diameter.

また、7種の静電チャック1のなかには、凸部6間に凹凸42を有するもの、周壁4の下方に静電吸着用電極3の延在部41を有するものがある。測定結果を表2に示す。   Among the seven types of electrostatic chucks 1, there are ones having projections and depressions 42 between the projections 6, and ones having extension portions 41 of the electrostatic chucking electrodes 3 below the peripheral wall 4. The measurement results are shown in Table 2.

Figure 2010123843
表2より、まず、No.1とNo.2あるいはNo.4とNo.5より、凸部6間のガス流路5に凹凸42を形成した場合、パーティクルが低減することが分かった。測定終了後に、静電チャック1を真空チャンバーから取り出し、詳細に観察すると、凹凸42の凹部に細かいパーティクルが捕獲されていることが分かった。これは、凹凸42がガス流路5の全域にわたって形成されたことで、パーティクルを吸着捕集する表面積が増加したことにより、凹凸42がパーティクル捕集のポケットを形成したためと考える。
Figure 2010123843
From Table 2, first of all, 1 and No. 2 or No. 4 and no. 5 indicates that particles are reduced when the irregularities 42 are formed in the gas flow path 5 between the convex portions 6. When the electrostatic chuck 1 was taken out of the vacuum chamber after the measurement was completed and observed in detail, it was found that fine particles were captured in the recesses of the irregularities 42. This is considered to be because the irregularities 42 formed pockets for collecting particles because the irregularities 42 were formed over the entire area of the gas flow path 5 and the surface area for adsorbing and collecting particles increased.

凸部6の頂面8について、No.1,4,7より、吸着面2aの外周部の領域と内側の領域とで凸部6の頂面8の面積比を変更し、内側の領域で面積比を大きくしても同じにしても、外周部の領域より内側の領域で凸部6のR面9の曲率が大きければ、合計のパーティクル数に大差はないことが分かった。すなわち、面積比がパーティクル数に対して支配的であるのではなく、R面9の曲率がパーティクルの発生に対して支配的であることが分かった。   For the top surface 8 of the convex portion 6, the From 1, 4, 7, the area ratio of the top surface 8 of the convex portion 6 is changed between the outer peripheral area and the inner area of the suction surface 2a, and the area ratio is increased or the same in the inner area. It has been found that if the curvature of the R surface 9 of the convex portion 6 is large in the region inside the outer peripheral region, there is no large difference in the total number of particles. That is, it was found that the area ratio is not dominant with respect to the number of particles, but the curvature of the R surface 9 is dominant with respect to the generation of particles.

ところで前述の通り、面積比により合計のパーティクル数は変わらないが、真空チャンバー内のパーティクル数の増加数は、明らかに内側の領域における凸部6の頂面8の面積比が減少するに従い、減少傾向を示している。このことは、面積比を外周部の領域で大きくすることで、外周部の領域におけるシリコンウエハの吸着保持力が強化され、このため、シリコンウエハと凸部6の頂面8とガス流路5で形成される空間、およびシリコンウエハと凸部6の頂面8とガス流路5と周壁4とで形成される空間から冷却ガスが漏洩し難くなったことを表している。そのため、真空チャンバー内のパーティクル数の増加数が少なくなったものと考えられる。   By the way, as described above, the total number of particles does not change depending on the area ratio, but the number of particles in the vacuum chamber increases as the area ratio of the top surface 8 of the convex portion 6 in the inner region clearly decreases. It shows a trend. This is because by increasing the area ratio in the outer peripheral region, the adsorption holding force of the silicon wafer in the outer peripheral region is strengthened. For this reason, the top surface 8 of the silicon wafer and the convex portion 6 and the gas flow path 5 are increased. This indicates that the cooling gas is less likely to leak from the space formed by the above, and the space formed by the silicon wafer, the top surface 8 of the convex portion 6, the gas flow path 5, and the peripheral wall 4. For this reason, it is considered that the increase in the number of particles in the vacuum chamber has decreased.

さらに、No.2とNo.3あるいはNo.5とNo.6より、周壁4の下方に静電吸着用電極3の延在部41を設けた場合、さらに真空チャンバー内のパーティクル数の増加数が抑制される。これは、冷却ガスの漏洩がより抑制されたためと考えられる。   Furthermore, no. 2 and No. 3 or No. 5 and No. 6, when the extended portion 41 of the electrostatic chucking electrode 3 is provided below the peripheral wall 4, the number of particles in the vacuum chamber is further suppressed. This is presumably because cooling gas leakage was further suppressed.

本実施の形態の静電チャックの一例を示し、(a)は静電チャックの上面図、(b)は静電チャックの縦断面図である。An example of the electrostatic chuck of this Embodiment is shown, (a) is a top view of the electrostatic chuck, and (b) is a longitudinal sectional view of the electrostatic chuck. (a)〜(d)は、本実施の形態の静電チャックにおける凸部の各種構成例を示す縦断面図および上面図である。(A)-(d) is the longitudinal cross-sectional view and top view which show the various structural examples of the convex part in the electrostatic chuck of this Embodiment. (a)〜(d)は、本実施の形態の静電チャックの凸部の構成ついて説明するものであり、(a)は凸部の位置A,B,Cを示す静電チャックの縦断面図、(b)は(a)のA部を拡大した縦断面図および上面図、(c)は(a)のB部を拡大した縦断面図および上面図、(d)は(a)のC部を拡大した縦断面図である。(A)-(d) demonstrates the structure of the convex part of the electrostatic chuck of this Embodiment, (a) is the longitudinal cross-section of the electrostatic chuck which shows the position A, B, C of a convex part FIG. 4B is an enlarged vertical sectional view and a top view of the portion A in FIG. 4A, FIG. 4C is a longitudinal sectional view and an enlarged top view of the portion B in FIG. It is the longitudinal cross-sectional view which expanded the C section. (a),(b)は本実施の形態の静電チャックの他例を示し、(a)は静電チャックの縦断面図、(b)は(a)のD部を拡大した縦断面図である。(A), (b) shows the other example of the electrostatic chuck of this Embodiment, (a) is a longitudinal cross-sectional view of an electrostatic chuck, (b) is the longitudinal cross-sectional view which expanded the D section of (a). It is. (a),(b)は従来の静電チャックを示し、(a)は従来の静電チャックの縦断面図、(b)は(a)のF部を拡大した縦断面図である。(A), (b) shows the conventional electrostatic chuck, (a) is the longitudinal cross-sectional view of the conventional electrostatic chuck, (b) is the longitudinal cross-sectional view which expanded the F section of (a).

符号の説明Explanation of symbols

1:静電チャック
2:板状セラミック体
2a:吸着面
3:静電吸着用電極
4:周壁
5:ガス流路
6:凸部
7:ガス導入孔
8:凸部の頂面
9:凸部のR面
10:凸部の周面
42:凸部間の凹凸
1: electrostatic chuck 2: plate-like ceramic body 2a: adsorption surface 3: electrode for electrostatic adsorption 4: peripheral wall 5: gas flow path 6: convex portion 7: gas introduction hole 8: top surface 9 of convex portion: convex portion R surface 10: convex surface 42: irregularities between convex parts

Claims (9)

板状セラミック体の一方の主面または内部に静電吸着用電極を備えて他方の主面をウエハを吸着保持する吸着面とし、該吸着面に多数の凸部を有する静電チャックにおいて、前記凸部は頂面と周面との間がR面であり、該R面の曲率が前記吸着面の外周部の領域よりも内側の領域で大きいことを特徴とする静電チャック。   In the electrostatic chuck having an electrostatic chucking electrode on one main surface or inside of the plate-shaped ceramic body and the other main surface serving as a suction surface for sucking and holding the wafer, and having a plurality of convex portions on the suction surface, The electrostatic chuck characterized in that the convex portion has an R surface between the top surface and the peripheral surface, and the curvature of the R surface is larger in an inner region than the outer peripheral region of the attracting surface. 前記凸部は上面視で円形状であることを特徴とする請求項1に記載の静電チャック。   The electrostatic chuck according to claim 1, wherein the convex portion has a circular shape in a top view. 前記吸着面が半径rの円形状であり、前記外周部の領域が半径r/2よりも外側であり、前記内側の領域が半径r/2よりも内側であることを特徴とする請求項1または請求項2に記載の静電チャック。   2. The suction surface is circular with a radius r, the outer peripheral region is outside the radius r / 2, and the inner region is inside the radius r / 2. Alternatively, the electrostatic chuck according to claim 2. 前記内側の領域における前記凸部の前記R面および前記頂面の縦断面形状が半円状であることを特徴とする請求項1乃至請求項3のいずれかに記載の静電チャック。   The electrostatic chuck according to any one of claims 1 to 3, wherein a longitudinal cross-sectional shape of the R surface and the top surface of the convex portion in the inner region is a semicircular shape. 前記R面の曲率が前記吸着面の前記外周部の領域から中央部に向かって徐々に大きくなっていることを特徴とする請求項1乃至請求項3のいずれかに記載の静電チャック。   4. The electrostatic chuck according to claim 1, wherein a curvature of the R surface gradually increases from a region of the outer peripheral portion of the attracting surface toward a central portion. 5. 前記吸着面は前記凸部の間に凹凸を有することを特徴とする請求項1に記載の静電チャック。   The electrostatic chuck according to claim 1, wherein the attraction surface has irregularities between the convex portions. 前記凹凸はそれぞれ底面または頂面の周縁部が滑らかな曲面であることを特徴とする請求項6に記載の静電チャック。   The electrostatic chuck according to claim 6, wherein each of the irregularities is a curved surface having a smooth bottom surface or a peripheral edge of the top surface. 前記凸部の頂面の面積が前記内側の領域よりも前記外周部の領域で大きいことを特徴とする請求項1に記載の静電チャック。   The electrostatic chuck according to claim 1, wherein an area of a top surface of the convex portion is larger in the region of the outer peripheral portion than in the inner region. 前記吸着面の外周に周壁を有し、該周壁の下方に前記静電吸着用電極が延在していることを特徴とする請求項1に記載の静電チャック。   The electrostatic chuck according to claim 1, further comprising a peripheral wall on an outer periphery of the attraction surface, and the electrostatic attraction electrode extending below the peripheral wall.
JP2008297728A 2008-11-21 2008-11-21 Electrostatic chuck Active JP5225041B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2008297728A JP5225041B2 (en) 2008-11-21 2008-11-21 Electrostatic chuck

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008297728A JP5225041B2 (en) 2008-11-21 2008-11-21 Electrostatic chuck

Publications (2)

Publication Number Publication Date
JP2010123843A true JP2010123843A (en) 2010-06-03
JP5225041B2 JP5225041B2 (en) 2013-07-03

Family

ID=42324901

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008297728A Active JP5225041B2 (en) 2008-11-21 2008-11-21 Electrostatic chuck

Country Status (1)

Country Link
JP (1) JP5225041B2 (en)

Cited By (277)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013187284A (en) * 2012-03-07 2013-09-19 Ngk Spark Plug Co Ltd Transporting device and ceramic member
JP2015507839A (en) * 2011-11-18 2015-03-12 ルクスビュー テクノロジー コーポレイション Micro device transfer head
JP2015065327A (en) * 2013-09-25 2015-04-09 太平洋セメント株式会社 Vacuum suction device
JP2015165528A (en) * 2014-02-28 2015-09-17 株式会社日本セラテック vacuum suction member
US9463613B2 (en) 2011-11-18 2016-10-11 Apple Inc. Micro device transfer head heater assembly and method of transferring a micro device
US9548332B2 (en) 2012-04-27 2017-01-17 Apple Inc. Method of forming a micro LED device with self-aligned metallization stack
US9831383B2 (en) 2011-11-18 2017-11-28 Apple Inc. LED array
JP2017212374A (en) * 2016-05-26 2017-11-30 日本特殊陶業株式会社 Substrate holding device and manufacturing method of the same
JPWO2018020956A1 (en) * 2016-07-25 2019-04-11 京セラ株式会社 Sample holder
US10297712B2 (en) 2011-11-18 2019-05-21 Apple Inc. Micro LED display
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
JP2020070488A (en) * 2018-10-30 2020-05-07 キヤノントッキ株式会社 Electrostatic chuck system, film deposition device, adsorption method, film deposition method, and electronic device manufacturing method
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US12025484B2 (en) 2019-04-29 2024-07-02 Asm Ip Holding B.V. Thin film forming method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62193139A (en) * 1986-02-19 1987-08-25 Canon Inc Ball contact type wafer chuck
JP2002237375A (en) * 2000-12-05 2002-08-23 Ibiden Co Ltd Ceramic plate for semiconductor manufacturing/testing device, and manufacturing method of the same
JP2003086664A (en) * 2001-09-13 2003-03-20 Sumitomo Osaka Cement Co Ltd Suction fixing device and its manufacturing method
JP2004221323A (en) * 2003-01-15 2004-08-05 Nikon Corp Substrate holding device, aligner and method for manufacturing device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62193139A (en) * 1986-02-19 1987-08-25 Canon Inc Ball contact type wafer chuck
JP2002237375A (en) * 2000-12-05 2002-08-23 Ibiden Co Ltd Ceramic plate for semiconductor manufacturing/testing device, and manufacturing method of the same
JP2003086664A (en) * 2001-09-13 2003-03-20 Sumitomo Osaka Cement Co Ltd Suction fixing device and its manufacturing method
JP2004221323A (en) * 2003-01-15 2004-08-05 Nikon Corp Substrate holding device, aligner and method for manufacturing device

Cited By (349)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10607961B2 (en) 2011-11-18 2020-03-31 Apple Inc. Micro device transfer head heater assembly and method of transferring a micro device
US9463613B2 (en) 2011-11-18 2016-10-11 Apple Inc. Micro device transfer head heater assembly and method of transferring a micro device
US9620478B2 (en) 2011-11-18 2017-04-11 Apple Inc. Method of fabricating a micro device transfer head
US10121864B2 (en) 2011-11-18 2018-11-06 Apple Inc. Micro device transfer head heater assembly and method of transferring a micro device
JP2015507839A (en) * 2011-11-18 2015-03-12 ルクスビュー テクノロジー コーポレイション Micro device transfer head
US10297712B2 (en) 2011-11-18 2019-05-21 Apple Inc. Micro LED display
US11552046B2 (en) 2011-11-18 2023-01-10 Apple Inc. Micro device transfer head assembly
US9831383B2 (en) 2011-11-18 2017-11-28 Apple Inc. LED array
JP2013187284A (en) * 2012-03-07 2013-09-19 Ngk Spark Plug Co Ltd Transporting device and ceramic member
US9548332B2 (en) 2012-04-27 2017-01-17 Apple Inc. Method of forming a micro LED device with self-aligned metallization stack
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
JP2015065327A (en) * 2013-09-25 2015-04-09 太平洋セメント株式会社 Vacuum suction device
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP2015165528A (en) * 2014-02-28 2015-09-17 株式会社日本セラテック vacuum suction member
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP2017212374A (en) * 2016-05-26 2017-11-30 日本特殊陶業株式会社 Substrate holding device and manufacturing method of the same
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102474583B1 (en) * 2016-07-25 2022-12-06 교세라 가부시키가이샤 Sample holder
KR20210018531A (en) 2016-07-25 2021-02-17 교세라 가부시키가이샤 Sample holder
JPWO2018020956A1 (en) * 2016-07-25 2019-04-11 京セラ株式会社 Sample holder
US11139194B2 (en) 2016-07-25 2021-10-05 Kyocera Corporation Sample holder
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US12000042B2 (en) 2016-12-15 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US12020938B2 (en) 2018-03-27 2024-06-25 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
JP7271242B2 (en) 2018-10-30 2023-05-11 キヤノントッキ株式会社 Electrostatic chuck system, film forming apparatus, adsorption method, film forming method, and electronic device manufacturing method
JP2020070488A (en) * 2018-10-30 2020-05-07 キヤノントッキ株式会社 Electrostatic chuck system, film deposition device, adsorption method, film deposition method, and electronic device manufacturing method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US12025484B2 (en) 2019-04-29 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11996304B2 (en) 2019-07-16 2024-05-28 Asm Ip Holding B.V. Substrate processing device
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US12033885B2 (en) 2021-01-04 2024-07-09 Asm Ip Holding B.V. Channeled lift pin
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US12033861B2 (en) 2021-06-07 2024-07-09 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US12027365B2 (en) 2021-11-19 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices
US12033849B2 (en) 2022-12-08 2024-07-09 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by PEALD using bis(diethylamino)silane

Also Published As

Publication number Publication date
JP5225041B2 (en) 2013-07-03

Similar Documents

Publication Publication Date Title
JP5225041B2 (en) Electrostatic chuck
JP6443504B2 (en) Electrostatic chuck device
KR101565026B1 (en) Carrier for double-side polishing device, and double-side polishing device and double-side polishing method that use same
US10668592B2 (en) Method of planarizing a wafer
JP2009060035A (en) Electrostatic chuck member, its manufacturing method, and electrostatic chuck apparatus
KR20100057815A (en) Retaining ring with shaped profile
CN103659534B (en) grinding method
US11389929B2 (en) Method for surface treatment of workpiece made from hard-brittle material
JP2014027207A (en) Dielectric body and electrostatic chuck using the same
JP2018006573A (en) Electrostatic chuck, manufacturing method thereof and reproduction method for electrostatic chuck
JP2007294748A (en) Wafer transporting method
JP2003133401A (en) Electrostatic chuck
JP2014103359A (en) Vacuum chuck
TWI459504B (en) Systems and methods providing an air zone for a chucking stage
US6595506B1 (en) Apparatus and method for reduced particulate generation during workpiece handling
KR20140124948A (en) Flatness, ease of maintenance and to prevent chipping vacuum chuck for semiconductor manufacturing equipment
JP2004179364A (en) Electrostatic chuck
JP4439135B2 (en) Electrostatic chuck
JP3784274B2 (en) Electrostatic chuck
KR102338223B1 (en) electrostatic chuck device
TW201330149A (en) Wafer carrier with flexible pressure plate
JP6782157B2 (en) Electrostatic chuck
JP7122174B2 (en) ELECTROSTATIC CHUCK AND METHOD FOR MANUFACTURING ELECTROSTATIC CHUCK
KR101310109B1 (en) Electrostatic Chuck formed pad in edge of ceramic body
KR100680880B1 (en) Retainer ring and chemical mechanical polishing apparatus having the same

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110818

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120626

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120703

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120903

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130212

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130312

R150 Certificate of patent or registration of utility model

Ref document number: 5225041

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160322

Year of fee payment: 3