US20110265549A1 - Methods and apparatus for calibrating flow controllers in substrate processing systems - Google Patents

Methods and apparatus for calibrating flow controllers in substrate processing systems Download PDF

Info

Publication number
US20110265549A1
US20110265549A1 US12/915,345 US91534510A US2011265549A1 US 20110265549 A1 US20110265549 A1 US 20110265549A1 US 91534510 A US91534510 A US 91534510A US 2011265549 A1 US2011265549 A1 US 2011265549A1
Authority
US
United States
Prior art keywords
flow
gas
verifier
conduit
controller
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US12/915,345
Other versions
US8707754B2 (en
Inventor
James P. Cruse
John W. Lane
Mariusch Gregor
Duc Buckius
Berrin Daran
Corie Lynn Cobb
Ming Xu
Andrew Nguyen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US12/915,345 priority Critical patent/US8707754B2/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: COBB, CORIE LYNN, GREGOR, MARIUSCH, CRUSE, JAMES P., BUCKIUS, DUC, DARAN, BERRIN, NGUYEN, ANDREW, LANE, JOHN W., XU, MING
Priority to TW100112859A priority patent/TWI483306B/en
Priority to JP2013508127A priority patent/JP5986988B2/en
Priority to CN201180007645.2A priority patent/CN103038867B/en
Priority to KR1020127019810A priority patent/KR101451091B1/en
Priority to PCT/US2011/033780 priority patent/WO2011137071A2/en
Publication of US20110265549A1 publication Critical patent/US20110265549A1/en
Publication of US8707754B2 publication Critical patent/US8707754B2/en
Application granted granted Critical
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K37/00Special means in or on valves or other cut-off apparatus for indicating or recording operation thereof, or for enabling an alarm to be given
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K37/00Special means in or on valves or other cut-off apparatus for indicating or recording operation thereof, or for enabling an alarm to be given
    • F16K37/0075For recording or indicating the functioning of a valve in combination with test equipment
    • F16K37/0091For recording or indicating the functioning of a valve in combination with test equipment by measuring fluid parameters
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F25/00Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8158With indicator, register, recorder, alarm or inspection means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87153Plural noncommunicating flow paths
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87571Multiple inlet with single outlet
    • Y10T137/87676With flow control
    • Y10T137/87684Valve in each inlet
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/877With flow control means for branched passages
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/53Means to assemble or disassemble
    • Y10T29/53022Means to assemble or disassemble with means to test work or product

Definitions

  • Embodiments of the present invention generally relate to substrate processing equipment.
  • an inner volume of a process chamber may be exposed to one or more process gases.
  • process gases are provided at desired flow rates controlled by one or more flow controllers that provide the process gases to the inner volume.
  • the inventors have discovered that no methods exist for confirming that the process gases from the shared gas panel are being split correctly to each chamber by the flow controllers.
  • the inventors have observed that there typically are not any on-tool apparatus available on a multi-chamber substrate processing system, such as a cluster tool, for monitoring the flow controllers of each chamber, for example, to detect drift or to compare drift between flow controllers on different chambers of the system.
  • the inventors have provided methods and apparatus for calibrating a plurality of flow controllers in substrate processing systems.
  • a substrate processing system may include a cluster tool comprising a first process chamber and a second process chamber coupled to a central vacuum transfer chamber; a first flow controller to provide a process gas to the first process chamber; a second flow controller to provide the process gas to the second process chamber; a mass flow verifier to verify a flow rate from each of the first and second flow controllers; a first conduit to selectively couple the first flow controller to the mass flow verifier; and a second conduit to selectively couple the second flow controller to the mass flow verifier.
  • a substrate processing system may include a first flow controller to provide a process gas to a first zone of a first process chamber; a second flow controller to provide the process gas to a second zone of the second process chamber; a mass flow verifier to verify a flow rate from each of the first and second flow controllers; a first conduit to selectively couple the first flow controller to the mass flow verifier; and a second conduit to selectively couple the second flow controller to the mass flow verifier.
  • a method for calibrating a plurality of flow controllers in a substrate processing system comprising a first process chamber and a second process chamber coupled to a central vacuum transfer chamber
  • the method may include providing a first gas at a first flow rate from a first flow controller coupled to a first process chamber; diverting the first gas to a mass flow verifier via a first conduit; determining the first flow rate using the mass flow verifier; providing a second gas at a second flow rate from a second flow controller coupled to a second process chamber; diverting the second gas to the mass flow verifier via a second conduit; and determining the second flow rate using the mass flow verifier.
  • a method for calibrating a plurality of flow controllers in a substrate processing system may include providing a first gas to a mass flow verifier by a first flow controller coupled to a first zone of a first process chamber; determining a first flow rate of the first gas using the mass flow verifier; providing a second gas to the mass flow verifier by a second flow controller coupled to a second zone of the first process chamber; and determining a second flow rate of the second gas using the mass flow verifier, wherein the first flow controller is capable of providing the first gas to the first zone while the second flow controller is providing the second gas to the mass flow verifier.
  • FIGS. 1-1A depict a schematic top view of a multi-chamber substrate processing system in accordance with some embodiments of the present invention.
  • FIG. 2 depicts a flow chart of a method for calibrating a plurality of flow controllers in a substrate processing system in accordance with some embodiments of the present invention.
  • FIG. 3 depicts a flow chart of a method for calibrating a plurality of flow controllers in a substrate processing system in accordance with some embodiments of the present invention.
  • inventive methods and apparatus for calibrating a plurality of flow controllers in a substrate processing system are disclosed herein.
  • the inventive methods and apparatus advantageously facilitate measuring one or more flow rates provided by one or more flow controllers in direct comparison to both a reference standard (e.g., a mass flow verifier) and to other flow controllers coupled to one or more process chambers in various configurations.
  • the inventive systems and methods thus may advantageously provide decreased time required to calibrate each of the flow controllers and improved uniformity between the flow controller measurements, thereby facilitating improved chamber matching (e.g., improved uniformity of process results between two different chambers operating under similar process conditions).
  • a cluster tool, or multi-chamber processing system 100 may generally comprise a factory interface 102 , a vacuum-tight processing platform 104 , and a system controller 144 .
  • a processing system that may be suitably modified in accordance with the teachings provided herein include the Centura® integrated processing system, one of the PRODUCER® line of processing systems (such as the PRODUCER® GTTM), ADVANTEDGETM processing systems, or other suitable processing systems commercially available from Applied Materials, Inc., located in Santa Clara, California. It is contemplated that other processing systems (including those from other manufacturers) may be adapted to benefit from the invention.
  • twin chamber processing system One example of a twin chamber processing system that may be modified to incorporate the present invention in accordance with the teachings herein is described in United States Provisional Patent Application Ser. No. 61/330,156, filed Apr. 30, 2010, by Ming Xu, et al., and entitled, “Twin Chamber Processing System.”
  • the platform 104 may include a plurality of processing chambers (six shown) 110 , 111 , 112 , 132 , 128 , 120 and at least one load-lock chamber (two shown) 122 that are coupled to a transfer chamber 136 .
  • Each process chamber includes a slit valve or other selectively sealable opening to selectively fluidly couple the respective inner volumes of the process chambers to the inner volume of the transfer chamber 136 .
  • each load lock chamber 122 includes a port 125 to selectively fluidly couple the respective inner volumes of the load lock chambers 122 to the inner volume of the transfer chamber 136 .
  • the factory interface 102 is coupled to the transfer chamber 136 via the load lock chambers 122 .
  • the processing chambers 110 , 111 , 112 , 132 , 128 , 120 may be grouped in pairs with each of the processing chambers 110 and 111 , 112 and 132 , and 128 and 120 in each pair positioned adjacent to one another.
  • each pair of process chambers may be part of a twin chamber processing system ( 101 , 103 , 105 ) where each respective pair of process chambers may be provided in a common housing with certain shared resources provided, as discussed herein.
  • Each twin chamber processing system 101 , 103 , 105 may include a pair of independent processing volumes that may be isolated from each other.
  • each twin chamber processing system may include a first process chamber and a second process chamber, having respective first and second processing volumes.
  • the first and second processing volumes may be isolated from each other to facilitate substantially independent processing of substrates in each respective process chamber.
  • the isolated processing volumes of the process chambers within the twin chamber processing system advantageously reduces or eliminates processing problems that may arise due to multi-substrate processing systems where the processing volumes are fluidly coupled during processing.
  • the twin chamber processing system further advantageously utilizes shared resources that facilitate reduced system footprint, hardware expense, utilities usage and cost, maintenance, and the like, while at the same time promoting higher substrate throughput.
  • the process chambers may be configured such that processing resources 146 A, 146 B, 146 C (collectively 146 ) (i.e., process gas supply, power supply, vacuum pumping systems or the like) may be respectively shared between each of the processing chambers 110 and 111 , 112 and 132 , and 128 and 120 , and/or within each pair of processing chamber in each twin processing system 101 , 103 , 105 .
  • shared hardware and/or resources may include one or more of a process foreline and roughing pump, AC distribution and DC power supplies, cooling water distribution, chillers, multi-channel thermo controllers, gas panels, controllers, and the like.
  • the factory interface 102 includes at least one docking station 108 and at least one factory interface robot (two shown) 114 to facilitate transfer of substrates.
  • the docking station 108 is configured to accept one or more (two shown) front opening unified pods (FOUPs) 106 A-B.
  • the factory interface robot 114 generally comprises a blade 116 disposed on one end of the robot 114 configured to transfer the substrates from the factory interface 102 to the processing platform 104 for processing through the load lock chambers 122 .
  • one or more metrology stations 118 may be connected to a terminal 126 of the factory interface 102 to facilitate measurement of the substrates from the FOUPs 106 A-B.
  • each of the load lock chambers 122 may include a first port 123 coupled to the factory interface 102 and a second port 125 coupled to the transfer chamber 136 .
  • the load lock chambers 122 may be coupled to a pressure control system which pumps down and vents the load lock chambers 122 to facilitate passing the substrates between the vacuum environment of the transfer chamber 136 and the substantially ambient (e.g., atmospheric) environment of the factory interface 102 .
  • the transfer chamber 136 has a vacuum robot 130 disposed therein.
  • the vacuum robot 130 generally comprises one or more transfer blades (two shown) 134 coupled to a movable arm 131 .
  • the vacuum robot 130 may have two parallel transfer blades 134 configured such that the vacuum robot 130 may simultaneously transfer two substrates 124 , 126 from the load lock chambers 122 to each pair of processing chambers (e.g., 110 and 111 , 112 and 132 , and 120 and 128 ).
  • the processing chambers 110 , 111 , 112 , 132 , 120 , 128 may be any type of process chamber utilized in substrate processing. However, to utilize the shared resources, each pair of processing chambers is the same type of chamber, such as an etch chamber, a deposition chamber, or the like.
  • suitable etch chambers include any of the Decoupled Plasma Source (DPS) line of chambers, a HARTTM, E-MAX®, or ENABLER® etch chamber available from Applied Materials, Inc., of Santa Clara, Calif. Other etch chambers, including those from other manufacturers, may be utilized.
  • Each pair of process chambers 110 and 111 , 112 and 132 , and 120 and 128 may have shared resources 146 A, 146 B, or 146 C.
  • the shared resources may include a shared gas panel (for example, as illustrated in FIG. 1 for shared resources 146 B, 146 C) for providing a process gas as discussed below.
  • the shared resources may include a shared vacuum pump for pumping down each process chamber in combination with an adjacent chamber or individually.
  • each process chamber may include an individual vacuum pump (not shown) for pumping down an inner volume of each process chamber.
  • the shared resources include a shared gas panel between process chambers.
  • the shared resource 146 B includes a shared gas panel 150 that is shared between the process chamber 112 and the process chamber 132 as illustrated in FIG. 1 .
  • the shared gas panel 150 may, for example, include a plurality of process gas sources that are coupled to the process chambers 112 , 132 via a plurality of flow controllers and associated apparatus.
  • the term flow controllers refers to any apparatus for controlling the rate of flow of a gas or gases flowing through the flow controller, such as mass flow controllers, flow ratio control devices, flow control orifices, or the like.
  • each gas source of the shared gas panel 150 may be coupled to a mass flow controller that meters the gas from the gas source at a desired flow rate.
  • a first process gas from a first process gas source (not shown) of the gas panel 150 may be metered through a flow controller 152 and a second process gas from a second gas source (not shown) of the shared gas panel 150 may be metered through a flow controller 154 .
  • the first and second process gases may exit the flow controllers 152 , 154 and enter a mixer 156 which may be coupled to respective outlets of each flow controller 152 , 154 .
  • the first and second process gases may be mixed, or homogenized, in the mixer 156 in a ratio relative to the respective amounts of each process gas metered out by the flow controllers 152 , 154 .
  • the mixed first and second process gases may be distributed to the process chambers 112 , 132 via a multi-channel flow ratio controller or another similar apparatus that can control the gas respectively provided to each process chamber, and, optionally, to two or more zones within a given process chamber.
  • a multi-channel flow ratio controller 158 may include a shared inlet 160 for receiving the mixed first and second processes gases from the outlet of the mixer and may distribute the mixed first and second process gases to the process chambers 112 , 132 via one or more flow controllers, such as mass flow controllers, flow ratio controllers, fixed orifices, or the like, or combinations thereof.
  • two pairs of flow controllers 162 , 164 , 166 , 168 are shown with a first pair of flow controllers (e.g., 162 , 164 ) coupled to the process chamber 112 and a second pair of flow controllers (e.g., 166 , 168 ) coupled to the process chamber 132 .
  • the multi-channel flow ratio controller 158 may include one or more flow controllers each providing the mixed first and second process gas to each process chamber 112 , 132 (two flow controllers coupled to each chamber depicted in FIG. 1 ).
  • the flow controllers 162 , 164 provide the mixed first and second process gas to the process chamber 112 and the flow controllers 164 , 166 provide the mixed first and second process gas to the process chamber 132 .
  • Each pair of flow controllers, for example flow controllers 162 , 164 may provide the mixed first and second process gas to each process chamber, for example the process chamber 112 , at different flow rates.
  • the flow controller 162 may provide the mixed first and second process gas through a first inlet 170 of the process chamber 112 at a different rate than provided by the flow controller 164 through a second inlet 172 of the process chamber 112 .
  • the first and second inlets 170 , 172 may be inner and outer zones of a showerhead (not shown), different zones of gas inlets, or the like.
  • the multi-chamber substrate processing system 100 further includes a mass flow verifier 174 to verify a flow rate from each of the flow controllers discussed above and further any flow controller in need of flow rate verification in the system 100 .
  • additional flow controllers may be part of the shared resource 146 A or the shared resource 146 C.
  • the shared resources 146 A and 146 C may have a shared gas panel and flow controller configuration similar to that described above for shared resource 146 B.
  • the mass flow verifier 174 may be any suitable apparatus for verifying the flow rate of a gas provided by a mass flow controller. Such mass flow verifiers may operate, for example, by monitoring the rate of rise of a pressure in a known volume over a period of time, or by some other suitable method for independently confirming the flow rate of any flow controller being monitored by the mass flow verifier 174 . In some embodiments, the mass flow verifier 174 may be mounted on one of the twin chamber processing systems 101 , 103 , 105 .
  • the mass flow verifier 174 may be mounted on the transfer chamber 136 of the multi-chamber processing system 100 , or in some other suitable location for being coupled to each of the flow controllers coupled to each of the process chambers of the multi-chamber processing system 100 .
  • the mass flow verifier 174 may be selectively coupled to each flow controller by individual conduits disposed below (e.g., downstream of) an outlet of the flow controller.
  • each flow controller may be selectively coupled to the mass flow verifier via individual conduits, rather than by a single conduit coming from a manifold where the process gases are mixed together, the inventive apparatus facilitates independent verification and/or calibration of each flow controller without impacting the ability of the other flow controllers from providing process gases while verifying or calibrating the flow from one of the flow controllers.
  • Each conduit may be respectively coupled to a given flow controller at a location downstream of the flow controller by a multi-way valve (not shown), or the like, that may selectively couple a respective flow controller to either the process chamber or the mass flow verifier 174 .
  • a process gas that would normally flow from the outlet of a flow controller towards a process chamber may be diverted into the conduit by a multi-way valve to flow into the mass flow verifier 174 .
  • the flow controller being verified does not provide a process gas to a process chamber, but all other flow controllers of the system 100 may continue to provide a process gas to a process chamber, for example to process a substrate or the like.
  • flow may be bypassed around the mass flow verifier 174 so that the conduits can be quickly evacuated without having to flow through the mass flow verifier 174 , thereby speeding up gas switchover purging between different gas flows being verified.
  • each flow controller of the process system 100 may have a conduit coupling the given flow controller to the mass flow verifier 174 .
  • a conduit 176 couples the flow controller 152 to the mass flow verifier 174 .
  • a similar conduit couples the flow controller 154 to the mass flow verifier 174 .
  • conduits 178 , 180 couple the mass flow verifier 174 to respective flow controllers 162 , 166 , which may provide similar (or the same) gas flows to corresponding regions or zones in adjacent process chamber 112 , 132 .
  • conduits may be coupled to multiple flow controllers which provide a process gas to the same chamber.
  • the conduit 180 couples the flow controller 166 to the mass flow verifier 174 and a conduit 182 couples the flow controller 168 to the mass flow verifier 174 , where the flow controllers 166 , 168 provide a process gas to the process chamber 132 .
  • all the conduits coupling the respective flow controllers of the system 100 to the mass flow verifier 174 may have substantially equivalent or about the same flow conductance. As used herein, substantially equivalent (or about the same) includes about +/ ⁇ 10 percent variation in conductance.
  • the conduits coupling flow controllers providing process gases to corresponding regions in different process chambers may have substantially similar or about the same flow conductance.
  • the conduits 178 , 180 which couple flow controllers 162 , 166 on adjacent process chambers 112 , 132 may have substantially similar or about the same flow conductance such that a comparison of a flow rate of each flow controller 162 , 166 may be made by the mass flow verifier 174 .
  • the conduit 176 coupling the flow controller 152 to the mass flow verifier 174 and a conduit 184 coupling a flow controller 186 to the mass flow verifier may have substantially similar or about the same flow conductance.
  • the flow controller 186 is a flow controller that provides the same process gas to the twin chamber processing system 103 as the flow controller 152 provides to the twin chamber processing system 105 .
  • the mass flow verifier 174 may be configured for choked flow where, for example, a flow rate of a process gas entering the mass flow verifier 174 from a conduit is independent of the flow conductance in that conduit.
  • the mass flow verifier 174 may further comprise a critical flow nozzle 188 disposed at an inlet of the mass flow verifier 174 such that the process gas flows through the critical flow nozzle when entering the mass flow verifier 174 .
  • the critical flow nozzle 188 may be configured, for example based on inlet and outlet hole diameter, length, shape or the like, to normalize a flow rate of any gas which enters the critical flow nozzle 188 independent of the flow conductance in a conduit from which the gas originated.
  • the critical flow nozzle may provide a restriction such that a pressure drop across the critical flow nozzle is provided that at least halves the pressure (e.g., a first pressure immediately upstream of the critical flow nozzle is at least two times greater than a second pressure immediately downstream of the critical flow nozzle).
  • downstream conductance may be minimized to provide lower baseline pressures which enable higher flow rates to be used.
  • the system controller 144 is coupled to the processing system 100 for controlling the processing system 100 or components thereof.
  • the system controller 144 may control the operation of the system 100 using a direct control of the process chambers 110 , 111 , 112 , 132 , 128 , 120 of the system 100 or alternatively, by controlling the computers (or controllers) associated with the process chambers 110 , 111 , 112 , 132 , 128 , 120 and the system 100 .
  • the system controller 144 enables data collection and feedback from the respective chambers and system controller 144 to optimize performance of the system 100 .
  • the system controller 144 generally includes a central processing unit (CPU) 138 , a memory 140 , and support circuits 142 .
  • the CPU 138 may be one of any form of a general purpose computer processor that can be used in an industrial setting.
  • the memory, or computer-readable medium, 140 is accessible by the CPU 138 and may be one or more of readily available memory such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote.
  • the support circuits 142 are conventionally coupled to the CPU 138 and may comprise cache, clock circuits, input/output subsystems, power supplies, and the like.
  • inventive methods disclosed herein may generally be stored in the memory 140 (or in memory of a particular process chamber pair, as discussed below) as a software routine that, when executed by the CPU 138 , causes the pair of process chambers to perform processes in accordance with the present invention.
  • FIG. 2 depicts a flow chart of a method 200 for calibrating a plurality of flow controllers in a substrate processing system in accordance with some embodiments of the present invention. The method 200 will be described below in accordance with the inventive apparatus described in FIG. 1 .
  • a first gas may be provided by a first flow controller coupled to a first process chamber at a first flow rate to a mass flow verifier.
  • the first flow controller may be any flow controller of the system 100 as described above, however, the method 200 will be described below with respect to the flow controllers 162 , 166 , and 168 of the twin chamber process system 105 .
  • the first flow controller may be the flow controller 166 which may provide a first gas to the process chamber 132 under typical operating conditions; however, during flow verification the first gas is diverted as discussed above through the conduit 180 to the mass flow verifier 174 .
  • the flow controller 166 provides the first gas to the process chamber via a first inlet 171 , where the first inlet 171 is substantially equivalent to the first inlet 170 as discussed above for process chamber 112 .
  • the first flow rate of the flow controller 166 may be determine using the mass flow verifier 174 .
  • the first flow rate may be determined using rate of rise in a pressure in a known volume or a similar verification method.
  • the mass flow verifier 174 may be configured for choked flow or flow conductance of each conduit may be known or substantially similar.
  • the flow controller 166 may be calibrating based on the determined first flow rate by the mass flow verifier 174 .
  • the flow controller 166 may require calibration. For example, if the difference is less than about 1%, the flow controller 166 may be considered in condition for operation. If the difference is greater than about 5%, the flow controller 166 may require replacement.
  • the mass flow verifier 174 may be cleared of the first gas after the first flow rate of the flow controller 166 is determined by the mass flow verifier 174 .
  • the mass flow verifier 174 may be cleared of the first gas by diverting the first gas from the conduit 180 back to the process chamber 132 and/or turning off the flow controller 166 .
  • the first gas may be removed via an outlet (not shown) of the mass flow verifier 174 by a vacuum pump or the like (not shown) coupled to or part of the system 100 .
  • a second gas may be provided by a second flow controller coupled to a second process chamber at a second flow rate to the mass flow verifier 174 .
  • the second flow controller may be the flow controller 162 which may provide the second gas to the process chamber 112 under typical operating conditions; however, during flow verification the second gas is diverted as discussed above through the conduit 178 to the mass flow verifier 174 .
  • the second gas may be substantially equivalent to the first gas.
  • the second flow rate may be substantially equivalent to the first flow rate.
  • the flow controller 166 may be providing the first gas to the process chamber 132 .
  • the flow controller 166 may be turned off.
  • the flow controller 162 may have been any one of providing the first gas to the process chamber 112 or turned off while the flow controller 166 was being verified at 202 and 204 as described above.
  • the second flow rate of the flow controller 162 may be determine using the mass flow verifier 174 .
  • the second flow rate may be determined by any of the methods discussed above at 204 .
  • the flow controller 162 may require calibration. For example, if the difference is less than about 1%, the flow controller 162 may be considered in condition for operation. If the difference is greater than about 5%, the flow controller 162 may require replacement.
  • the method 200 may proceed to 302 (as shown in FIG. 3 ) where the first gas provided by a third flow controller to the first process chamber at a third flow rate may be provided to the mass flow verifier 174 .
  • the third flow controller may be the flow controller 168 which provides the first gas to the process chamber 132 under typical operating conditions; however, during flow verification the first gas is diverted as discussed above through the conduit 182 to the mass flow verifier 174 .
  • the flow controller 168 provides the first gas to the process chamber via a second inlet 173 , where the second inlet 173 is substantially equivalent to the second inlet 172 as discussed above for process chamber 112 .
  • the method 200 may proceed to 302 after the first gas has been cleared from the mass flow verifier 174 at 206 .
  • the method 200 may proceed to 302 after the second gas has been cleared from the mass flow verifier 174 (not illustrated in flow chart of method 200 in FIG. 2-3 ) after the flow controller 162 has been verified at 210 .
  • the flow controllers 166 , 168 may be part of a multi-channel flow ratio controller 158 which includes a shared inlet 160 for receiving the first gas, which may for example be a mixture of process gases provided from the outlet of the mixer 156 , and may distribute the first gas (e.g., a singular gas or a mixture of gases) to the process chambers 112 , 132 via one or more flow controllers (and in this exemplary embodiment, to the process chamber 132 via the flow controllers 166 , 168 ).
  • the flow controllers 166 , 168 may provide the first gas to the process chamber 132 at a desired flow rate ratio between the first and second inlets 171 , 173 .
  • the desired flow rate ratio may be achieved, for example, by setting the first and third flow rates of the flow controllers 166 , 168 to desired flow rates.
  • the flow rate of each flow controller can be independently verified by the mass flow verifier 174 . For example, as discussed above, the flow controller 166 has been verified at 204 .
  • the third flow rate of the flow controller 168 may be determine using the mass flow verifier 174 .
  • the third flow rate may be determined by any of the methods discussed above at 204 .
  • the flow controller 168 may require calibration.
  • either or both of the flow controllers 162 , 166 may be idled or providing a gas to one of the respective process chambers 112 , 132 while the flow controller 168 is being verified as discussed below.
  • the second gas can be provided to the process chamber 112 via the flow controller 162 (and/or via the flow controller 164 ) to process a substrate (not shown) disposed in process chamber 112 while the flow controller 168 is being verified by the mass flow verifier 174 .
  • the flow controller 166 may be idle or operating while the flow controller 168 is being verified by the mass flow verifier 174 .
  • the flow rate ratio of the first gas being provided to the process chamber 132 via the first and second inlets 171 , 173 by the flow controllers 166 , 168 can be determined by comparing the determined first and third flow rates.
  • the first and third flow controllers can be calibrated individually based on the determined flow rate ratio, or alternatively, the multi-channel flow ratio controller 158 may be calibrated as a whole based on the determined flow rate ratio.
  • the mass flow verifier 174 may be cleared of the first gas after determination of the third flow rate for the flow controller 168 is completed.
  • the mass flow verifier 174 may be cleared using any of the methods discussed above.
  • the method 200 may, for example, proceed to step 208 or alternatively proceed to verify another flow controller, for example, such as flow controller 186 or other flow controllers associated with shared resource 146 C of twin chamber process system 103 .
  • the method 200 may begin again, or be performed periodically, or after a desired number of process runs or the like to verify calibration of the flow controllers of the system 100 .
  • the above teachings may also be used to modify a single process chamber having multiple zones in order to facilitate flow verification and calibration of multiple flow meters coupled to the various zones of the single process chamber.
  • multiple process chambers that are proximately located may also be modified to share a mass flow verifier in accordance with the teachings provided herein.
  • a twin chamber processing system e.g., 101
  • inventive methods and apparatus for calibrating a plurality of flow controllers in a substrate processing system are disclosed herein.
  • the inventive methods and apparatus advantageously facilitate measuring one or more flow rates provided by one or more flow controllers in direct comparison to both a reference standard (e.g., a mass flow verifier) and to other flow controllers coupled to one or more process chambers in various configurations.
  • the inventive systems and methods thus may advantageously provide decreased time required to calibrate each of the flow controllers and improved uniformity between the flow controller measurements, thereby facilitating improved chamber matching (e.g., improved uniformity of process results between two different chambers operating under similar process conditions).

Abstract

Methods and apparatus for calibrating a plurality of gas flows in a substrate processing system are provided herein. In some embodiments, a substrate processing system may include a cluster tool comprising a first process chamber and a second process chamber coupled to a central vacuum transfer chamber; a first flow controller to provide a process gas to the first process chamber; a second flow controller to provide the process gas to the second process chamber; a mass flow verifier to verify a flow rate from each of the first and second flow controllers; a first conduit to selectively couple the first flow controller to the mass flow verifier; and a second conduit to selectively couple the second flow controller to the mass flow verifier.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims benefit of U.S. provisional patent application Ser. No. 61/330,056, filed Apr. 30, 2010, which is herein incorporated by reference.
  • FIELD
  • Embodiments of the present invention generally relate to substrate processing equipment.
  • BACKGROUND
  • During substrate processing, such as etching process, an inner volume of a process chamber may be exposed to one or more process gases. Often, such process gases are provided at desired flow rates controlled by one or more flow controllers that provide the process gases to the inner volume. In some process chamber configurations, for example where shared gas panels supply the process gases to multiple process chambers, the inventors have discovered that no methods exist for confirming that the process gases from the shared gas panel are being split correctly to each chamber by the flow controllers. In addition, the inventors have observed that there typically are not any on-tool apparatus available on a multi-chamber substrate processing system, such as a cluster tool, for monitoring the flow controllers of each chamber, for example, to detect drift or to compare drift between flow controllers on different chambers of the system.
  • Accordingly, the inventors have provided methods and apparatus for calibrating a plurality of flow controllers in substrate processing systems.
  • SUMMARY
  • Methods and apparatus for calibrating a plurality of gas flows in a substrate processing system are provided herein. In some embodiments, a substrate processing system may include a cluster tool comprising a first process chamber and a second process chamber coupled to a central vacuum transfer chamber; a first flow controller to provide a process gas to the first process chamber; a second flow controller to provide the process gas to the second process chamber; a mass flow verifier to verify a flow rate from each of the first and second flow controllers; a first conduit to selectively couple the first flow controller to the mass flow verifier; and a second conduit to selectively couple the second flow controller to the mass flow verifier.
  • In some embodiments, a substrate processing system may include a first flow controller to provide a process gas to a first zone of a first process chamber; a second flow controller to provide the process gas to a second zone of the second process chamber; a mass flow verifier to verify a flow rate from each of the first and second flow controllers; a first conduit to selectively couple the first flow controller to the mass flow verifier; and a second conduit to selectively couple the second flow controller to the mass flow verifier.
  • In some embodiments, a method for calibrating a plurality of flow controllers in a substrate processing system comprising a first process chamber and a second process chamber coupled to a central vacuum transfer chamber is provided, In some embodiments, the method may include providing a first gas at a first flow rate from a first flow controller coupled to a first process chamber; diverting the first gas to a mass flow verifier via a first conduit; determining the first flow rate using the mass flow verifier; providing a second gas at a second flow rate from a second flow controller coupled to a second process chamber; diverting the second gas to the mass flow verifier via a second conduit; and determining the second flow rate using the mass flow verifier.
  • In some embodiments, a method for calibrating a plurality of flow controllers in a substrate processing system is provided. In some embodiments, the method may include providing a first gas to a mass flow verifier by a first flow controller coupled to a first zone of a first process chamber; determining a first flow rate of the first gas using the mass flow verifier; providing a second gas to the mass flow verifier by a second flow controller coupled to a second zone of the first process chamber; and determining a second flow rate of the second gas using the mass flow verifier, wherein the first flow controller is capable of providing the first gas to the first zone while the second flow controller is providing the second gas to the mass flow verifier.
  • Other and further embodiments of the present invention are described below.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Embodiments of the present invention, briefly summarized above and discussed in greater detail below, can be understood by reference to the illustrative embodiments of the invention depicted in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIGS. 1-1A depict a schematic top view of a multi-chamber substrate processing system in accordance with some embodiments of the present invention.
  • FIG. 2 depicts a flow chart of a method for calibrating a plurality of flow controllers in a substrate processing system in accordance with some embodiments of the present invention.
  • FIG. 3 depicts a flow chart of a method for calibrating a plurality of flow controllers in a substrate processing system in accordance with some embodiments of the present invention.
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. The figures are not drawn to scale and may be simplified for clarity. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.
  • DETAILED DESCRIPTION
  • Methods and apparatus for calibrating a plurality of flow controllers in a substrate processing system are disclosed herein. The inventive methods and apparatus advantageously facilitate measuring one or more flow rates provided by one or more flow controllers in direct comparison to both a reference standard (e.g., a mass flow verifier) and to other flow controllers coupled to one or more process chambers in various configurations. The inventive systems and methods thus may advantageously provide decreased time required to calibrate each of the flow controllers and improved uniformity between the flow controller measurements, thereby facilitating improved chamber matching (e.g., improved uniformity of process results between two different chambers operating under similar process conditions).
  • Referring to FIG. 1, in some embodiments, a cluster tool, or multi-chamber processing system 100 may generally comprise a factory interface 102, a vacuum-tight processing platform 104, and a system controller 144. Examples of a processing system that may be suitably modified in accordance with the teachings provided herein include the Centura® integrated processing system, one of the PRODUCER® line of processing systems (such as the PRODUCER® GT™), ADVANTEDGE™ processing systems, or other suitable processing systems commercially available from Applied Materials, Inc., located in Santa Clara, California. It is contemplated that other processing systems (including those from other manufacturers) may be adapted to benefit from the invention. One example of a twin chamber processing system that may be modified to incorporate the present invention in accordance with the teachings herein is described in United States Provisional Patent Application Ser. No. 61/330,156, filed Apr. 30, 2010, by Ming Xu, et al., and entitled, “Twin Chamber Processing System.”
  • The platform 104 may include a plurality of processing chambers (six shown) 110, 111, 112, 132, 128, 120 and at least one load-lock chamber (two shown) 122 that are coupled to a transfer chamber 136. Each process chamber includes a slit valve or other selectively sealable opening to selectively fluidly couple the respective inner volumes of the process chambers to the inner volume of the transfer chamber 136. Similarly, each load lock chamber 122 includes a port 125 to selectively fluidly couple the respective inner volumes of the load lock chambers 122 to the inner volume of the transfer chamber 136. The factory interface 102 is coupled to the transfer chamber 136 via the load lock chambers 122.
  • In some embodiments, for example, as depicted in FIG. 1, the processing chambers 110, 111, 112, 132, 128, 120 may be grouped in pairs with each of the processing chambers 110 and 111, 112 and 132, and 128 and 120 in each pair positioned adjacent to one another. In some embodiments, each pair of process chambers may be part of a twin chamber processing system (101, 103, 105) where each respective pair of process chambers may be provided in a common housing with certain shared resources provided, as discussed herein. Each twin chamber processing system 101, 103, 105 may include a pair of independent processing volumes that may be isolated from each other. For example, each twin chamber processing system may include a first process chamber and a second process chamber, having respective first and second processing volumes. The first and second processing volumes may be isolated from each other to facilitate substantially independent processing of substrates in each respective process chamber. The isolated processing volumes of the process chambers within the twin chamber processing system advantageously reduces or eliminates processing problems that may arise due to multi-substrate processing systems where the processing volumes are fluidly coupled during processing.
  • In addition, the twin chamber processing system further advantageously utilizes shared resources that facilitate reduced system footprint, hardware expense, utilities usage and cost, maintenance, and the like, while at the same time promoting higher substrate throughput. For example, as shown in FIG. 1, the process chambers may be configured such that processing resources 146A, 146B, 146C (collectively 146) (i.e., process gas supply, power supply, vacuum pumping systems or the like) may be respectively shared between each of the processing chambers 110 and 111, 112 and 132, and 128 and 120, and/or within each pair of processing chamber in each twin processing system 101, 103, 105. Other examples of shared hardware and/or resources may include one or more of a process foreline and roughing pump, AC distribution and DC power supplies, cooling water distribution, chillers, multi-channel thermo controllers, gas panels, controllers, and the like.
  • In some embodiments, the factory interface 102 includes at least one docking station 108 and at least one factory interface robot (two shown) 114 to facilitate transfer of substrates. The docking station 108 is configured to accept one or more (two shown) front opening unified pods (FOUPs) 106A-B. In some embodiments, the factory interface robot 114 generally comprises a blade 116 disposed on one end of the robot 114 configured to transfer the substrates from the factory interface 102 to the processing platform 104 for processing through the load lock chambers 122. Optionally, one or more metrology stations 118 may be connected to a terminal 126 of the factory interface 102 to facilitate measurement of the substrates from the FOUPs 106A-B.
  • In some embodiments, each of the load lock chambers 122 may include a first port 123 coupled to the factory interface 102 and a second port 125 coupled to the transfer chamber 136. The load lock chambers 122 may be coupled to a pressure control system which pumps down and vents the load lock chambers 122 to facilitate passing the substrates between the vacuum environment of the transfer chamber 136 and the substantially ambient (e.g., atmospheric) environment of the factory interface 102.
  • In some embodiments, the transfer chamber 136 has a vacuum robot 130 disposed therein. The vacuum robot 130 generally comprises one or more transfer blades (two shown) 134 coupled to a movable arm 131. In some embodiments, for example where the processing chambers 110, 111, 112, 132, 128, 120 are arranged in groups of two, as depicted FIG. 1, the vacuum robot 130 may have two parallel transfer blades 134 configured such that the vacuum robot 130 may simultaneously transfer two substrates 124, 126 from the load lock chambers 122 to each pair of processing chambers (e.g., 110 and 111, 112 and 132, and 120 and 128).
  • The processing chambers 110, 111, 112, 132, 120, 128 may be any type of process chamber utilized in substrate processing. However, to utilize the shared resources, each pair of processing chambers is the same type of chamber, such as an etch chamber, a deposition chamber, or the like. Non-limiting examples of suitable etch chambers that may be modified in accordance with the teachings provided herein include any of the Decoupled Plasma Source (DPS) line of chambers, a HART™, E-MAX®, or ENABLER® etch chamber available from Applied Materials, Inc., of Santa Clara, Calif. Other etch chambers, including those from other manufacturers, may be utilized.
  • Each pair of process chambers 110 and 111, 112 and 132, and 120 and 128 may have shared resources 146A, 146B, or 146C. For example, in some embodiments, the shared resources may include a shared gas panel (for example, as illustrated in FIG. 1 for shared resources 146B, 146C) for providing a process gas as discussed below. Further, the shared resources may include a shared vacuum pump for pumping down each process chamber in combination with an adjacent chamber or individually. Alternatively or in combination with the shared vacuum pump, each process chamber may include an individual vacuum pump (not shown) for pumping down an inner volume of each process chamber.
  • In some embodiments, the shared resources include a shared gas panel between process chambers. For example, the shared resource 146B includes a shared gas panel 150 that is shared between the process chamber 112 and the process chamber 132 as illustrated in FIG. 1. The shared gas panel 150 may, for example, include a plurality of process gas sources that are coupled to the process chambers 112, 132 via a plurality of flow controllers and associated apparatus. As used herein, the term flow controllers refers to any apparatus for controlling the rate of flow of a gas or gases flowing through the flow controller, such as mass flow controllers, flow ratio control devices, flow control orifices, or the like. For example, each gas source of the shared gas panel 150 may be coupled to a mass flow controller that meters the gas from the gas source at a desired flow rate. For example, a first process gas from a first process gas source (not shown) of the gas panel 150 may be metered through a flow controller 152 and a second process gas from a second gas source (not shown) of the shared gas panel 150 may be metered through a flow controller 154. The first and second process gases may exit the flow controllers 152, 154 and enter a mixer 156 which may be coupled to respective outlets of each flow controller 152, 154. The first and second process gases may be mixed, or homogenized, in the mixer 156 in a ratio relative to the respective amounts of each process gas metered out by the flow controllers 152, 154.
  • From an outlet of the mixer 156, the mixed first and second process gases may be distributed to the process chambers 112, 132 via a multi-channel flow ratio controller or another similar apparatus that can control the gas respectively provided to each process chamber, and, optionally, to two or more zones within a given process chamber. For example, a multi-channel flow ratio controller 158 may include a shared inlet 160 for receiving the mixed first and second processes gases from the outlet of the mixer and may distribute the mixed first and second process gases to the process chambers 112, 132 via one or more flow controllers, such as mass flow controllers, flow ratio controllers, fixed orifices, or the like, or combinations thereof. In the embodiment depicted in FIG. 1, two pairs of flow controllers 162, 164, 166, 168, are shown with a first pair of flow controllers (e.g., 162, 164) coupled to the process chamber 112 and a second pair of flow controllers (e.g., 166, 168) coupled to the process chamber 132.
  • The multi-channel flow ratio controller 158, for example, may include one or more flow controllers each providing the mixed first and second process gas to each process chamber 112, 132 (two flow controllers coupled to each chamber depicted in FIG. 1). For example, the flow controllers 162, 164 provide the mixed first and second process gas to the process chamber 112 and the flow controllers 164, 166 provide the mixed first and second process gas to the process chamber 132. Each pair of flow controllers, for example flow controllers 162, 164, may provide the mixed first and second process gas to each process chamber, for example the process chamber 112, at different flow rates. For example, the flow controller 162 may provide the mixed first and second process gas through a first inlet 170 of the process chamber 112 at a different rate than provided by the flow controller 164 through a second inlet 172 of the process chamber 112. For example, the first and second inlets 170, 172 may be inner and outer zones of a showerhead (not shown), different zones of gas inlets, or the like.
  • The multi-chamber substrate processing system 100 further includes a mass flow verifier 174 to verify a flow rate from each of the flow controllers discussed above and further any flow controller in need of flow rate verification in the system 100. For example, such additional flow controllers may be part of the shared resource 146A or the shared resource 146C. The shared resources 146A and 146C may have a shared gas panel and flow controller configuration similar to that described above for shared resource 146B.
  • The mass flow verifier 174 may be any suitable apparatus for verifying the flow rate of a gas provided by a mass flow controller. Such mass flow verifiers may operate, for example, by monitoring the rate of rise of a pressure in a known volume over a period of time, or by some other suitable method for independently confirming the flow rate of any flow controller being monitored by the mass flow verifier 174. In some embodiments, the mass flow verifier 174 may be mounted on one of the twin chamber processing systems 101, 103, 105. In some embodiments, the mass flow verifier 174 may be mounted on the transfer chamber 136 of the multi-chamber processing system 100, or in some other suitable location for being coupled to each of the flow controllers coupled to each of the process chambers of the multi-chamber processing system 100.
  • The mass flow verifier 174 may be selectively coupled to each flow controller by individual conduits disposed below (e.g., downstream of) an outlet of the flow controller. By selectively coupling each flow controller to the mass flow verifier via individual conduits, rather than by a single conduit coming from a manifold where the process gases are mixed together, the inventive apparatus facilitates independent verification and/or calibration of each flow controller without impacting the ability of the other flow controllers from providing process gases while verifying or calibrating the flow from one of the flow controllers.
  • Each conduit may be respectively coupled to a given flow controller at a location downstream of the flow controller by a multi-way valve (not shown), or the like, that may selectively couple a respective flow controller to either the process chamber or the mass flow verifier 174. For example, when it is desired to verify a flow rate of a flow controller, a process gas that would normally flow from the outlet of a flow controller towards a process chamber may be diverted into the conduit by a multi-way valve to flow into the mass flow verifier 174. During the verification period, the flow controller being verified does not provide a process gas to a process chamber, but all other flow controllers of the system 100 may continue to provide a process gas to a process chamber, for example to process a substrate or the like. In some embodiments, flow may be bypassed around the mass flow verifier 174 so that the conduits can be quickly evacuated without having to flow through the mass flow verifier 174, thereby speeding up gas switchover purging between different gas flows being verified.
  • For example, several conduits are illustrated in FIG. 1 which couple respective flow controllers to the mass flow verifier 174. Some conduits are omitted for the purpose of clarity in FIG. 1. However, each flow controller of the process system 100 may have a conduit coupling the given flow controller to the mass flow verifier 174. For example, a conduit 176 couples the flow controller 152 to the mass flow verifier 174. A similar conduit (not shown) couples the flow controller 154 to the mass flow verifier 174. Similarly, conduits 178, 180 couple the mass flow verifier 174 to respective flow controllers 162, 166, which may provide similar (or the same) gas flows to corresponding regions or zones in adjacent process chamber 112, 132. Further, conduits may be coupled to multiple flow controllers which provide a process gas to the same chamber. For example, the conduit 180 couples the flow controller 166 to the mass flow verifier 174 and a conduit 182 couples the flow controller 168 to the mass flow verifier 174, where the flow controllers 166, 168 provide a process gas to the process chamber 132.
  • In some embodiments, all the conduits coupling the respective flow controllers of the system 100 to the mass flow verifier 174 may have substantially equivalent or about the same flow conductance. As used herein, substantially equivalent (or about the same) includes about +/−10 percent variation in conductance. Alternatively, and in some embodiments, the conduits coupling flow controllers providing process gases to corresponding regions in different process chambers (e.g., the first zone in each process chamber, the second zone in each process chamber, or the like) may have substantially similar or about the same flow conductance. For example, the conduits 178, 180 which couple flow controllers 162, 166 on adjacent process chambers 112, 132 may have substantially similar or about the same flow conductance such that a comparison of a flow rate of each flow controller 162, 166 may be made by the mass flow verifier 174. Similarly, the conduit 176 coupling the flow controller 152 to the mass flow verifier 174 and a conduit 184 coupling a flow controller 186 to the mass flow verifier may have substantially similar or about the same flow conductance. In the preceding example, the flow controller 186 is a flow controller that provides the same process gas to the twin chamber processing system 103 as the flow controller 152 provides to the twin chamber processing system 105.
  • Alternatively, or in combination with having all or pairs of conduits having substantially similar flow conductance, the mass flow verifier 174 may be configured for choked flow where, for example, a flow rate of a process gas entering the mass flow verifier 174 from a conduit is independent of the flow conductance in that conduit. For example, the mass flow verifier 174 may further comprise a critical flow nozzle 188 disposed at an inlet of the mass flow verifier 174 such that the process gas flows through the critical flow nozzle when entering the mass flow verifier 174. The critical flow nozzle 188 may be configured, for example based on inlet and outlet hole diameter, length, shape or the like, to normalize a flow rate of any gas which enters the critical flow nozzle 188 independent of the flow conductance in a conduit from which the gas originated. For example, the critical flow nozzle may provide a restriction such that a pressure drop across the critical flow nozzle is provided that at least halves the pressure (e.g., a first pressure immediately upstream of the critical flow nozzle is at least two times greater than a second pressure immediately downstream of the critical flow nozzle). Alternatively or in combination with having all or pairs of conduits having substantially similar flow conductance and/or the mass flow verifier 174 being configured for choked flow, in some embodiments, the downstream conductance may be minimized to provide lower baseline pressures which enable higher flow rates to be used.
  • The system controller 144 is coupled to the processing system 100 for controlling the processing system 100 or components thereof. For example, the system controller 144 may control the operation of the system 100 using a direct control of the process chambers 110, 111, 112, 132, 128, 120 of the system 100 or alternatively, by controlling the computers (or controllers) associated with the process chambers 110, 111, 112, 132, 128, 120 and the system 100. In operation, the system controller 144 enables data collection and feedback from the respective chambers and system controller 144 to optimize performance of the system 100.
  • The system controller 144 generally includes a central processing unit (CPU) 138, a memory 140, and support circuits 142. The CPU 138 may be one of any form of a general purpose computer processor that can be used in an industrial setting. The memory, or computer-readable medium, 140 is accessible by the CPU 138 and may be one or more of readily available memory such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote. The support circuits 142 are conventionally coupled to the CPU 138 and may comprise cache, clock circuits, input/output subsystems, power supplies, and the like. The inventive methods disclosed herein may generally be stored in the memory 140 (or in memory of a particular process chamber pair, as discussed below) as a software routine that, when executed by the CPU 138, causes the pair of process chambers to perform processes in accordance with the present invention.
  • FIG. 2 depicts a flow chart of a method 200 for calibrating a plurality of flow controllers in a substrate processing system in accordance with some embodiments of the present invention. The method 200 will be described below in accordance with the inventive apparatus described in FIG. 1.
  • At 202, a first gas may be provided by a first flow controller coupled to a first process chamber at a first flow rate to a mass flow verifier. For example, the first flow controller may be any flow controller of the system 100 as described above, however, the method 200 will be described below with respect to the flow controllers 162, 166, and 168 of the twin chamber process system 105. Accordingly, for the purposes of discussing the method 200, the first flow controller may be the flow controller 166 which may provide a first gas to the process chamber 132 under typical operating conditions; however, during flow verification the first gas is diverted as discussed above through the conduit 180 to the mass flow verifier 174. For example, during typical operating conditions, the flow controller 166 provides the first gas to the process chamber via a first inlet 171, where the first inlet 171 is substantially equivalent to the first inlet 170 as discussed above for process chamber 112.
  • At 204, the first flow rate of the flow controller 166 may be determine using the mass flow verifier 174. For example, the first flow rate may be determined using rate of rise in a pressure in a known volume or a similar verification method. As discussed above, the mass flow verifier 174 may be configured for choked flow or flow conductance of each conduit may be known or substantially similar. For example, if the first flow rate as determined by the mass flow verifier 174 differed substantially from the first flow rate as read by the flow controller 166, the flow controller 166 may be calibrating based on the determined first flow rate by the mass flow verifier 174. For example, in some embodiments, if a difference ranging from about 1% to about 5% between the determined first flow rate and the first flow rate as read by the flow controller 166 were to exist, the flow controller 166 may require calibration. For example, if the difference is less than about 1%, the flow controller 166 may be considered in condition for operation. If the difference is greater than about 5%, the flow controller 166 may require replacement.
  • At 206, the mass flow verifier 174 may be cleared of the first gas after the first flow rate of the flow controller 166 is determined by the mass flow verifier 174. For example, the mass flow verifier 174 may be cleared of the first gas by diverting the first gas from the conduit 180 back to the process chamber 132 and/or turning off the flow controller 166. After the flow of the first gas through the conduit 180 has ceased, the first gas may be removed via an outlet (not shown) of the mass flow verifier 174 by a vacuum pump or the like (not shown) coupled to or part of the system 100.
  • At 208, a second gas may be provided by a second flow controller coupled to a second process chamber at a second flow rate to the mass flow verifier 174. For example, the second flow controller may be the flow controller 162 which may provide the second gas to the process chamber 112 under typical operating conditions; however, during flow verification the second gas is diverted as discussed above through the conduit 178 to the mass flow verifier 174. In some embodiments, the second gas may be substantially equivalent to the first gas. In some embodiments, the second flow rate may be substantially equivalent to the first flow rate. In some embodiments, while the flow rate of the flow controller 162 is being verified by the mass flow verifier 174, the flow controller 166 may be providing the first gas to the process chamber 132. In some embodiments, while the flow rate of the flow controller 162 is being verified, the flow controller 166 may be turned off. Similarly, the flow controller 162 may have been any one of providing the first gas to the process chamber 112 or turned off while the flow controller 166 was being verified at 202 and 204 as described above.
  • At 210, the second flow rate of the flow controller 162 may be determine using the mass flow verifier 174. For example, the second flow rate may be determined by any of the methods discussed above at 204. For example, in some embodiments, if a difference ranging from about 1% to about 5% between the determined second flow rate and the second flow rate as read by the flow controller 162 were to exist, the flow controller 162 may require calibration. For example, if the difference is less than about 1%, the flow controller 162 may be considered in condition for operation. If the difference is greater than about 5%, the flow controller 162 may require replacement.
  • Alternative to or in combination with the method steps 208-210, the method 200 may proceed to 302 (as shown in FIG. 3) where the first gas provided by a third flow controller to the first process chamber at a third flow rate may be provided to the mass flow verifier 174. For example, the third flow controller may be the flow controller 168 which provides the first gas to the process chamber 132 under typical operating conditions; however, during flow verification the first gas is diverted as discussed above through the conduit 182 to the mass flow verifier 174. For example, during typical operating conditions, the flow controller 168 provides the first gas to the process chamber via a second inlet 173, where the second inlet 173 is substantially equivalent to the second inlet 172 as discussed above for process chamber 112. For example, the method 200 may proceed to 302 after the first gas has been cleared from the mass flow verifier 174 at 206. Alternatively, the method 200 may proceed to 302 after the second gas has been cleared from the mass flow verifier 174 (not illustrated in flow chart of method 200 in FIG. 2-3) after the flow controller 162 has been verified at 210.
  • As discussed above, the flow controllers 166, 168 may be part of a multi-channel flow ratio controller 158 which includes a shared inlet 160 for receiving the first gas, which may for example be a mixture of process gases provided from the outlet of the mixer 156, and may distribute the first gas (e.g., a singular gas or a mixture of gases) to the process chambers 112, 132 via one or more flow controllers (and in this exemplary embodiment, to the process chamber 132 via the flow controllers 166, 168). For example, the flow controllers 166, 168 may provide the first gas to the process chamber 132 at a desired flow rate ratio between the first and second inlets 171, 173. The desired flow rate ratio may be achieved, for example, by setting the first and third flow rates of the flow controllers 166, 168 to desired flow rates. To confirm that the desired flow rate ratio is being delivered to the process chamber 132 via the flow controllers 166, 168, the flow rate of each flow controller can be independently verified by the mass flow verifier 174. For example, as discussed above, the flow controller 166 has been verified at 204.
  • At 304, the third flow rate of the flow controller 168 may be determine using the mass flow verifier 174. For example, the third flow rate may be determined by any of the methods discussed above at 204. For example, in some embodiments, if a difference of about +/−5% between the determined third flow rate and the third flow rate as read by the flow controller 168 were to exist, the flow controller 168 may require calibration.
  • Similar to embodiments discussed above, either or both of the flow controllers 162, 166 may be idled or providing a gas to one of the respective process chambers 112, 132 while the flow controller 168 is being verified as discussed below. For example, in some embodiments, the second gas can be provided to the process chamber 112 via the flow controller 162 (and/or via the flow controller 164) to process a substrate (not shown) disposed in process chamber 112 while the flow controller 168 is being verified by the mass flow verifier 174. Further, the flow controller 166 may be idle or operating while the flow controller 168 is being verified by the mass flow verifier 174.
  • Further, after determining the third flow rate at 304, the flow rate ratio of the first gas being provided to the process chamber 132 via the first and second inlets 171, 173 by the flow controllers 166, 168 can be determined by comparing the determined first and third flow rates. Similarly, and discussed above, the first and third flow controllers can be calibrated individually based on the determined flow rate ratio, or alternatively, the multi-channel flow ratio controller 158 may be calibrated as a whole based on the determined flow rate ratio.
  • At 306, the mass flow verifier 174 may be cleared of the first gas after determination of the third flow rate for the flow controller 168 is completed. For example, the mass flow verifier 174 may be cleared using any of the methods discussed above. After the mass flow verifier 174 is cleared of the first gas at 306, the method 200 may, for example, proceed to step 208 or alternatively proceed to verify another flow controller, for example, such as flow controller 186 or other flow controllers associated with shared resource 146C of twin chamber process system 103. Alternatively, if all flow controllers of the system 100 have been verified by the method 200, the method 200 may begin again, or be performed periodically, or after a desired number of process runs or the like to verify calibration of the flow controllers of the system 100.
  • Although described above in relation to a cluster tool configuration, the above teachings may also be used to modify a single process chamber having multiple zones in order to facilitate flow verification and calibration of multiple flow meters coupled to the various zones of the single process chamber. Alternatively or in combination, multiple process chambers that are proximately located may also be modified to share a mass flow verifier in accordance with the teachings provided herein. In some embodiments, a twin chamber processing system (e.g., 101) may be modified to share a mass flow verifier in accordance with the teachings provided herein without being mounted on a cluster tool.
  • Thus, methods and apparatus for calibrating a plurality of flow controllers in a substrate processing system are disclosed herein. The inventive methods and apparatus advantageously facilitate measuring one or more flow rates provided by one or more flow controllers in direct comparison to both a reference standard (e.g., a mass flow verifier) and to other flow controllers coupled to one or more process chambers in various configurations. The inventive systems and methods thus may advantageously provide decreased time required to calibrate each of the flow controllers and improved uniformity between the flow controller measurements, thereby facilitating improved chamber matching (e.g., improved uniformity of process results between two different chambers operating under similar process conditions).
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof.

Claims (20)

1. A method for calibrating a plurality of flow controllers in a substrate processing system comprising a first process chamber and a second process chamber coupled to a central vacuum transfer chamber, the method comprising:
providing a first gas at a first flow rate from a first flow controller coupled to a first process chamber;
diverting the first gas to a mass flow verifier via a first conduit;
determining the first flow rate using the mass flow verifier;
providing a second gas at a second flow rate from a second flow controller coupled to a second process chamber;
diverting the second gas to the mass flow verifier via a second conduit; and
determining the second flow rate using the mass flow verifier.
2. The method of claim 1, further comprising:
calibrating the first flow controller based on the determined first flow rate by the mass flow verifier; and
calibrating the second flow controller based on the determined second flow rate by the mass flow verifier.
3. The method of claim 1, wherein a first conduit coupling the first flow controller to the mass flow verifier and a second conduit coupling the second flow controller to the mass flow verifier have substantially the same flow conductance.
4. The method of claim 1, wherein a first conduit coupling the first flow controller to the mass flow verifier and a second conduit coupling the second flow controller to the mass flow verifier have different flow conductance, and wherein the mass flow verifier is further configured such that a flow rate of the first gas entering the mass flow verifier from the first conduit is independent of the flow conductance in the first conduit and a flow rate of the second gas entering the mass flow verifier through the second conduit is independent of the flow conductance in the second conduit.
5. The method of claim 1, further comprising:
flowing the first gas to the first flow controller from a first gas panel; and
flowing the second gas to the second flow controller from a second gas panel.
6. The method of claim 1, wherein the first gas and the second gas are the same gas and wherein the first gas and the second gas are provided to the first and second flow controllers from a common gas panel shared between the first and second process chambers.
7. The method of claim 1, further comprising:
idling the first flow controller after the determination of the first flow rate of the first flow controller is complete and prior to providing the second gas using the second flow controller to the mass flow verifier.
8. The method of claim 1, further comprising:
reinitiating the flow of the first gas by the first mass flow controller to the first process chamber after the determination of the first flow rate of the first flow controller is complete; and
maintaining the flow of the first gas by the first flow controller to the first process chamber while determining the second flow rate of the second flow controller.
9. The method of claim 1, further comprising:
providing the first gas at a third flow rate from a third flow controller coupled to the first process chamber;
diverting the first gas to the mass flow verifier via a third conduit; and
determining the third flow rate using the mass flow verifier, wherein the first flow controller provides the first gas to a first gas inlet of the first process chamber and the third flow controller provides the first gas to a second gas inlet of the first process chamber.
10. The method of claim 9, further comprising:
comparing the determined first and third flow rates to determine a flow rate ratio; and
calibrating the first and third flow controllers based on the determined flow rate ratio.
11. The method of claim 9, wherein the mass flow verifier is further configured such that a flow rate of the first gas entering the mass flow verifier from a first conduit is independent of the flow conductance in the first conduit and a flow rate of the first gas entering the mass flow verifier through a third conduit is independent of the flow conductance in the third conduit.
12. The method of claim 1, wherein a first conduit coupling the first flow controller to the mass flow verifier and a second conduit coupling the second flow controller to the mass flow verifier have different volumes, wherein the mass flow verifier is further configured such that a flow rate of the first gas entering the mass flow verifier from the first conduit is independent of the volume in the first conduit and a flow rate of the second gas entering the mass flow verifier through the second conduit is independent of the volume in the second conduit.
13. A method for calibrating a plurality of flow controllers in a substrate processing system, the method comprising:
providing a first gas to a mass flow verifier by a first flow controller coupled to a first zone of a first process chamber;
determining a first flow rate of the first gas using the mass flow verifier;
providing a second gas to the mass flow verifier by a second flow controller coupled to a second zone of the first process chamber; and
determining a second flow rate of the second gas using the mass flow verifier, wherein the first flow controller is capable of providing the first gas to the first zone while the second flow controller is providing the second gas to the mass flow verifier.
14. The method of claim 13, wherein the first gas and the second gas are provided at respective flow rates intended to obtain a desired flow ratio, and further comprising:
determining an actual flow ratio between the first and second flow rates; and
comparing the actual flow ratio to the desired flow ratio to determine whether the desired flow ratio is provided.
15. A substrate processing system, comprising:
a cluster tool comprising a first process chamber and a second process chamber coupled to a central vacuum transfer chamber;
a first flow controller to provide a process gas to the first process chamber;
a second flow controller to provide the process gas to the second process chamber;
a mass flow verifier to verify a flow rate from each of the first and second flow controllers;
a first conduit to selectively couple the first flow controller to the mass flow verifier; and
a second conduit to selectively couple the second flow controller to the mass flow verifier.
16. The substrate processing system of claim 15, wherein the first and second conduits have the same flow conductance.
17. The substrate processing system of claim 15, wherein the mass flow verifier further comprises:
a critical flow nozzle, wherein a flow rate of the first gas flowing through the critical flow nozzle is independent of the flow conductance in the first conduit and wherein a flow rate of the second gas flowing through the critical flow nozzle is independent of the flow conductance in the second conduit.
18. The substrate processing system of claim 15, further comprising:
a shared gas panel for providing the process gas to the first and second flow controllers.
19. The substrate processing system of claim 15, further comprising:
a third flow controller to provide the process gas to the first process chamber; and
a third conduit to selectively couple the third flow controller to the mass flow verifier to verify a flow rate from the third flow controller;
wherein the any two of the first, second, or third flow controllers is capable of flowing the process gas to a respective one of the first or second process chambers while any one of the first, second, or third flow controllers is being verified by the mass flow verifier.
20. A substrate processing system, comprising:
a first flow controller to provide a process gas to a first zone of a first process chamber;
a second flow controller to provide the process gas to a second zone of the first process chamber;
a mass flow verifier to verify a flow rate from each of the first and second flow controllers;
a first conduit to selectively couple the first flow controller to the mass flow verifier; and
a second conduit to selectively couple the second flow controller to the mass flow verifier.
US12/915,345 2010-04-30 2010-10-29 Methods and apparatus for calibrating flow controllers in substrate processing systems Active 2032-06-30 US8707754B2 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
US12/915,345 US8707754B2 (en) 2010-04-30 2010-10-29 Methods and apparatus for calibrating flow controllers in substrate processing systems
TW100112859A TWI483306B (en) 2010-04-30 2011-04-13 Methods and apparatus for calibrating flow controllers in substrate processing systems
KR1020127019810A KR101451091B1 (en) 2010-04-30 2011-04-25 Methods and apparatus for calibrating flow controllers in substrate processing systems
CN201180007645.2A CN103038867B (en) 2010-04-30 2011-04-25 For the device and method of calibrated fluxes controller in substrate processing system
JP2013508127A JP5986988B2 (en) 2010-04-30 2011-04-25 Method and apparatus for calibrating a flow control device of a substrate processing system
PCT/US2011/033780 WO2011137071A2 (en) 2010-04-30 2011-04-25 Methods and apparatus for calibrating flow controllers in substrate processing systems

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US33005610P 2010-04-30 2010-04-30
US12/915,345 US8707754B2 (en) 2010-04-30 2010-10-29 Methods and apparatus for calibrating flow controllers in substrate processing systems

Publications (2)

Publication Number Publication Date
US20110265549A1 true US20110265549A1 (en) 2011-11-03
US8707754B2 US8707754B2 (en) 2014-04-29

Family

ID=44857185

Family Applications (1)

Application Number Title Priority Date Filing Date
US12/915,345 Active 2032-06-30 US8707754B2 (en) 2010-04-30 2010-10-29 Methods and apparatus for calibrating flow controllers in substrate processing systems

Country Status (6)

Country Link
US (1) US8707754B2 (en)
JP (1) JP5986988B2 (en)
KR (1) KR101451091B1 (en)
CN (1) CN103038867B (en)
TW (1) TWI483306B (en)
WO (1) WO2011137071A2 (en)

Cited By (334)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090146089A1 (en) * 2007-12-11 2009-06-11 Fujikin Incorporated Pressure type flow rate control reference and corrosion resistant pressure type flow rate controller used for the same
US20100229967A1 (en) * 2009-03-11 2010-09-16 Horiba Stec, Co., Ltd. Mass flow controller verifying system, verifying method and verifying program
US20120328780A1 (en) * 2011-06-27 2012-12-27 Asm Japan K.K. Dual Section Module Having Shared and Unshared Mass Flow Controllers
WO2013148473A1 (en) * 2012-03-27 2013-10-03 Lam Research Corporation Shared gas panels in plasma processing chambers employing multi-zone gas feeds
US8851113B2 (en) 2012-03-27 2014-10-07 Lam Research Coporation Shared gas panels in plasma processing systems
CN104733347A (en) * 2013-12-24 2015-06-24 北京北方微电子基地设备工艺研究中心有限责任公司 Device, method and system for gas switching in semiconductor processing equipment
US20160032488A1 (en) * 2014-07-31 2016-02-04 Nuflare Technology, Inc. Vapor phase growth apparatus and vapor phase growth method
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US20160155653A1 (en) * 2014-12-01 2016-06-02 Varian Semiconductor Equipment Associates, Inc. System And Method Of Opening A Load Lock Door Valve At A Desired Pressure After Venting
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9662688B2 (en) 2012-07-09 2017-05-30 Kla-Tencor Corporation Apparatus and method for cross-flow purge for optical components in a chamber
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US20200292403A1 (en) * 2019-03-11 2020-09-17 Tokyo Electron Limited Method for calibrating plurality of chamber pressure sensors and substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US20210143029A1 (en) * 2019-11-12 2021-05-13 Applied Materials, Inc. Gas delivery systems and methods
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
WO2022220900A1 (en) * 2021-04-13 2022-10-20 Applied Materials, Inc. Methods, systems, and apparatus for conducting a calibration operation for a plurality of mass flow controllers (mfcs) of a substrate processing system
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11555755B2 (en) * 2020-03-31 2023-01-17 Tokyo Electron Limited Method of calibrating multiple chamber pressure sensors
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9062993B2 (en) * 2012-05-22 2015-06-23 E I Du Pont De Nemours And Company Method and apparatus for liquid flow calibration check
JP5775633B1 (en) * 2014-09-29 2015-09-09 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and recording medium
US10453721B2 (en) 2016-03-15 2019-10-22 Applied Materials, Inc. Methods and assemblies for gas flow ratio control
US10269600B2 (en) 2016-03-15 2019-04-23 Applied Materials, Inc. Methods and assemblies for gas flow ratio control
US20180166300A1 (en) * 2016-12-13 2018-06-14 Lam Research Ag Point-of-use mixing systems and methods for controlling temperatures of liquids dispensed at a substrate
CN112563105B (en) * 2019-09-10 2023-11-03 中微半导体设备(上海)股份有限公司 System and method for implementing gas flow verification in plasma processing apparatus

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6596091B1 (en) * 1998-04-29 2003-07-22 Applied Materials, Inc. Method for sweeping contaminants from a process chamber
US20080299326A1 (en) * 2007-05-30 2008-12-04 Asm Japan K.K. Plasma cvd apparatus having non-metal susceptor
US7461549B1 (en) * 2007-06-27 2008-12-09 Mks Instruments, Inc. Mass flow verifiers capable of providing different volumes, and related methods
US20090064756A1 (en) * 2007-09-07 2009-03-12 Korea Research Institute Of Standards And Science Vacuum gauge calibration apparatus capable of calibrating and testing without displacement and operating method thereof
US20130081702A1 (en) * 2011-09-29 2013-04-04 Applied Materials, Inc. Methods for in-situ calibration of a flow controller
US20130092243A1 (en) * 2011-09-29 2013-04-18 Applied Materials, Inc. Methods for monitoring a flow controller coupled to a process chamber

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5526674A (en) * 1992-04-30 1996-06-18 Sierra Instruments, Inc. Method and apparatus for improved flow rate measurement and calibration
US5304398A (en) * 1993-06-03 1994-04-19 Watkins Johnson Company Chemical vapor deposition of silicon dioxide using hexamethyldisilazane
US5520969A (en) * 1994-02-04 1996-05-28 Applied Materials, Inc. Method for in-situ liquid flow rate estimation and verification
JP2001159549A (en) * 1999-12-02 2001-06-12 Osaka Gas Co Ltd Conduit changeover type gas meter
WO2002016885A1 (en) * 2000-08-22 2002-02-28 Fugasity Corporation Fluid mass flow meter with substantial measurement range
US6418954B1 (en) * 2001-04-17 2002-07-16 Mks Instruments, Inc. System and method for dividing flow
US20030003696A1 (en) 2001-06-29 2003-01-02 Avgerinos Gelatos Method and apparatus for tuning a plurality of processing chambers
US6913652B2 (en) 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
CN1574200A (en) * 2003-05-12 2005-02-02 艾格瑞系统有限公司 Method of mass flow control flow verification and calibration
US6955072B2 (en) * 2003-06-25 2005-10-18 Mks Instruments, Inc. System and method for in-situ flow verification and calibration
JP2005079141A (en) * 2003-08-28 2005-03-24 Asm Japan Kk Plasma cvd system
US20050186339A1 (en) 2004-02-20 2005-08-25 Applied Materials, Inc., A Delaware Corporation Methods and apparatuses promoting adhesion of dielectric barrier film to copper
JP4818589B2 (en) * 2004-02-26 2011-11-16 東京エレクトロン株式会社 Processing equipment
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
JP4086057B2 (en) * 2004-06-21 2008-05-14 日立金属株式会社 Mass flow control device and verification method thereof
KR100754386B1 (en) 2004-10-28 2007-08-31 삼성전자주식회사 Duplex chemical vapor deposition system and method of pulsed processing using the same
US7376520B2 (en) * 2005-03-16 2008-05-20 Lam Research Corporation System and method for gas flow verification
US7174263B2 (en) * 2005-03-25 2007-02-06 Mks Instruments, Inc. External volume insensitive flow verification
JP2007208085A (en) * 2006-02-03 2007-08-16 Hitachi High-Technologies Corp Plasma processor, and flow dividing ratio testing method thereof
KR101117749B1 (en) * 2006-03-07 2012-03-16 씨케이디 가부시키 가이샤 Gas flow rate verification unit
JP4765746B2 (en) * 2006-04-17 2011-09-07 日立金属株式会社 Shut-off valve device and mass flow control device incorporating the same
US7822570B2 (en) * 2006-11-17 2010-10-26 Lam Research Corporation Methods for performing actual flow verification
US8074677B2 (en) * 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US20080302426A1 (en) * 2007-06-06 2008-12-11 Greg Patrick Mulligan System and method of securing removable components for distribution of fluids
JP4598044B2 (en) * 2007-10-29 2010-12-15 シーケーディ株式会社 Flow verification failure diagnosis device, flow verification failure diagnosis method, and flow verification failure diagnosis program
US8205629B2 (en) * 2008-04-25 2012-06-26 Applied Materials, Inc. Real time lead-line characterization for MFC flow verification
JP5346628B2 (en) * 2009-03-11 2013-11-20 株式会社堀場エステック Mass flow controller verification system, verification method, verification program
US20110265951A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6596091B1 (en) * 1998-04-29 2003-07-22 Applied Materials, Inc. Method for sweeping contaminants from a process chamber
US20080299326A1 (en) * 2007-05-30 2008-12-04 Asm Japan K.K. Plasma cvd apparatus having non-metal susceptor
US7461549B1 (en) * 2007-06-27 2008-12-09 Mks Instruments, Inc. Mass flow verifiers capable of providing different volumes, and related methods
US20090064756A1 (en) * 2007-09-07 2009-03-12 Korea Research Institute Of Standards And Science Vacuum gauge calibration apparatus capable of calibrating and testing without displacement and operating method thereof
US20130081702A1 (en) * 2011-09-29 2013-04-04 Applied Materials, Inc. Methods for in-situ calibration of a flow controller
US20130092243A1 (en) * 2011-09-29 2013-04-18 Applied Materials, Inc. Methods for monitoring a flow controller coupled to a process chamber

Cited By (438)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8210022B2 (en) * 2007-12-11 2012-07-03 Fujikin Incorporated Pressure type flow rate control reference and corrosion resistant pressure type flow rate controller used for the same
US8381755B2 (en) 2007-12-11 2013-02-26 Fujikin Incorporated Pressure type flow rate control reference and corrosion resistant pressure type flow rate controller used for the same
US20090146089A1 (en) * 2007-12-11 2009-06-11 Fujikin Incorporated Pressure type flow rate control reference and corrosion resistant pressure type flow rate controller used for the same
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8646307B2 (en) 2009-03-11 2014-02-11 Horiba Stec, Co., Ltd. Mass flow controller verifying system, verifying method and verifying program
US20100229967A1 (en) * 2009-03-11 2010-09-16 Horiba Stec, Co., Ltd. Mass flow controller verifying system, verifying method and verifying program
US8443649B2 (en) * 2009-03-11 2013-05-21 Horiba Stec, Co., Ltd. Mass flow controller verifying system, verifying method and verifying program
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) * 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US20120328780A1 (en) * 2011-06-27 2012-12-27 Asm Japan K.K. Dual Section Module Having Shared and Unshared Mass Flow Controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US8851113B2 (en) 2012-03-27 2014-10-07 Lam Research Coporation Shared gas panels in plasma processing systems
US9091397B2 (en) 2012-03-27 2015-07-28 Lam Research Corporation Shared gas panels in plasma processing chambers employing multi-zone gas feeds
WO2013148473A1 (en) * 2012-03-27 2013-10-03 Lam Research Corporation Shared gas panels in plasma processing chambers employing multi-zone gas feeds
CN104321462A (en) * 2012-03-27 2015-01-28 朗姆研究公司 Shared gas panels in plasma processing chambers employing multi-zone gas feeds
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US9662688B2 (en) 2012-07-09 2017-05-30 Kla-Tencor Corporation Apparatus and method for cross-flow purge for optical components in a chamber
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
CN104733347A (en) * 2013-12-24 2015-06-24 北京北方微电子基地设备工艺研究中心有限责任公司 Device, method and system for gas switching in semiconductor processing equipment
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US20160032488A1 (en) * 2014-07-31 2016-02-04 Nuflare Technology, Inc. Vapor phase growth apparatus and vapor phase growth method
US10132001B2 (en) * 2014-07-31 2018-11-20 Nuflare Technology, Inc. Vapor phase growth apparatus and vapor phase growth method
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9817407B2 (en) * 2014-12-01 2017-11-14 Varian Semiconductor Equipment Associates, Inc. System and method of opening a load lock door valve at a desired pressure after venting
US20160155653A1 (en) * 2014-12-01 2016-06-02 Varian Semiconductor Equipment Associates, Inc. System And Method Of Opening A Load Lock Door Valve At A Desired Pressure After Venting
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11585717B2 (en) * 2019-03-11 2023-02-21 Tokyo Electron Limited Method for calibrating plurality of chamber pressure sensors and substrate processing system
US20200292403A1 (en) * 2019-03-11 2020-09-17 Tokyo Electron Limited Method for calibrating plurality of chamber pressure sensors and substrate processing system
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11798820B2 (en) * 2019-11-12 2023-10-24 Applied Materials, Inc. Gas delivery systems and methods
US20210143029A1 (en) * 2019-11-12 2021-05-13 Applied Materials, Inc. Gas delivery systems and methods
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11555755B2 (en) * 2020-03-31 2023-01-17 Tokyo Electron Limited Method of calibrating multiple chamber pressure sensors
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11733081B2 (en) 2021-04-13 2023-08-22 Applied Materials, Inc. Methods, systems, and apparatus for conducting a calibration operation for a plurality of mass flow controllers (MFCs) of a substrate processing system
WO2022220900A1 (en) * 2021-04-13 2022-10-20 Applied Materials, Inc. Methods, systems, and apparatus for conducting a calibration operation for a plurality of mass flow controllers (mfcs) of a substrate processing system
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11967488B2 (en) 2022-05-16 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor

Also Published As

Publication number Publication date
JP5986988B2 (en) 2016-09-06
CN103038867A (en) 2013-04-10
US8707754B2 (en) 2014-04-29
WO2011137071A3 (en) 2012-03-01
KR20130025863A (en) 2013-03-12
KR101451091B1 (en) 2014-10-15
TWI483306B (en) 2015-05-01
WO2011137071A2 (en) 2011-11-03
TW201212121A (en) 2012-03-16
CN103038867B (en) 2016-03-16
JP2013529381A (en) 2013-07-18

Similar Documents

Publication Publication Date Title
US8707754B2 (en) Methods and apparatus for calibrating flow controllers in substrate processing systems
US20110265951A1 (en) Twin chamber processing system
US20110269314A1 (en) Process chambers having shared resources and methods of use thereof
US10840061B2 (en) Substrate processing chamber including conical surface for reducing recirculation
US9951423B2 (en) Systems and methods for measuring entrained vapor
US9091397B2 (en) Shared gas panels in plasma processing chambers employing multi-zone gas feeds
US8616043B2 (en) Methods and apparatus for calibrating pressure gauges in a substrate processing system
US20180061679A1 (en) Multi chamber processing system with shared vacuum system
US8473247B2 (en) Methods for monitoring processing equipment
US10760944B2 (en) Hybrid flow metrology for improved chamber matching
US20180166300A1 (en) Point-of-use mixing systems and methods for controlling temperatures of liquids dispensed at a substrate
US10510564B2 (en) Dynamic coolant mixing manifold
US20200256228A1 (en) Vacuum Pumps For Single And Multi-Process Chamber Flow Stream Sharing
US11959793B2 (en) Flow metrology calibration for improved processing chamber matching in substrate processing systems
CN116190282B (en) Etching device and method for uniformly etching wafer
Xing et al. Modular fluid delivery system architectures drive configurability options, enhance semiconductor manufacturing equipment productivity, and improve process performance

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CRUSE, JAMES P.;LANE, JOHN W.;GREGOR, MARIUSCH;AND OTHERS;SIGNING DATES FROM 20101213 TO 20110218;REEL/FRAME:025951/0463

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551)

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8