US20140273428A1 - Silane or borane treatment of metal thin films - Google Patents

Silane or borane treatment of metal thin films Download PDF

Info

Publication number
US20140273428A1
US20140273428A1 US13/830,322 US201313830322A US2014273428A1 US 20140273428 A1 US20140273428 A1 US 20140273428A1 US 201313830322 A US201313830322 A US 201313830322A US 2014273428 A1 US2014273428 A1 US 2014273428A1
Authority
US
United States
Prior art keywords
metal
thin film
layer
silane
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US13/830,322
Other versions
US8846550B1 (en
Inventor
Eric Shero
Suvi Haukka
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Priority to US13/830,322 priority Critical patent/US8846550B1/en
Assigned to ASM IP HOLDING B.V. reassignment ASM IP HOLDING B.V. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HAUKKA, SUVI, SHERO, ERIC
Priority to KR1020140022026A priority patent/KR101907193B1/en
Priority to CN201410075121.2A priority patent/CN104051250B/en
Priority to TW105131349A priority patent/TWI564944B/en
Priority to TW103109223A priority patent/TWI556294B/en
Priority to US14/300,986 priority patent/US9111749B2/en
Publication of US20140273428A1 publication Critical patent/US20140273428A1/en
Publication of US8846550B1 publication Critical patent/US8846550B1/en
Application granted granted Critical
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/932Boron nitride semiconductor

Definitions

  • the present application relates generally to processes for providing a protective treatment to metal thin films.
  • thin films used in metal gate and metal electrode applications in metal oxide semiconductor field effect transistors (MOSFETs), such as n-channel MOSFETs (NMOS) are treated either during or after deposition in order to prevent or reduce the effects of oxidation.
  • MOSFETs metal oxide semiconductor field effect transistors
  • NMOS n-channel MOSFETs
  • Oxidation of a metal thin film can easily occur during many steps in processing, such as by exposure to atmospheric water or oxygen.
  • oxidation may occur between the deposition of each thin film, such as when transferring a wafer or substrate between deposition modules.
  • Oxidation poses a problem in that it can affect the workfunction of a given thin film or an entire stack.
  • oxidation in one thin film may lead to oxidation of the interface between that film and a second film or even oxidation of the second film itself if the oxygen is able to diffuse through the first film to the second film.
  • Oxidation of the etch-stop layer can easily occur after formation of a PMOS stack and before formation of an NMOS stack. Oxidation of the etch-stop layer can affect the workfunction of the subsequently formed NMOS stack, as it may lead to a shift in the workfunction, for example, from n-type to p-type.
  • Other layers deposited during formation of a gate stack can also be exposed to oxygen, for example between deposition of each of the various thin films.
  • the stack 100 includes a dielectric layer 102 , a first metal nitride layer 104 , a metal carbide layer 106 —in which the interface 108 between the first metal nitride layer 104 and the metal carbide layer 106 includes oxidized portions represented by the presence of oxygen (“0”) atoms—a second metal nitride layer 110 , and a metal layer 112 .
  • the presence of oxygen at the interface 108 between the first metal nitride layer 104 and the metal carbide layer 106 can undesirably shift the workfunction of the stack 100 from n-type to p-type.
  • Oxidation of the various layers can occur in a variety of ways during formation of the stack; however, it is common for the first metal nitride layer 104 to have already been oxidized prior to the deposition of the metal carbide layer 106 . Even if the metal carbide layer 106 is able to be deposited without the presence of oxygen so as to achieve a relatively pure layer of a metal carbide, oxygen present in the first metal nitride layer 104 is capable of diffusing up into the metal carbide layer 106 . Oxygen in the metal carbide layer 106 and particularly at the interface 108 can undesirably shift the work function of the overall stack 100 .
  • methods for forming a gate stack include providing a substrate having a dielectric material and a first metal-containing thin film over the dielectric material, contacting the first thin film with a silane or borane compound, and depositing a second metal-containing thin film over the first metal-containing thin film.
  • the first thin film comprises a metal selected from Ti, Ta, Hf, V, Nb, and Zr.
  • the first metal-containing thin film is an etch stop layer or barrier layer and the second metal-containing thin film is a workfunction setting layer.
  • the first metal-containing thin film in some embodiments is a TiN, TiAlN, TaN or TiAlCN thin film.
  • the second metal containing thin film comprises an n-type metal.
  • the n-type metal (or n-metal) film comprises a metal carbide, such as TaC, TiC, HfC, TaAlC, TiAlSiC, or SiAlSiC.
  • the n-type metal is TiAl, TiAlB, TaAlB, TiAlSiB, TaAl, or HfAlSiB.
  • the second metal-containing thin film in some embodiments is a titanium carbide film.
  • the metal in the first metal-containing thin film is different from the metal in the second metal-containing thin film.
  • contacting the first metal-containing thin film with a silane or borane compound comprises exposing the first metal-containing thin film to the silane or borane compound for a duration of between about 1 second and about 2 minutes. Some methods further include contacting the second metal-containing thin film with a silane or borane compound. In some methods, depositing the second metal-containing thin film comprises an atomic layer deposition process comprising multiple deposition cycles.
  • the substrate is exposed to a silane or borane compound in each deposition cycle.
  • Some methods further include depositing a third metal-containing thin film over the second metal-containing thin film.
  • the third metal-containing thin film may comprise a different metal from the second-metal containing thing film.
  • the substrate is contacted with a silane or borane compound during or after depositing the second metal-containing thin film and prior to depositing the third metal-containing thin film.
  • the substrate is contacted with a silane or borane compound during or after deposition of the third metal-containing thin film.
  • Some methods further include depositing a metal over the third metal-containing thin film.
  • the metal in some embodiments is tungsten.
  • the silane or borane is selected from the group consisting of monosilane, disilane, trisilane, borane, diborane, and triborane. And in some embodiments, the silane or borane is trisilane. According to some methods, the first and second metal-containing thin films are deposited in situ.
  • Some embodiments of methods for forming an NMOS stack according to the present disclosure include, sequentially, providing a substrate comprising a previously deposited dielectric material and an etch stop layer, contacting the etch stop layer with a silane or borane compound; and depositing a metal-containing layer over the first etch stop layer.
  • the etch stop layer is a titanium nitride layer and the metal-containing layer comprises an n-type metal.
  • the metal-containing layer in some methods comprises TiAl, TaC, HfC, TaAlC, TiAlSiC, TiAl B, TaAlB, TiAlSiB, TaAl, TiAlSiC TaAlSiB, or HfAlSiB. Some methods also include contacting the metal-containing layer with a silane or borane compound.
  • the metal-containing layer in some methods is deposited by an atomic layer deposition process comprising multiple deposition cycles, and the substrate is contacted with a silane or borane compound during at least one of the deposition cycles.
  • the silane or borane is selected from the group consisting of monosilane, disilane, trisilane, borane, diborane, and triborane. And contacting the etch stop layer with a silane or borane compound in some methods does not increase the thickness first metal nitride layer.
  • FIG. 1 is a schematic cross-sectional side view of a gate stack containing an oxidized portion
  • FIG. 2 is a schematic cross-sectional side view of an electrode structure, comprising an NMOS stack that includes a dielectric layer, a first metal nitride layer, a metal carbide layer, a second metal nitride layer, and a metal layer, according to some embodiments of the invention.
  • FIGS. 3A-C are flow charts generally illustrating protective treatment of a dielectric layer or titanium nitride layer during a process of forming a thin film stack, in accordance with some embodiments.
  • FIGS. 4A-C are flow charts generally illustrating protective treatment of a titanium nitride or titanium carbide layer during a process of forming a thing film stack, in accordance with some embodiments.
  • FIG. 5 is a flow chart illustrating methods of forming a thin film by ALD, in which supply of a protective treatment follows removal of excess second reactant and by-products, in accordance with some embodiments.
  • the present disclosure provides methods for reducing or preventing undesirable effects of oxidation in one or more thin films comprising metal.
  • the thin films can be deposited using known vapor deposition processes, such as atomic layer deposition (ALD) or chemical vapor deposition (CVD) processes.
  • the methods for reducing oxidation can comprise an oxygen barrier material or a preventative treatment applied to a deposited thin film.
  • a protective treatment may be provided as a part of an ALD or CVD method used to form the thin film.
  • a protective treatment may comprise exposing the film to be treated to a silane or a borane compound. The treatment may reduce or substantially prevent oxidation of the thin film and the possible buildup of oxygen at the interface of the thin film and an overlying layer.
  • Oxidation resistance is important in many contexts. For example, in a gate stack even a minor amount of oxygen in the stack could change the stack's electrical properties, namely eWF, making it unsuitable for its intended purpose.
  • processing including deposition of films and film stacks, without exposure to air or ambient moisture can be costly, difficult, and/or too complex.
  • the application of a preventative treatment during or after deposition of one film in a manufacturing process may not only reduce or prevent oxidation of that film but also of overlying films during subsequent processing steps.
  • using a protective treatment can simplify processing while also controlling costs.
  • the treatment may also reduce the deleterious effects of oxygen that is already present in a film, such as from previous processing steps or transport.
  • the material used in the treatment may remove or isolate oxygen that may be present in a thin film or on the surface of a thin film.
  • treatment of one thin film may benefit subsequent layers or films in that the treatment may prevent oxygen from migrating up into those layers.
  • the silicon or boron introduced into a metal film during treatment with silane or borane reduces bonds between oxygen and the metal by formation of silicon oxide or boron oxide.
  • the oxygen may be present in the film at the time of treatment, or the film may subsequently be exposed to oxygen.
  • an oxidized TiN film is treated with silane or borane, the TiON is reduced back to TiN.
  • the presence of Si and/or B in a treated metal film can also act as a barrier to oxidation, such as during subsequent processing steps, by allowing the oxygen to preferentially bond with the Si and/or B, relative to the metal of the metal film.
  • a silane or borane treatment can be used to reduce the resistivity of a thin metal film, such as a titanium carbide film.
  • a metal thin film is deposited and subsequently receives a protective treatment, which may comprise exposure to a silane or a borane.
  • a protective treatment which may comprise exposure to a silane or a borane.
  • a metal thin film may be formed by a known deposition process, such as by a CVD process and then exposed to a borane or silane, such as trisilane or disilane.
  • the protective treatment may be provided during deposition of the metal film, rather than, or in addition to, the treatment being applied after deposition. That is, the treatment may be applied intermittently during deposition.
  • a substrate may be exposed to silane or borane intermittently in an atomic layer deposition process for forming a metal thin film, such as a metal nitride or metal carbide thin film.
  • the substrate is exposed to the silane or borane in each deposition cycle, after a certain number of deposition cycles, or after all the deposition cycles have been completed.
  • the thin film that is treated comprises one or more metals selected from the group consisting of Ti, Ta, W, In some embodiments the thin film that is treated comprises Ta, Ti, or W, such as a TaN film, a TiC film, or a W film. In some embodiments the thin film that is treated comprises TiAl, TaC, HfC, TaAlC, TaAlB, TaAl, SiC, HfAlSiB, etc. In some embodiments the thin film comprises an n-type metal, such as titanium. In some embodiments the thin film is deposited during integrated circuit processing, such as during formation of a gate stack, as described in more detail below. Although generally described herein with reference to films deposited during fabrication of NMOS transistors, the skilled artisan will recognize that the methods described herein can be used in other contexts where metal-containing films are or could be exposed to oxygen.
  • the treatment agent comprises one or more silane or borane, or a mixture of silanes and boranes.
  • the silane or borane may be selected from the following: borane, diborane, triborane, silane, monosilane, disilane, trisilane, or a mixture of two or more of these.
  • the treatment agent may be provided as a brief pulse, such as during one or more ALD cycles, or may be provided for longer periods of time, such as when a previously deposited film is being treated. The length of time can be controlled to achieve the desired amount of silicon or boron in the thin film.
  • the pressure within a reaction chamber during a protective treatment is between about 0.1 torr and about 50 torr, though the pressure can be between about 0.5 torr and about 5 torr.
  • the temperature within a reaction chamber is between about 350° C. and about 450° C., though it can be between about 380° C. and about 420° C. or, preferably, between about 390° C. and about 420° C.
  • the duration of exposure to the treatment agent may be from about 1 second and to about 60 seconds or more. Longer periods, such as at least about 10 seconds, may be desired if treating a thicker film, such as where a completed film has already or previously been deposited prior to a protective treatment. And shorter periods, such as about 1, 2 or 3 seconds, may be desired when incorporating a treatment step into a deposition cycle.
  • treatment may comprise exposure to the treatment agent for less than 1 second.
  • NMOS transistors which may include planar “replacement gate” devices as well as multiple gate transistors, such as FinFETs
  • the skilled artisan will be aware of other relevant contexts in which the disclosed methods could be utilized, such as metal electrodes for memory structures where an n-type metal is needed.
  • a protective treatment refers to exposing a thin film comprising metal on a substrate to a treatment agent comprising silane or borane.
  • the treatment agent may react with oxygen that may be present on or in the thin film or with oxygen upon subsequent exposure of the thin film to oxygen or oxidizing agents.
  • oxygen is bound to metal atoms in the thin film, and with exposure to the treatment agent comprising silane or borane the metal oxygen bonds are reduced by preferential formation of silicon oxide or boron oxide.
  • the silane or borane agent may also bind oxygen that is not bound to metal, such as oxygen that may be present in the form of contaminants such as water, hydroxyl groups, etc.
  • the treatment agent comprises one or more silanes and/or boranes, such as monosilane, disilane, trisilane, borane, diborane, and triborane.
  • the treatment agent may be applied in vapor or liquid form. However, the treatment is typically carried out by providing a vapor phase pulse of the treatment agent
  • the length of time that the treatment agent is applied may vary, for example depending on the thickness of the film being treated and the amount of oxidation or the anticipated exposure to oxidizing agents.
  • the treatment agent is contacted with the film for a period of about 1 second to about 10 minutes, from about 2 second to about 5 minutes, from about 10 seconds to about 2 minutes or from about 20 seconds to about 60 seconds. However, shorter or longer exposures can be utilized.
  • the treatment agent may be applied as a relatively short pulse, such as less than about 1 second.
  • a partially or completely deposited film is soaked in the treatment agent, such as for 1 second or more, 10 seconds or more, 20 seconds or more, 30 seconds or more, or 60 seconds or more. In some embodiments the soak may be for at least one minute, two minutes, five minutes, ten minutes or more. Specific treatment times can be determined by the skilled artisan depending on the particular circumstances such as the type of film, thickness of the film, amount of existing oxidation of the film and the type of exposure to oxidizing agents that is anticipated.
  • the protective treatment does not add to the thickness of a thin film, such that a thin film (or plurality of thin films) that has received a protective treatment is not appreciably thicker than a thin film that has not received such a treatment.
  • a thin film comprising a metal is deposited according to a known process, such an ALD or a CVD process.
  • a protective treatment can then be applied to the thin film after the thin film has been fully deposited.
  • the protective treatment forms a part of the deposition process.
  • the protective treatment may comprise one step of at least one ALD cycle.
  • the protective treatment is provided in a certain number of ALD cycles or all of the ALD cycles.
  • the protective treatment may be provided as a separate pulse in every ALD cycle, or provided every 2, 3, 4, 5, 10, 20 or more ALD cycles.
  • the CVD deposition process may be interrupted one or more times during deposition to provide the treatment agent.
  • the protective treatment is applied as the last pulse or exposure in the deposition process.
  • the protective treatment is applied to the substrate prior to the deposition of a thin film that is to be protected from oxidation.
  • treatment of an oxidized film on a substrate surface may prevent migration of oxygen from that film to a film that is subsequently deposited over the treated film and that will benefit from being protected from oxidation.
  • a protective treatment may also protect a metal thin film from being oxidized by subsequent exposure to oxygen.
  • the use of a protective treatment may also at least partially protect one or more films that are deposited over a treated thin film.
  • the stack 200 includes a dielectric layer 202 , such as hafnium oxide, a first etch stop layer or bottom barrier layer 204 , such as a titanium nitride (TiN) layer, a first n-type metal layer, here illustrated as a metal carbide layer 206 , such as tantalum carbide (TaC), a second metal nitride layer 208 , and a metal layer 210 , such as a tungsten (W) layer.
  • a dielectric layer 202 such as hafnium oxide
  • a first etch stop layer or bottom barrier layer 204 such as a titanium nitride (TiN) layer
  • a first n-type metal layer here illustrated as a metal carbide layer 206 , such as tantalum carbide (TaC)
  • a metal layer 210 such as a tungsten (W) layer.
  • the presence of silicon 212 derived from a protective treatment is illustrated as being contained within the first metal nitrid
  • FIG. 2 illustrates that the silicon 212 is located more or less at the interface of the first metal nitride layer 204 and the n-type metal layer 206 . Without being tied to any particular theory, it is believed that this interface or near-interface region may determine or influence the workfunction of the overall stack 200 . Thus, limiting the migration of materials such as oxygen or aluminum—which can occur more easily as the layers become thinner—may help protect the workfunction or another characteristic of the stack 200 .
  • a protective treatment as a part of the deposition of the etch stop layer 204 or prior to the deposition of the n-metal layer 206 , where the protective treatment may provide silicon 212 (or boron), at least at or near the interface between the etch stop and n-metal films (the first metal nitride layer 204 and the metal carbide layer 206 as illustrated).
  • a protective treatment is applied to the first etch stop layer 204 once the substrate has been placed in a chamber for depositing the n-metal layer but before deposition of the n-metal layer has begun.
  • treatment may be utilized to provide Si or B at the interface between any two layers.
  • an etch-stop layer (or bottom barrier layer) that receives a protective treatment could comprise TiN, TaN, or other materials known in the art. And materials other than TaC could be used as then n-type metal layer, including other oxygen sensitive n-type metal films, such as TiAl, TiC, HfC, TaAlC, TaAlB, TaAl, SiC, HfAlSiB. Other types of films that would benefit from the treatment will be apparent to the skilled artisan.
  • a protective treatment can bind up at least some of the oxygen that may be present initially, or upon subsequent exposure, such as during transport from one chamber to another.
  • the use of a protective treatment may also reduce at least some of the previously oxidized portions of a thin film, such as the first metal nitride layer 204 .
  • substrates may be received that already contain an etch stop layer (or bottom barrier layer), such as a TiN layer, and that layer can be treated as described herein by exposure to a treatment agent prior to subsequent processing.
  • the thicknesses of the various layers in the stack 200 may vary, though in some embodiments, such as the one illustrated in FIG. 2 , the first metal nitride layer 204 may be from about 5 ⁇ to about 20 ⁇ thick, for example about 15 ⁇ thick, and the second metal nitride layer may be about 30 ⁇ to about 50 ⁇ thick.
  • the use of a protective treatment as presently disclosed can have particular utility where the thicknesses of the various layers in a stack, such as stack 200 , are reduced to achieve smaller electronic devices and circuitry.
  • the protective treatments disclosed herein could be applied to any one or more of the layers 202 , 204 , 206 , 208 , or 210 before, during, or after the deposition of each thin film. In some embodiments, it is preferable to treat one or both of layers 204 and 206 . In some embodiments, it may be preferable to treat one or more of layers 204 , 206 , and 208 .
  • the use of a protective treatment before or during the formation of the NMOS workfunction setting layer (the n-metal layer 206 as illustrated) has been mentioned; however a treatment agent could also or alternatively be applied before or during the deposition of the first etch stop layer (the first metal nitride layer 204 ).
  • the use of a protective treatment on the first metal nitride layer 204 may eliminate or reduce the need for such a treatment of any subsequent layers or at least the NMOS workfunction setting layer 206 .
  • the use of a protective treatment before, during, or after the formation of the n-metal layer 206 may eliminate or reduce the need for a similar treatment to subsequent layers, particularly if a treatment applied to the n-metal layer 206 preserves the work function of the overall stack 200 irrespective of moderate oxidation of the subsequent layers 208 or 210 .
  • a protective treatment may reduce oxidized portions of those layers, scavenge oxygen contaminates, and/or prevent subsequent oxidation when exposed to contaminates or the atmosphere.
  • the same methods for applying the protective treatment can be used.
  • the treatment agent is provided as a pulse as a part of a deposition cycle.
  • a deposited film, or portion of a deposited film is soaked in the treatment agent.
  • a protective treatment could be incorporated into an ALD process for forming any one of the layers 204 , 206 , 208 , or 210 .
  • the treatment agent could be provided in every ALD cycle or just in some cycles.
  • CVD chemical vapor deposition
  • the treatment could be incorporated during the deposition process as other precursors are being exposed to the substrate or could be provided after deposition of the film has been completed and all the precursors have reacted with the substrate and excess reactants have been purged from the reaction space.
  • CVD may be used to deposit film to a first thickness, CVD can be stopped and the reaction chamber purged, that thickness can be exposed to a treatment agent, and CVD can be continued to add additional thickness to the film. This can be repeated as many times as desired to obtain a film with the desired thickness.
  • a final treatment can be applied after the final deposition.
  • a first metal nitride layer 204 is deposited over the dielectric layer 202 , which may comprise a dielectric material such as hafnium oxide.
  • a protective treatment may be applied before, during, and/or after the deposition of the first metal nitride layer 204 .
  • FIG. 3A illustrates one possible process where a substrate having a dielectric material is provided at step 302 , and a metal nitride layer, such as a titanium nitride etch stop layer, is deposited over the dielectric layer at step 304 .
  • a protective treatment is then applied to the completed titanium nitride etch stop layer at step 306 .
  • the protective treatment applied at step 306 may be applied as a soak, and may reduce TiON and/or bind to free oxygen in the TiN layer, particularly near the surface.
  • the duration of step 306 may be, for example, from about 30 seconds to about 4 minutes. The duration may depend on the thickness of the titanium nitride layer and may be adjusted by the skilled artisan based on the particular circumstances. In some embodiments, the duration can be shortened if step 306 is performed at a higher pressure and/or a higher temperature.
  • FIG. 3B illustrates a process where a substrate having a dielectric material is provided at step 312 , and a protective treatment is applied to the dielectric layer at step 314 .
  • a titanium nitride is then deposited by a known method at step 316 .
  • free oxygen that may have been present in or on the dielectric layer may be bound up by the protective treatment so that it is not available to oxidize the titanium deposited in step 316 .
  • the protective treatment may not prevent subsequent oxidation of additional layers, but it may prevent oxygen in the dielectric from diffusing up into the additional layers.
  • FIG. 3C illustrates one process where a substrate having a dielectric material is provided at step 322 , and a titanium nitride film is deposited by an ALD method at step 324 in which a protective treatment is incorporated into one or more of the deposition cycles.
  • the protective treatment may be provided in only one deposition cycle or may comprise a step in a certain number of cycles, such as every other cycle or every third, fourth, fifth, sixth, seventh cycle, etc.
  • the deposition of the titanium nitride layer at step 324 may comprise an ALD process having the following steps:
  • Step 5 can be included in each ALD cycle or only some of the ALD cycles. Thus, steps 1-4 can be repeated several times before step 5 is introduced. Step 5 may also be used prior to any ALD cycle or only as the first step in the first ALD cycle.
  • the n-metal carbide layer 206 can be deposited over the first metal nitride layer 204 .
  • a protective treatment may be applied before, during, and/or after the deposition of the n-metal layer 206 .
  • FIG. 4A illustrates one possible process where a titanium nitride layer is provided at step 402 , and an n-type metal layer, such as a titanium carbide layer, is deposited over the titanium nitride layer at step 404 .
  • a protective treatment is then applied to the completed titanium carbide layer at step 406 .
  • application of a protective treatment during or before the deposition of the work function setting n-metal layer 206 may help minimize the presence of oxygen in the film while the n-metal layer 206 awaits the second metal nitride layer 208 in a clustered or declustered process.
  • the protective treatment applied at step 406 may comprise soaking the deposited titanium carbide layer in a treatment agent comprising silane or borane. The protective treatment may reduce or bind to oxygen contaminates in the TiC film.
  • FIG. 4B illustrates one process where a titanium nitride layer is provided at step 412 , and a protective treatment is applied to the titanium nitride layer at step 414 .
  • a titanium carbide is then deposited by a known method at step 416 .
  • free oxygen that may have been present in or on the titanium nitride layer may be bound up by the protective treatment agent so as to prevent or reduce oxidation of the titanium carbide deposited in step 416 .
  • NMOS workfunction layers such as pure metals like Al and Ti, or transition metal nitrides, carbides, borides, silicides, etc. may suffer from oxygen incorporation making them more p-type. Accordingly, a protective treatment could be applied to films comprising any of such materials.
  • FIG. 4C illustrates one process where a titanium nitride is provided at step 422 , and a titanium carbide is deposited by an ALD method at step 424 in which a protective treatment is incorporated into one or more of the deposition cycles.
  • the protective treatment may comprise a step in only one cycle or may comprise a step in a certain number of cycles, such as every other cycle or every third, fourth, fifth, sixth, seventh cycle, etc.
  • the deposition of the titanium carbide layer at step 424 may comprise an ALD process having the following steps:
  • Step 5 can be included in each ALD cycle or only in some of the ALD cycles. Thus in some embodiments steps 1-4 can be repeated several times before step 5 is introduced. Step 5 may also be used prior to any ALD cycle or only as the first step in the first ALD cycle.
  • Application of a protective treatment prior to any ALD cycle for depositing the n-metal layer 206 may be desirable where the first metal nitride layer 204 has already been oxidized, such as where the first metal nitride layer has served as an etch-stop layer in a prior process. In such cases, it may be desirable to apply the protective treatment as a soak of a treatment agent comprising silane or borane prior to depositing the n-metal layer 206 .
  • protective treatment during or after the deposition of the n-metal layer 206 is not utilized. However, in some embodiments where the first metal nitride layer 204 has been treated, it may still be desirable to apply a protective treatment during or after the deposition of the n-metal carbide layer 206 .
  • NMOS stacks containing n-metal thin films fabricated using the methods disclosed herein exhibit a leakage (J g ) (at ⁇ 1V stress) of less than about 10 ⁇ 2 A/cm 2 , less than about 10 ⁇ 3 A/cm 2 , or less than about 3*10 ⁇ 4 A/cm 2 .
  • n-metal thin films can be formed in which the equivalent oxide thickness, or EOT, of the thin films can be less than about 1.3 nm, less than about 1.2 nm, preferably less than about 1.1 nm, or less than about 1.05 nm.
  • EOT equivalent oxide thickness
  • n-metal films can be formed in which the effective workfunction, or eWF, can be from about 4.0 to about 4.4 eV, from about 4.05 to about 4.35 eV, or from about 4.1 to about 4.25 eV.
  • the use of a protective treatment such as a silane can reduce the resistivity of an n-metal thin film relative to a TiC film to which a protective treatment is not exposed.
  • the resistivity is reduced up to or as much as about 30%, up to or as much as about 40%, or up to or as much as about 50%.
  • resistivity reduction may be as much as about 5%, as much as about 10%, or as much as about 20%.
  • a metal layer 210 may be deposited by any known method.
  • a protective treatment may be applied before, during, and/or after deposition of the metal layer 210 .
  • a second metal nitride layer 208 is provided, and the metal layer 210 is deposited over the metal nitride layer 208 .
  • the second metal nitride layer 208 can be deposited over the n-metal layer 206 .
  • a protective treatment may be applied before, during, and/or after the deposition of the second metal nitride layer 208 , similar to the first metal nitride layer 212 .
  • free oxygen that may have been present in or on the second metal nitride layer 208 may be bound up by the protective treatment so as to not oxidize the subsequently deposited tungsten. Reducing the amount of free oxygen in the second metal nitride layer 208 may have the added benefit of diminishing the amount of oxygen that could diffuse down into the stack 200 during subsequent processes, such as downstream thermal processing, diffusion that could actually reach the workfunction layer (i.e., the n-metal layer 206 or another suitable layer such as TaC).
  • a protective treatment may be applied to the completed metal layer 210 .
  • the protective treatment may be applied as a soak to the deposited metal film.
  • a metal layer is deposited by an ALD method in which a protective treatment is incorporated into one or more of the deposition cycles.
  • the protective treatment may comprise a step in only one deposition cycle or may comprise a step in a certain number of cycles, such as every fifth, tenth, twentieth cycle, etc.
  • metal-containing films can be treated as well.
  • the exact composition of metal thin films produced and/or treated using the methods and materials disclosed herein may vary.
  • titanium carbide films fabricated according to the present disclosure may contain a number of differing elemental components including, but not limited to titanium, aluminum, carbon, silicon and/or boron depending in part on the type of protective treatment used.
  • the atomic percentage of silane or borane present in a film after treatment could be greater than about 10%, greater than about 25%, or greater than about 35%.
  • the silane or borane may be very concentrated at those surfaces that were treated, with the concentration dropping off rapidly below those surfaces.
  • the silane or borane concentration may be from about 5% to about 50%.
  • an ALD or quasi-ALD process is used in which a material, such as silicon or boron, is incorporated into a metal thin film and protects the film from oxidation.
  • the protective treatment is incorporated into one or more cycles of the deposition process.
  • the protective treatment is applied to a metal thin film after all the deposition cycles have been completed.
  • the protective treatment is applied prior to a deposition process in order to prepare an underlying surface or as the first step in a deposition process.
  • an ALD or quasi-ALD process is used to form a metal film.
  • one or more films in an NMOS stack can be formed.
  • An exemplary NMOS stack may comprise a dielectric layer, such as a hafnium oxide (HfO 2 ) layer, a thin layer of a first metal-containing film, such as a metal nitride, for example titanium nitride (TiN), over the dielectric, a second metal-containing film, such as a carbide, for example titanium carbide (TiC), over the first metal-containing film, a third metal-containing film, such as a metal nitride, for example TiN, over the second metal-containing film, and a layer of metal, such as tungsten, over the third metal-containing film.
  • one or more additional elements may be present in one or more of these layers.
  • one or more layers may further comprise silicon or boron, such as following treatment.
  • an NMOS stack comprises a dielectric layer, a first metal nitride layer over the dielectric layer, a metal carbide layer over the first metal nitride layer, a second metal nitride layer over the metal carbide layer, and a metal layer over the second metal nitride layer.
  • each of the overlying layers is deposited directly on and contacting the underlying layer.
  • an NMOS stack comprises a dielectric layer, such as HfO 2 , a first titanium nitride layer over the dielectric, a titanium carbide layer over the first titanium nitride layer, a second titanium nitride layer over the titanium carbide layer, and a tungsten layer over the second titanium nitride layer.
  • a protective treatment may be used in the deposition process of one or more of these respective thin films of the NMOS stack. In some embodiments, a protective treatment is used prior to the deposition of one or more thin films. In some embodiments, a protective treatment is used after the deposition of one or more thin films. Of course other metal films may be deposited by ALD or quasi-ALD processes comprising one or more treatment steps.
  • the protective treatment does not increase the thickness of the thin film. This is particularly beneficial as thinner and thinner films become more and more desirable and necessary, as one problem with thinner films is that oxygen can more easily diffuse through and oxidize them as compared to thicker films in which only the upper portions would be oxidized. It will be readily appreciated by those of skill in the art, that protective treatments can provide benefits to many different functional thin films.
  • the use of a protective treatment in the fabrication of multiple thin films, such as to form an NMOS stack can result in a lower resistivity of the films—as much as about 30% less—compared to films fabricated without the use of a protective treatment.
  • the use a protective treatment may eliminate or reduce the need to utilize an in situ or clustered fabrication process.
  • the use of a protective treatment may allow for fabrication processes performed at lower vacuum than ordinary processes.
  • high vacuum, clustered, and/or in situ processes are desirably combined with a protective treatment.
  • some of the variables that can be controlled to achieve a desirable result include, but are not limited to, pressure, temperature, duration, and quantity of the protective treatment used.
  • the pressure within a reaction chamber is between about 0.1 torr and about 10 torr. In some embodiments, the pressure is between about 0.5 torr and about 5 torr.
  • the temperature within a reaction chamber is between about 350° C. and about 450° C. In some embodiments, the temperature is between about 380° C. and about 420° C. and, preferably, between about 390° C. and about 420° C.
  • the duration of a treatment, cycle step, pulse, or soak using a protective treatment is between about 1 second and about 60 seconds. Longer periods, such as at least about 10 seconds, may be desired if treating a thicker film, such as where a completed film has already or previously been deposited prior to a treatment with a protective treatment. And shorter periods, such as about 2-3 seconds or less, may be desired when incorporating a treatment step into a deposition cycle, such as in the formation of a metal carbide or metal nitride layer. The duration of a treatment step may also depend on the reactor conditions.
  • a reaction chamber tends to not hold pressure over time
  • longer single pulses or soaks may be desirable.
  • an ALD type process is used to form metal thin films on a substrate.
  • metal carbide thin films such as titanium carbide can be deposited on an integrated circuit workpieces.
  • suitable metal carbide thin films include, but are not limited to, TaC, HfC, TaAlC, SiC, etc.
  • the ALD process may comprise at least one deposition cycle in which a treatment agent comprising silane and or borane is provided.
  • the surfaces on which the thin titanium carbide (TiC) films are deposited can take a variety of forms.
  • Examples include, but are not limited to silicon, silicon oxide (SiO 2 ), coated silicon, dielectric materials, low-k materials, metals—such as copper and aluminum—metal alloys, metal oxides and various nitrides, such as transition metal nitrides and silicon nitride or a combination of said materials.
  • a substrate or workpiece is placed in a reaction chamber and subjected to alternately repeated surface reactions.
  • thin films are formed by repetition of an ALD cycle.
  • Each ALD cycle is typically self-limiting.
  • at least two different source chemicals are alternatively employed.
  • One reactant will form no more than about one monolayer on the substrate surface and includes a metal species desired in the layer being deposited.
  • This reactant also referred to herein as “the metal reactant,” is preferably a titanium halide, and thus the deposited monolayer is terminated with halogen ligands.
  • a second reactant preferably contributes carbon.
  • the second reactant comprises a metal and carbon, such as trimethylaluminum (TMA) or triethylaluminum (TEA).
  • the second reactant is a metal-containing source chemical comprising at least one ligand, such as a metalorganic compound.
  • the second reactant can also leave some amount of metal in the film being deposited. For example, in the case of TMA or TEA, some amount of aluminum may be left in the film, depending on the particular reaction conditions.
  • a third reactant that is the protective treatment agent is provided every cycle, after a certain number of cycles, or after deposition of the metal carbide film is complete.
  • the third reactant may comprise a silicon compound, such as a silane, or a boron compound, such as a borane.
  • the protective treatment agent is preferably more reactive to oxygen than is titanium and thus is capable of reducing the amount of titanium oxide in the film. In some cases, little or no oxygen is actually removed from the thin film; however, the protective treatment acts to reduce titanium oxide by breaking the bonds between titanium and oxygen to return the titanium to its pure titanium carbide form.
  • the oxygen has not actually been removed from the film, it is bound up by the protective treatment so as to not impede the workfunction of the thin film. Accordingly, it could also be said that application of a protective treatment increases the amount of TiC compared to the amount of TiOC in the film.
  • the third reactant also provides a species desired in the thin film, such as silicon or boron.
  • the protective treatment agent may be selected from the group consisting of monosilane, disilane, trisilane, borane, diborane, triborane, or any other suitable material that readily reacts with oxygen to reduce titanium.
  • the protective treatment may be supplied in vapor or liquid form, and may be applied as a relatively short pulse every cycle or intermittently in the deposition process or as a relatively longer soak to a partially or completely formed titanium carbide layer.
  • the protective treatment may be provided before one or more ALD cycles, in each ALD cycle, at intervals during the deposition process, or after the deposition process has been completed.
  • the protective treatment is provided every one to four ALD cycles.
  • the film grown in the most recent ALD cycles is preferably thin enough that the protective treatment can penetrate the film.
  • the amount of silane/borane penetration in the films can be controlled by the quantity or concentration of the agent used or the duration of the exposure.
  • the protective treatment may be provided as a part of one or more cycles or may be applied after one or more cycles have been completed.
  • the deposition of a metal carbide film, such as TiC is considered to be a cycle in an ALD process independent of the application of a protective treatment.
  • the cycle is repeated as many times as desired, and the silane/borane treatment is applied after some or all of the cycles.
  • the protective treatment is applied during one or more cycles (as a part of an ALD cycle) as well as after one or more cycles (separate from an ALD cycle).
  • the reactant or source chemical comprising titanium is supplied to the reaction chamber and chemisorbs to the substrate surface.
  • the reactant supplied in this phase is selected such that, under the preferred conditions, the amount of reactant that can be bound to the surface is determined by the number of available binding sites and by the physical size of the chemisorbed species (including ligands).
  • the chemisorbed layer left by a pulse of the titanium reactant is self-terminated with a surface that is non-reactive with the remaining chemistry of that pulse. This phenomenon is referred to herein as “self-saturation.”
  • self-saturation One of skill in the art will recognize that the self-limiting nature of this phase makes the entire ALD cycle self-limiting. Excess reactant and reactant byproducts (if any) are removed from the reaction space, for example by purging with an inert gas and/or evacuation.
  • a pulse of a second source chemical is provided that reacts with the molecules left on the substrate surface by the preceding pulse.
  • the source chemical preferably comprises carbon that is to be incorporated in the thin film. The carbon is incorporated into the thin film by the interaction of the source chemical with the monolayer left by the metal reactant.
  • This phase is referred to herein as “the second phase” or the “carbon-contributing phase.”
  • the second source chemical is a carbon containing compound and its reaction with the chemisorbed metal species produces a metal carbide layer on the substrate.
  • the second source chemical also comprises a second metal, such as aluminum, and the second metal is incorporated into the growing film along with the carbon.
  • the species-contributing source chemical comprises metal and carbon and may be, for example, TTBA, TMA, or TEA. The second source chemical may or may not be self-limiting when deposited on the substrate.
  • a third phase of the ALD cycle comprises providing the protective treatment.
  • the protective treatment removes oxygen from the growing thin film and/or reacts with oxygen preferentially relative to the other metals in the growing film.
  • the protective treatment may also remove or isolate other contaminants.
  • the protective treatment may comprise a species that may be incorporated into the thin film, such as boron or silicon. This is referred to as the “third phase” or the “oxygen isolation phase.”
  • the initial ALD cycle may be started with any of the three phases described above. However, one of skill in the art will recognize that if the initial ALD cycle does not begin with the metal reactant phase, at least two ALD cycles will typically need to be completed to deposit about a monolayer of the desired metal carbide thin film.
  • the order of the phases may be changed. That is, in some embodiments the protective treatment may be the next reactant provided after the second reactant, while in other embodiments the protective treatment may be the next reactant provided after the first metal source reactant. And in some embodiments, the protective treatment may be supplied after only some cycles or after all cycles have been completed.
  • the third phase provision of the protective treatment
  • the first phase provision of the reactant comprising a metal species
  • the third phase may be supplied as a “soak,” liquid or vapor, after the thin film has been completely formed. That is, the deposited film is exposed to a silane or a borane in liquid or vapor form for a period of time.
  • a phase is generally considered to immediately follow another phase if only a purge or other reactant removal step intervenes.
  • the protective treatment is not provided in every ALD cycle. Rather, a partially or completely deposited titanium carbide film may be treated with a protective treatment agent. This may the case, for example, where a first film has been formed using TiCl 4 and TEA but the resulting TiAlC film has been oxidized by water, air, or some other contaminant source to form a layer that is essentially TiAlOC.
  • a protective treatment can be applied to the first film to reduce the TiAlOC layer back to essentially TiAlC with only the minor presence of impurities.
  • an ALD cycle comprises:
  • Step 5 can be included in each ALD cycle, or steps 1-4 can be repeated several times before step 5 is introduced. In some embodiments steps 1-4 are repeated up to 10 times before step 5 is included. In other embodiments steps 1-4 are repeated up to 100 or even 1000 or more times before step 5 is included. In some embodiments, a complete film of desired thickness is deposited prior to step 5.
  • a first reactant or source chemical pulse is supplied 502 to the substrate or workpiece.
  • the first reactant is a metal halide
  • the thin film being formed comprises a metal carbide.
  • the first reactant pulse comprises a carrier gas flow and a volatile titanium halide species that is reactive with the workpiece surfaces of interest. Accordingly, the halogen-containing titanium species adsorbs upon the workpiece surfaces.
  • the first reactant pulse self-saturates the workpiece surfaces such that any excess constituents of the first reactant pulse do not further react with the monolayer formed by this process. Self-saturation results due to halide tails terminating the monolayer, protecting the layer from further reaction.
  • the first reactant is then removed 504 from the reaction space.
  • Step 504 may entail merely stopping the flow of the first reactant or chemistry while continuing to flow a carrier gas for a sufficient time to diffuse or purge excess reactants and reactant by-products from the reaction space.
  • the removal 504 comprises continuing to flow purge gas for between about 0.1 seconds and 20 seconds after stopping the flow of the first reactant pulse.
  • Inter-pulse purging is described in co-pending U.S. Pat. No. 6,511,539, entitled “IMPROVED APPARATUS AND METHOD FOR GROWTH OF A THIN FILM,” the disclosure of which is incorporated herein by reference.
  • the chamber may be pumped down between alternating chemistries.
  • the adsorption 502 and reactant removal 504 represent a first phase 505 in an ALD cycle.
  • the first phase in the illustrated ALD cycle is thus the metal phase.
  • a second reactant or source chemical pulse is then supplied 506 to the workpiece.
  • the second chemical reacts with the monolayer left by the first reactant.
  • this second reactant pulse 506 comprises supplying a carrier gas with the second source gas to the workpiece.
  • the first reactant comprises a titanium halide
  • the second reactant such as TMA or TEA
  • the second reactant comprises carbon and a second, different metal.
  • the second reactant leaves no more than about a monolayer of TiCAl.
  • the second reactant preferably removes at least some halide ligands from the adsorbed first reactant.
  • the second reactant pulse 506 also leaves a surface termination that operates to limit the deposition in a saturative reaction phase.
  • any excess second reactant is removed 508 from the workpiece.
  • this step 508 may comprise stopping the flow of the second chemistry and continuing to flow carrier gas for a time period sufficient for excess reactants and volatile reaction by-products from the second reactant pulse to diffuse out of and be purged from the reaction space.
  • the second reactant pulse 506 and removal 508 represent a second phase 509 in the illustrated process, and can also be considered a carbon and metal species-contributing phase.
  • a third reactant or source chemical pulse may be supplied to the workpiece 510 .
  • the third reactant can be a protective treatment agent or oxygen barrier material capable of removing halides and/or reacting with oxygen in the growing film.
  • suitable agents include silanes and boranes, including monosilane, disilane, trisilane, borane, and diborane.
  • the oxygen barrier material or protective treatment may be provided with an inert carrier gas. Temperature and pressure conditions can be adjusted to control the level of diffusion of the protective treatment through the monolayer.
  • excess unreacted oxygen barrier material and any reaction by-products are removed 512 from the reaction space, for example by a purge gas pulse.
  • the removal can be as described for step 204 .
  • the protective treatment pulse 510 and removal 512 represent a third phase 513 of the illustrated ALD process, which can also be referred to as the oxygen isolation phase.
  • first phase 505 , second phase 509 , and third phase 513 can be considered as a single deposition cycle 515 .
  • the ordering of the third phase 513 actually precedes either or both the first phase 505 and the second phase 509 .
  • the third phase 513 is included in only some or only one deposition cycle 515 .
  • supplying a protective treatment immediately follows the step of removing excess first reactant and by-products. After a time period sufficient to react the monolayer with the protective treatment, excess unreacted protective treatment materials and reaction by-products are removed from the reaction space, possibly by a purge gas pulse. The removal step is followed by supply of the second reactant pulse.
  • the steps of supplying the protective treatment and removing any excess protective treatment materials and by-products precede the step of supplying the first reactant.
  • the protective treatment is not provided in every cycle or may be provided after all the cycles are complete.
  • the step of supplying a protective treatment takes the form of a soak occurring after some or all of the titanium carbide deposition cycles have been completed. In some cases, a soak of trisilane occurring after deposition of a TiC film is completed has been found to achieve suitable results.
  • a process for forming a titanium carbide film comprises:
  • the soak of Step 6 can be configured to achieve a particular level of interaction between any oxygen present in the film and the protective treatment.
  • the soak may last long enough to substantially diffuse throughout the film or the soak's duration may be kept shorter so as to reach only a partial depth in the film.
  • a soak may serve to “coat” a thin film with an oxygen barrier by providing silicon or boron in the film.
  • the protective treatment is applied as a soak is trisilane.
  • Carbon containing metal films or metal carbides have varying applications, such as gate electrodes, electrodes in capacitors and barrier layers in damascene and dual damascene structures.
  • a general pulsing sequence for carbon-containing metal or metal carbide thin film deposition is:
  • m 1 is the number of total cycles.
  • M 1 is a metal atom, preferably selected from the group consisting of Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W. And in some embodiments M 1 is selected from the group consisting of Fe, Mn, Ni, Co, Cu, Zn, Cd, Ge, Si, Sn, Sb, Ga, Ru, Ir, Pd, Pt, Au, Rh, Re, B, In and Al.
  • M 2 is a metal atom, preferably selected from the group consisting of B, Al, In, Sn, Bi, Sn, Zn, Pb, Sb and Ga.
  • R is a ligand for M 2 and can be any ligand, preferably a metalorganic ligand, more preferably an organometallic ligand, most preferably an alkane ligand, such as ethyl ligand.
  • X y is one or more ligands for M 1 .
  • Each X may be a halogen ligand selected from the group consisting of I, Br, Cl and F.
  • at least one X can be a metalorganic ligand, such as a cyclopentadienyl (for example, cyclopentadienyl, methylcyclopentadienyl, pentamethylcyclopentadienyl, ethylcyclopentadienyl, isopropylcyclopentadienyl, tertbutylcyclopentadienyl, and indenyl), alkoxide (for example, methoxide, ethoxide, isopropoxide, and tertbutoxide), alkyl (for example, methyl, ethyl, propyl, and butyl), carbonyl, cyclo-octadiene, benzene or hydrogen ligand.
  • X y may comprise mixtures thereof.
  • at least one of the X y ligands is preferably a halogen.
  • bis(cyclopentadienyl)hafnium dichloride or bis(cyclopentadienyl)tantalum(V)trichloride can be used as a metal precursor in some embodiments.
  • the protective treatment may comprise exposure to a treatment agent selected from the group consisting of monosilane, disilane, trisilane, borane, diborane, triborane, etc.
  • the protective treatment is a disilane or a trisilane that is applied during or after each layer is deposited, before any layers are deposited, after only some layers are deposited, or after all the layers have been deposited.
  • the protective treatment can be applied in a pulse or as a soak and as a liquid or as a vapor.
  • M 2 is a metal, preferably aluminum, and R is a carbon-containing ligand.
  • M 2 R 3 preferably has at least one metal-to-carbon bond.
  • M 2 R 3 may be considered a carbon source chemical.
  • M 2 R 3 is selected from the group consisting of TMA and TEA.
  • the growth rate is extremely high for an ALD process or a quasi-ALD process.
  • the growth rate for TaC formation can be over 2 ⁇ /cycle.
  • annealing can be performed after the metal carbide deposition for enhancing the properties of the film. Suitable atmospheres, such as N 2 or forming gas (N 2 /H 2 ), may be used during annealing.
  • Exemplary pulsing sequences for TiC film formation include:
  • m 2 is the number of total cycles and the protective treatment is selected from the group consisting of monosilane, disilane, trisilane, borane, diborane, triborane, etc.
  • Films deposited using the above exemplary pulsing sequence contained, based on an atomic basis, about 17-20% Ti, about 17-27% Al, about 16-42% Si, and about 21-39% C. In some films, Al may be as much as about 40% on an atomic basis. These values were determined using Rutherford backscattering spectrometry, or RBS.
  • a protective treatment is not utilized every cycle but only in some of the cycles.
  • a general pulsing sequence for carbon-containing metal thin film deposition can be:
  • n 3 is the number of carbide cycles in one total cycle
  • m 3 is the number of cycles in which a protective treatment is used in one total cycle
  • k 3 is the number of total cycles.
  • M 1 is preferably Ti but may be a metal atom selected from the group consisting of Zr, Hf, V, Nb, Ta, Cr, Mo, W, Si and Al. In other embodiments M 1 can be selected from the group consisting of Fe, Mn, Ni, Co, Cu, Zn, Cd, Ge, Si, Sn, Sb, Ga, Ru, Ir, Pd, Pt, Au, Rh, Re, B, In.
  • M 2 is preferably Al but may be a metal atom selected from the group consisting of B, Al, In, Sn, Bi, Zn, Pb, Sb and Ga.
  • R is a ligand for M 2 and can be any ligand.
  • X y is one or more ligands for M 1 .
  • Each X is preferably a halogen ligand selected from the group consisting of I, Br, Cl and F.
  • at least one X can be a metalorganic ligand, such as a cyclopentadienyl (for example, cyclopentadienyl, methylcyclopentadienyl, pentamethylcyclopentadienyl, ethylcyclopentadienyl, isopropylcyclopentadienyl, tertbutylcyclopentadienyl, and indenyl), alkoxide (for example, methoxide, ethoxide, isopropoxide, and tertbutoxide), alkyl (for example, methyl, ethyl, propyl, and butyl), carbonyl, cyclo-octadiene, benzene or hydrogen ligand.
  • X y may comprise mixtures thereof.
  • at least one of the X y ligands is preferably a halogen.
  • bis(cyclopentadienyl)hafnium dichloride or bis(cyclopentadienyl)tantalum(V)trichloride can be used as a metal precursor in some embodiments.
  • a protective treatment as disclosed herein has the potential of providing a thin film, such as a TiC, with resistance to oxidation during subsequent processing. Without being tied to any particular theory, it is believe that resistance to oxidation is increased in part because the protective treatment tends to decrease the amount of carbon in the thin film as it is partially replaced by silicon or boron or some other element comprising the protective treatment.
  • an ALD or quasi-ALD process is used to form titanium nitride thin films on substrates, such as integrated circuit workpieces.
  • the surfaces on which the thin titanium nitride (TiN) films are deposited can take a variety of forms. Examples include, but are not limited to, hafnium oxide, silicon, silicon oxide (SiO 2 ), coated silicon, dielectric materials, low-k materials, metals—such as copper and aluminum—metal alloys, metal oxides and various nitrides, such as transition metal nitrides and silicon nitride or a combination of said materials.
  • a substrate or workpiece is placed in a reaction chamber and subjected to alternately repeated surface reactions.
  • thin films are formed by repetition of an ALD cycle.
  • Each ALD cycle is typically self-limiting, though, as discussed above, the reaction conditions may be modified to achieve a quasi-ALD process, such as where a true ALD process would require an undesirable amount of time to perform.
  • at least two different source chemicals are alternatively employed.
  • One reactant may form no more than about one monolayer on the substrate surface and includes a metal species desired in the layer being deposited. This reactant, also referred to herein as “the metal reactant,” is preferably a titanium halide, and thus the deposited layer is terminated with halogen ligands.
  • a second reactant preferably contributes nitrogen.
  • the second reactant comprises NH 3 , hydrazine, or radicals/ions of N and H (for example in a PEALD process) or other known nitrogen compound for use in ALD.
  • a third reactant is provided every cycle, after a certain number of cycles, or after deposition of the TiN film is complete.
  • the third reactant may be a protective treatment agent, and may comprise a silicon compound or a boron compound, preferably one that can reduce at least a portion of any oxidized TiN.
  • the protective treatment may act to reduce titanium-oxygen bonds to restore the titanium-nitride bonds.
  • the third reactant comprises a silane or a borane, such as monosilane, disilane, trisilane, borane, diborane, triborane, etc.
  • the protective treatment is more reactive to oxygen than is titanium and thus is capable of reducing the amount of titanium oxide in the film. In some cases, little or no oxygen is actually removed from the thin film; however, the protective treatment acts to reduce titanium oxide by breaking the bonds between titanium and oxygen to return the titanium to its pure titanium carbide form. In such cases, although, the oxygen has not actually been removed from the film, it is bound up by the protective treatment so as to not impede the workfunction of the TiN film or a film deposited prior or subsequent to the TiN film. Accordingly, it could also be said that application of a protective treatment increases the amount of TiN compared to the amount of TiON in the film. Moreover, in some embodiments the third reactant also provides a species desired in the thin film, such as silicon or boron.
  • the protective treatment may be provided in each ALD cycle, at intervals during the deposition process, or after the deposition process has been completed. For example, in some embodiments the protective treatment is provided every one to four ALD cycles. In some embodiments, at the time the protective treatment is provided, the film grown in the most recent ALD cycle is preferably thin enough that the protective treatment can penetrate the film. In some embodiments, such as situations where more than one deposition cycle have been completed prior to exposure to the protective treatment, the amount of penetration or diffusion in the films can be controlled any number of factors, such as duration, temperature, pressure, selection of the protective treatment, quantity or concentration of the barrier material used, etc.
  • the protective treatment may be provided as a part of one or more cycles or may be applied after one or more cycles have been completed.
  • the deposition of a metal nitride film, such as TiN is considered to be a cycle in an ALD process independent of the application of a protective treatment.
  • the cycle is repeated as many times as desired, and the treatment using a protective treatment is applied after some or all of the cycles.
  • the protective treatment is applied during one or more cycles (as a part of an ALD cycle) as well as after one or more cycles (separate from an ALD cycle).
  • the reactant or source chemical comprising titanium is supplied to the reaction chamber and chemisorbs to the substrate surface.
  • the reactant supplied in this phase is selected such that, under the preferred conditions, the amount of reactant that can be bound to the surface is determined by the number of available binding sites and by the physical size of the chemisorbed species (including ligands).
  • the chemisorbed layer left by a pulse of the titanium reactant is ideally self-terminated with a surface that is non-reactive with the remaining chemistry of that pulse. This phenomenon is referred to herein as “self-saturation.”
  • self-saturation One of skill in the art will recognize that the self-limiting nature of this phase makes the entire ALD cycle self-limiting. Excess reactant and reactant byproducts (if any) are removed from the reaction space, for example by purging with an inert gas and/or evacuation.
  • the purge step may be insufficiently long to fully clear the reaction space of precursors before the next precursor is pulsed through the reaction space.
  • full evacuation or purging may require a period of time that is not economical or efficient.
  • some precursors may actually decompose or partially decompose within the reaction space.
  • a pulse of a second source chemical is provided that reacts with the molecules left on the substrate surface by the preceding pulse.
  • the source chemical preferably comprises nitrogen that is to be incorporated in the thin film. The nitrogen is incorporated into the thin film by the interaction of the source chemical with the monolayer left by the metal reactant.
  • This phase is referred to herein as “the second phase” or the “nitrogen-contributing phase.”
  • the second source chemical is a nitrogen-containing compound and its reaction with the chemisorbed metal species produces a metal nitride layer on the substrate.
  • a third phase of the ALD cycle comprises providing the protective treatment agent.
  • the protective treatment agent removes or isolates oxygen from the growing thin film and/or reacts with oxygen preferentially relative to the other metals in the growing film.
  • the protective treatment agent may comprise a species that may be incorporated into the thin film, such as boron or silicon. This is referred to as the “third phase” or the “oxygen isolation phase.”
  • the initial ALD cycle may be started with any of the three phases described above. However, one of skill in the art will recognize that if the initial ALD cycle does not begin with the metal reactant phase, at least two ALD cycles will typically need to be completed to deposit about a monolayer of the desired metal nitride thin film.
  • the order of the phases may be changed. That is, in some embodiments the protective treatment may be the next reactant provided after the second reactant, while in other embodiments the protective treatment may be the next reactant provided after the first metal source reactant. In some embodiments, the protective treatment is supplied before any other reactants and may be supplied only initially as compared to as a part of cycle or after all the cycles are completed. And in some embodiments, the protective treatment may be supplied after only some cycles or after all cycles have been completed. For example, in some embodiments the third phase (provision of the protective treatment) may immediately follow the first phase (provision of the reactant comprising a metal species), which in turn is followed by the nitrogen-contributing phase.
  • the third phase may be supplied as a “soak,” after the thin film has been completely formed. That is, the deposited film is exposed to a silane or a borane for a more extended period of time.
  • a phase is generally considered to immediately follow another phase if only a purge or other reactant removal step intervenes.
  • the protective treatment agent is not provided in every ALD cycle. Rather, a partially or completely deposited titanium nitride film may be treated with a protective treatment. This may be the case, for example, where a first TiN film has been formed by has been or is likely to be oxidized by water, air, or some other contaminant source to form a layer that is essentially TiON.
  • a protective treatment can be applied to the first film to reduce the TiON layer back to essentially TiN with only the minor presence of impurities, such as oxygen, or to prevent oxidation of the Ti in the layer.
  • an ALD cycle comprises:
  • Step 5 can be included in each ALD cycle, or steps 1-4 can be repeated several times before step 5 is introduced. In some embodiments steps 1-4 are repeated up to 10 times before step 5 is included. In other embodiments steps 1-4 are repeated up to 100 or even 1000 or more times before step 5 is included. In some embodiments, a complete film of desired thickness is deposited prior to step 5.
  • a first reactant or source chemical pulse is supplied 502 to the substrate or workpiece.
  • the first reactant is a metal halide
  • the thin film being formed comprises a metal nitride.
  • the first reactant pulse comprises a carrier gas flow and a volatile titanium halide species that is reactive with the workpiece surfaces of interest. Accordingly, the halogen-containing titanium species adsorbs upon the workpiece surfaces.
  • the first reactant pulse self-saturates the workpiece surfaces such that any excess constituents of the first reactant pulse do not further react with the monolayer formed by this process. Self-saturation results due to halide tails terminating the monolayer, protecting the layer from further reaction.
  • Step 504 may entail merely stopping the flow of the first reactant or chemistry while continuing to flow a carrier gas for a sufficient time to diffuse or purge excess reactants and reactant by-products from the reaction space.
  • the removal 504 comprises continuing to flow purge gas for between about 0.1 seconds and 20 seconds after stopping the flow of the first reactant pulse.
  • the adsorption 502 and reactant removal 504 represent a first phase 505 in an ALD cycle.
  • the first phase in the illustrated ALD cycle is thus the metal phase.
  • a second reactant or source chemical pulse is then supplied 506 to the workpiece.
  • the second chemical reacts with the monolayer left by the first reactant.
  • this second reactant pulse 506 comprises supplying a carrier gas with the second source gas to the workpiece.
  • the first reactant comprises a titanium halide
  • the second reactant may be a nitrogen compound such as NH 3 .
  • the second reactant preferably removes at least some halide ligands from the adsorbed first reactant leaving no more than about a monolayer of TiN.
  • the second reactant pulse 506 also leaves a surface termination that operates to limit the deposition in a saturative reaction phase.
  • any excess second reactant is removed 308 from the workpiece.
  • this step 508 may comprise stopping the flow of the second chemistry and continuing to flow carrier gas for a time period sufficient for excess reactants and volatile reaction by-products from the second reactant pulse to diffuse out of and be purged from the reaction space.
  • the second reactant pulse 506 and removal 508 represent a second phase 509 in the illustrated process, and can also be considered a nitrogen-contributing phase.
  • a residual amount of a metal such as aluminum is present in the chamber during the nitrogen-contributing phase.
  • the metal may have been used in a previous phase. Because it is present during the nitrogen-contributing phase, it is possible for it to be incorporated into the resulting metal nitride layer. For example, the deposition of a TiN layer may actually produce at least some TiAlN.
  • a third reactant pulse may be supplied to the workpiece 510 .
  • the third reactant can be a protective treatment agent capable of removing halides and/or reacting with oxygen in the growing film.
  • suitable protective treatment agents include silanes and boranes, for example in the form of monosilane, disilane, trisilane, borane, diborane, triborane, etc.
  • the protective treatment agent may be provided with an inert carrier gas. Temperature and pressure conditions can be adjusted to control the level of diffusion of the protective treatment agent through the monolayer.
  • excess unreacted protective treatment agent and any reaction by-products are removed 512 from the reaction space, for example by a purge gas pulse.
  • the removal can be as described for steps 504 or 508 .
  • the protective treatment pulse 510 and removal 512 represent a third phase 513 of the illustrated ALD process, which can also be referred to as the oxygen isolation phase.
  • first phase 305 , second phase 509 , and third phase 513 can be considered as a single deposition cycle 515 .
  • the ordering of the third phase 513 actually precedes either or both the first phase 505 and the second phase 509 .
  • the third phase 513 is included in only some or only one deposition cycle 515 .
  • supply of a protective treatment agent immediately follows the step of removing excess first reactant and by-products. After a time period sufficient to react the monolayer with the protective treatment agent, excess unreacted protective treatment materials and reaction by-products are removed from the reaction space, possibly by a purge gas pulse. The removal step is followed by supply of the second reactant pulse.
  • the steps of supplying the protective treatment and removing any excess protective treatment materials and by-products precede the step of supplying the first reactant.
  • the protective treatment is not provided in every cycle or may be provided after all the cycles are complete.
  • the step of supplying a protective treatment agent takes the form of a soak occurring after some or all of the titanium nitride deposition cycles have been completed. In some cases, a soak of trisilane occurring after deposition of a TiN film is completed has been found to achieve suitable results.
  • a process for forming a titanium nitride film comprises:
  • the soak of Step 6 can be configured to achieve a particular level of interaction between any oxygen present in the film and the protective treatment agent.
  • the soak may last long enough to substantially diffuse throughout the film or the soak's duration may be kept shorter so as to reach only a partial depth in the film.
  • a soak may serve to “coat” a thin film with an oxygen barrier by providing silicon or boron in the film.
  • the protective treatment applied as a soak is trisilane.
  • a thin film such as metal nitride
  • a protective treatment well after the film has been deposited but before proceeding with a subsequent deposition process whether or not the subsequent process itself includes an oxygen barrier treatment.
  • any element used in an embodiment can interchangeably be used in another embodiment unless such a replacement is not feasible.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Composite Materials (AREA)
  • Ceramic Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

The negative effect of oxygen on some metal films can be reduced or prevented by contacting the films with a treatment agent comprising silane or borane. In some embodiments, one or more films in an NMOS gate stack are contacted with a treatment agent comprising silane or borane during or after deposition.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present application relates generally to processes for providing a protective treatment to metal thin films. In some embodiments, thin films used in metal gate and metal electrode applications in metal oxide semiconductor field effect transistors (MOSFETs), such as n-channel MOSFETs (NMOS) are treated either during or after deposition in order to prevent or reduce the effects of oxidation.
  • 2. Description of the Related Art
  • Oxidation of a metal thin film can easily occur during many steps in processing, such as by exposure to atmospheric water or oxygen. In a multi-step fabrication process oxidation may occur between the deposition of each thin film, such as when transferring a wafer or substrate between deposition modules. Oxidation poses a problem in that it can affect the workfunction of a given thin film or an entire stack. And oxidation in one thin film may lead to oxidation of the interface between that film and a second film or even oxidation of the second film itself if the oxygen is able to diffuse through the first film to the second film.
  • For example, in a typical fabrication process of a MOSFET, oxidation of the etch-stop layer can easily occur after formation of a PMOS stack and before formation of an NMOS stack. Oxidation of the etch-stop layer can affect the workfunction of the subsequently formed NMOS stack, as it may lead to a shift in the workfunction, for example, from n-type to p-type. Other layers deposited during formation of a gate stack can also be exposed to oxygen, for example between deposition of each of the various thin films.
  • Referring to FIG. 1, a typical NMOS stack 100 is illustrated. The stack 100 includes a dielectric layer 102, a first metal nitride layer 104, a metal carbide layer 106—in which the interface 108 between the first metal nitride layer 104 and the metal carbide layer 106 includes oxidized portions represented by the presence of oxygen (“0”) atoms—a second metal nitride layer 110, and a metal layer 112. The presence of oxygen at the interface 108 between the first metal nitride layer 104 and the metal carbide layer 106 can undesirably shift the workfunction of the stack 100 from n-type to p-type.
  • Oxidation of the various layers can occur in a variety of ways during formation of the stack; however, it is common for the first metal nitride layer 104 to have already been oxidized prior to the deposition of the metal carbide layer 106. Even if the metal carbide layer 106 is able to be deposited without the presence of oxygen so as to achieve a relatively pure layer of a metal carbide, oxygen present in the first metal nitride layer 104 is capable of diffusing up into the metal carbide layer 106. Oxygen in the metal carbide layer 106 and particularly at the interface 108 can undesirably shift the work function of the overall stack 100.
  • SUMMARY OF THE INVENTION
  • According to some embodiments of the present disclosure, methods for forming a gate stack include providing a substrate having a dielectric material and a first metal-containing thin film over the dielectric material, contacting the first thin film with a silane or borane compound, and depositing a second metal-containing thin film over the first metal-containing thin film. In some embodiments, the first thin film comprises a metal selected from Ti, Ta, Hf, V, Nb, and Zr. In some embodiments, the first metal-containing thin film is an etch stop layer or barrier layer and the second metal-containing thin film is a workfunction setting layer. The first metal-containing thin film in some embodiments is a TiN, TiAlN, TaN or TiAlCN thin film.
  • According to some embodiments, the second metal containing thin film comprises an n-type metal. And in some embodiments, the n-type metal (or n-metal) film comprises a metal carbide, such as TaC, TiC, HfC, TaAlC, TiAlSiC, or SiAlSiC. In some embodiments, the n-type metal is TiAl, TiAlB, TaAlB, TiAlSiB, TaAl, or HfAlSiB. The second metal-containing thin film in some embodiments is a titanium carbide film. In some embodiments the metal in the first metal-containing thin film is different from the metal in the second metal-containing thin film.
  • According to some embodiments of a method for forming a gate stack, contacting the first metal-containing thin film with a silane or borane compound comprises exposing the first metal-containing thin film to the silane or borane compound for a duration of between about 1 second and about 2 minutes. Some methods further include contacting the second metal-containing thin film with a silane or borane compound. In some methods, depositing the second metal-containing thin film comprises an atomic layer deposition process comprising multiple deposition cycles.
  • According to some embodiments, the substrate is exposed to a silane or borane compound in each deposition cycle. Some methods further include depositing a third metal-containing thin film over the second metal-containing thin film. The third metal-containing thin film may comprise a different metal from the second-metal containing thing film. In some methods, the substrate is contacted with a silane or borane compound during or after depositing the second metal-containing thin film and prior to depositing the third metal-containing thin film. And in some methods, the substrate is contacted with a silane or borane compound during or after deposition of the third metal-containing thin film. Some methods further include depositing a metal over the third metal-containing thin film. The metal in some embodiments is tungsten.
  • According to some embodiments, the silane or borane is selected from the group consisting of monosilane, disilane, trisilane, borane, diborane, and triborane. And in some embodiments, the silane or borane is trisilane. According to some methods, the first and second metal-containing thin films are deposited in situ.
  • Some embodiments of methods for forming an NMOS stack according to the present disclosure include, sequentially, providing a substrate comprising a previously deposited dielectric material and an etch stop layer, contacting the etch stop layer with a silane or borane compound; and depositing a metal-containing layer over the first etch stop layer.
  • In some methods, the etch stop layer is a titanium nitride layer and the metal-containing layer comprises an n-type metal. The metal-containing layer in some methods comprises TiAl, TaC, HfC, TaAlC, TiAlSiC, TiAl B, TaAlB, TiAlSiB, TaAl, TiAlSiC TaAlSiB, or HfAlSiB. Some methods also include contacting the metal-containing layer with a silane or borane compound. The metal-containing layer in some methods is deposited by an atomic layer deposition process comprising multiple deposition cycles, and the substrate is contacted with a silane or borane compound during at least one of the deposition cycles. In some embodiments, the silane or borane is selected from the group consisting of monosilane, disilane, trisilane, borane, diborane, and triborane. And contacting the etch stop layer with a silane or borane compound in some methods does not increase the thickness first metal nitride layer.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The invention will be better understood from the Detailed Description of the Preferred Embodiments and from the appended drawings, which are meant to illustrate and not to limit the invention, and wherein:
  • FIG. 1 is a schematic cross-sectional side view of a gate stack containing an oxidized portion;
  • FIG. 2 is a schematic cross-sectional side view of an electrode structure, comprising an NMOS stack that includes a dielectric layer, a first metal nitride layer, a metal carbide layer, a second metal nitride layer, and a metal layer, according to some embodiments of the invention; and
  • FIGS. 3A-C are flow charts generally illustrating protective treatment of a dielectric layer or titanium nitride layer during a process of forming a thin film stack, in accordance with some embodiments.
  • FIGS. 4A-C are flow charts generally illustrating protective treatment of a titanium nitride or titanium carbide layer during a process of forming a thing film stack, in accordance with some embodiments.
  • FIG. 5 is a flow chart illustrating methods of forming a thin film by ALD, in which supply of a protective treatment follows removal of excess second reactant and by-products, in accordance with some embodiments.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • The present disclosure provides methods for reducing or preventing undesirable effects of oxidation in one or more thin films comprising metal. The thin films can be deposited using known vapor deposition processes, such as atomic layer deposition (ALD) or chemical vapor deposition (CVD) processes. In some embodiments the methods for reducing oxidation can comprise an oxygen barrier material or a preventative treatment applied to a deposited thin film. In some embodiments however, a protective treatment may be provided as a part of an ALD or CVD method used to form the thin film. A protective treatment may comprise exposing the film to be treated to a silane or a borane compound. The treatment may reduce or substantially prevent oxidation of the thin film and the possible buildup of oxygen at the interface of the thin film and an overlying layer.
  • Oxidation resistance is important in many contexts. For example, in a gate stack even a minor amount of oxygen in the stack could change the stack's electrical properties, namely eWF, making it unsuitable for its intended purpose. Moreover, processing, including deposition of films and film stacks, without exposure to air or ambient moisture can be costly, difficult, and/or too complex. The application of a preventative treatment during or after deposition of one film in a manufacturing process may not only reduce or prevent oxidation of that film but also of overlying films during subsequent processing steps. Thus, using a protective treatment can simplify processing while also controlling costs.
  • In addition, although described as preventative, the treatment may also reduce the deleterious effects of oxygen that is already present in a film, such as from previous processing steps or transport. The material used in the treatment may remove or isolate oxygen that may be present in a thin film or on the surface of a thin film. In some embodiments, treatment of one thin film may benefit subsequent layers or films in that the treatment may prevent oxygen from migrating up into those layers.
  • Without being held to any particular theory, the silicon or boron introduced into a metal film during treatment with silane or borane reduces bonds between oxygen and the metal by formation of silicon oxide or boron oxide. The oxygen may be present in the film at the time of treatment, or the film may subsequently be exposed to oxygen. For example, if an oxidized TiN film is treated with silane or borane, the TiON is reduced back to TiN. The presence of Si and/or B in a treated metal film can also act as a barrier to oxidation, such as during subsequent processing steps, by allowing the oxygen to preferentially bond with the Si and/or B, relative to the metal of the metal film.
  • In some embodiments, a silane or borane treatment can be used to reduce the resistivity of a thin metal film, such as a titanium carbide film.
  • In some embodiments, a metal thin film is deposited and subsequently receives a protective treatment, which may comprise exposure to a silane or a borane. For example a metal thin film may be formed by a known deposition process, such as by a CVD process and then exposed to a borane or silane, such as trisilane or disilane.
  • In some embodiments, the protective treatment may be provided during deposition of the metal film, rather than, or in addition to, the treatment being applied after deposition. That is, the treatment may be applied intermittently during deposition. For example, a substrate may be exposed to silane or borane intermittently in an atomic layer deposition process for forming a metal thin film, such as a metal nitride or metal carbide thin film. In some embodiments the substrate is exposed to the silane or borane in each deposition cycle, after a certain number of deposition cycles, or after all the deposition cycles have been completed.
  • In some embodiments the thin film that is treated comprises one or more metals selected from the group consisting of Ti, Ta, W, In some embodiments the thin film that is treated comprises Ta, Ti, or W, such as a TaN film, a TiC film, or a W film. In some embodiments the thin film that is treated comprises TiAl, TaC, HfC, TaAlC, TaAlB, TaAl, SiC, HfAlSiB, etc. In some embodiments the thin film comprises an n-type metal, such as titanium. In some embodiments the thin film is deposited during integrated circuit processing, such as during formation of a gate stack, as described in more detail below. Although generally described herein with reference to films deposited during fabrication of NMOS transistors, the skilled artisan will recognize that the methods described herein can be used in other contexts where metal-containing films are or could be exposed to oxygen.
  • In some preferred embodiments, the treatment agent comprises one or more silane or borane, or a mixture of silanes and boranes. The silane or borane may be selected from the following: borane, diborane, triborane, silane, monosilane, disilane, trisilane, or a mixture of two or more of these. The treatment agent may be provided as a brief pulse, such as during one or more ALD cycles, or may be provided for longer periods of time, such as when a previously deposited film is being treated. The length of time can be controlled to achieve the desired amount of silicon or boron in the thin film.
  • In some embodiments, the pressure within a reaction chamber during a protective treatment is between about 0.1 torr and about 50 torr, though the pressure can be between about 0.5 torr and about 5 torr.
  • In some embodiments, the temperature within a reaction chamber is between about 350° C. and about 450° C., though it can be between about 380° C. and about 420° C. or, preferably, between about 390° C. and about 420° C.
  • In some embodiments, the duration of exposure to the treatment agent may be from about 1 second and to about 60 seconds or more. Longer periods, such as at least about 10 seconds, may be desired if treating a thicker film, such as where a completed film has already or previously been deposited prior to a protective treatment. And shorter periods, such as about 1, 2 or 3 seconds, may be desired when incorporating a treatment step into a deposition cycle. In some embodiments, treatment may comprise exposure to the treatment agent for less than 1 second.
  • Gate Stack Applications
  • The processes disclosed herein may be applied in a variety of contexts where protecting a layer from oxidation or reducing the effect of oxygen on the properties of an oxidized layer may be beneficial. Although primarily illustrated in the context of the fabrication of NMOS transistors, which may include planar “replacement gate” devices as well as multiple gate transistors, such as FinFETs, the skilled artisan will be aware of other relevant contexts in which the disclosed methods could be utilized, such as metal electrodes for memory structures where an n-type metal is needed.
  • In the context of the present disclosure, a protective treatment refers to exposing a thin film comprising metal on a substrate to a treatment agent comprising silane or borane. The treatment agent may react with oxygen that may be present on or in the thin film or with oxygen upon subsequent exposure of the thin film to oxygen or oxidizing agents. In some cases, at least some oxygen is bound to metal atoms in the thin film, and with exposure to the treatment agent comprising silane or borane the metal oxygen bonds are reduced by preferential formation of silicon oxide or boron oxide. The silane or borane agent may also bind oxygen that is not bound to metal, such as oxygen that may be present in the form of contaminants such as water, hydroxyl groups, etc.
  • As mentioned above, the treatment agent comprises one or more silanes and/or boranes, such as monosilane, disilane, trisilane, borane, diborane, and triborane.
  • The treatment agent may be applied in vapor or liquid form. However, the treatment is typically carried out by providing a vapor phase pulse of the treatment agent The length of time that the treatment agent is applied may vary, for example depending on the thickness of the film being treated and the amount of oxidation or the anticipated exposure to oxidizing agents. In some embodiments the treatment agent is contacted with the film for a period of about 1 second to about 10 minutes, from about 2 second to about 5 minutes, from about 10 seconds to about 2 minutes or from about 20 seconds to about 60 seconds. However, shorter or longer exposures can be utilized. For example, in some embodiments the treatment agent may be applied as a relatively short pulse, such as less than about 1 second. In some embodiments a partially or completely deposited film is soaked in the treatment agent, such as for 1 second or more, 10 seconds or more, 20 seconds or more, 30 seconds or more, or 60 seconds or more. In some embodiments the soak may be for at least one minute, two minutes, five minutes, ten minutes or more. Specific treatment times can be determined by the skilled artisan depending on the particular circumstances such as the type of film, thickness of the film, amount of existing oxidation of the film and the type of exposure to oxidizing agents that is anticipated.
  • In some embodiments the protective treatment does not add to the thickness of a thin film, such that a thin film (or plurality of thin films) that has received a protective treatment is not appreciably thicker than a thin film that has not received such a treatment.
  • In some embodiments, a thin film comprising a metal is deposited according to a known process, such an ALD or a CVD process. A protective treatment can then be applied to the thin film after the thin film has been fully deposited. However, in some embodiments, the protective treatment forms a part of the deposition process. For example, where an ALD process is used, the protective treatment may comprise one step of at least one ALD cycle. In some cases, the protective treatment is provided in a certain number of ALD cycles or all of the ALD cycles. For example, the protective treatment may be provided as a separate pulse in every ALD cycle, or provided every 2, 3, 4, 5, 10, 20 or more ALD cycles. For CVD deposition, the CVD deposition process may be interrupted one or more times during deposition to provide the treatment agent. In some embodiments, the protective treatment is applied as the last pulse or exposure in the deposition process.
  • In some embodiments, the protective treatment is applied to the substrate prior to the deposition of a thin film that is to be protected from oxidation. For example, treatment of an oxidized film on a substrate surface may prevent migration of oxygen from that film to a film that is subsequently deposited over the treated film and that will benefit from being protected from oxidation.
  • As discussed above, in addition to reducing metal oxide and thus addressing previous exposure to an oxidizing agent, the use of a protective treatment may also protect a metal thin film from being oxidized by subsequent exposure to oxygen. The use of a protective treatment may also at least partially protect one or more films that are deposited over a treated thin film.
  • Referring to FIG. 2, an exemplary embodiment of an NMOS stack 200 is illustrated. The stack 200 includes a dielectric layer 202, such as hafnium oxide, a first etch stop layer or bottom barrier layer 204, such as a titanium nitride (TiN) layer, a first n-type metal layer, here illustrated as a metal carbide layer 206, such as tantalum carbide (TaC), a second metal nitride layer 208, and a metal layer 210, such as a tungsten (W) layer. The presence of silicon 212 derived from a protective treatment is illustrated as being contained within the first metal nitride layer 204. While the silicon 212 may form a part of or be contained in any one of or more than one of the illustrated layers, FIG. 2 illustrates that the silicon 212 is located more or less at the interface of the first metal nitride layer 204 and the n-type metal layer 206. Without being tied to any particular theory, it is believed that this interface or near-interface region may determine or influence the workfunction of the overall stack 200. Thus, limiting the migration of materials such as oxygen or aluminum—which can occur more easily as the layers become thinner—may help protect the workfunction or another characteristic of the stack 200. Accordingly, in some embodiments, such as the one illustrated here, it may be particularly beneficial to provide a protective treatment as a part of the deposition of the etch stop layer 204 or prior to the deposition of the n-metal layer 206, where the protective treatment may provide silicon 212 (or boron), at least at or near the interface between the etch stop and n-metal films (the first metal nitride layer 204 and the metal carbide layer 206 as illustrated). In some embodiments, a protective treatment is applied to the first etch stop layer 204 once the substrate has been placed in a chamber for depositing the n-metal layer but before deposition of the n-metal layer has begun. Of course, treatment may be utilized to provide Si or B at the interface between any two layers.
  • In some embodiments, an etch-stop layer (or bottom barrier layer) that receives a protective treatment could comprise TiN, TaN, or other materials known in the art. And materials other than TaC could be used as then n-type metal layer, including other oxygen sensitive n-type metal films, such as TiAl, TiC, HfC, TaAlC, TaAlB, TaAl, SiC, HfAlSiB. Other types of films that would benefit from the treatment will be apparent to the skilled artisan.
  • The use of a protective treatment can bind up at least some of the oxygen that may be present initially, or upon subsequent exposure, such as during transport from one chamber to another. The use of a protective treatment may also reduce at least some of the previously oxidized portions of a thin film, such as the first metal nitride layer 204. For example, substrates may be received that already contain an etch stop layer (or bottom barrier layer), such as a TiN layer, and that layer can be treated as described herein by exposure to a treatment agent prior to subsequent processing.
  • The thicknesses of the various layers in the stack 200 may vary, though in some embodiments, such as the one illustrated in FIG. 2, the first metal nitride layer 204 may be from about 5 Å to about 20 Å thick, for example about 15 Å thick, and the second metal nitride layer may be about 30 Å to about 50 Å thick. The use of a protective treatment as presently disclosed can have particular utility where the thicknesses of the various layers in a stack, such as stack 200, are reduced to achieve smaller electronic devices and circuitry.
  • The protective treatments disclosed herein could be applied to any one or more of the layers 202, 204, 206, 208, or 210 before, during, or after the deposition of each thin film. In some embodiments, it is preferable to treat one or both of layers 204 and 206. In some embodiments, it may be preferable to treat one or more of layers 204, 206, and 208. The use of a protective treatment before or during the formation of the NMOS workfunction setting layer (the n-metal layer 206 as illustrated) has been mentioned; however a treatment agent could also or alternatively be applied before or during the deposition of the first etch stop layer (the first metal nitride layer 204). In some embodiments, the use of a protective treatment on the first metal nitride layer 204 may eliminate or reduce the need for such a treatment of any subsequent layers or at least the NMOS workfunction setting layer 206. Similarly, the use of a protective treatment before, during, or after the formation of the n-metal layer 206 may eliminate or reduce the need for a similar treatment to subsequent layers, particularly if a treatment applied to the n-metal layer 206 preserves the work function of the overall stack 200 irrespective of moderate oxidation of the subsequent layers 208 or 210.
  • However, in some embodiments, it may be beneficial to treat the second metal nitride layer 208 and/or the metal layer 210. As with the lower layers, a protective treatment may reduce oxidized portions of those layers, scavenge oxygen contaminates, and/or prevent subsequent oxidation when exposed to contaminates or the atmosphere.
  • Irrespective of the layer being discussed, the same methods for applying the protective treatment can be used. In some embodiments the treatment agent is provided as a pulse as a part of a deposition cycle. In some embodiments a deposited film, or portion of a deposited film is soaked in the treatment agent. For example, a protective treatment could be incorporated into an ALD process for forming any one of the layers 204, 206, 208, or 210. And the treatment agent could be provided in every ALD cycle or just in some cycles. With a CVD process, the treatment could be incorporated during the deposition process as other precursors are being exposed to the substrate or could be provided after deposition of the film has been completed and all the precursors have reacted with the substrate and excess reactants have been purged from the reaction space. In some embodiments CVD may be used to deposit film to a first thickness, CVD can be stopped and the reaction chamber purged, that thickness can be exposed to a treatment agent, and CVD can be continued to add additional thickness to the film. This can be repeated as many times as desired to obtain a film with the desired thickness. Again, a final treatment can be applied after the final deposition.
  • With reference again to FIG. 2, in some embodiments a first metal nitride layer 204 is deposited over the dielectric layer 202, which may comprise a dielectric material such as hafnium oxide. A protective treatment may be applied before, during, and/or after the deposition of the first metal nitride layer 204. In some embodiments, it is desirable to apply a protective treatment to a completed first etch stop layer, such as a TiN layer, prior to the deposition of the NMOS workfunction setting layer, such as then-metal layer 206, even if a protective treatment was used in the deposition of the first etch stop layer. For example, if some time has passed from the time the first metal nitride layer 204 was deposited and the time when the n-metal layer 206 is deposited. Such a delay may increase the chances that the first metal nitride layer will be exposed to water, air, etc.
  • FIG. 3A illustrates one possible process where a substrate having a dielectric material is provided at step 302, and a metal nitride layer, such as a titanium nitride etch stop layer, is deposited over the dielectric layer at step 304. A protective treatment is then applied to the completed titanium nitride etch stop layer at step 306. The protective treatment applied at step 306 may be applied as a soak, and may reduce TiON and/or bind to free oxygen in the TiN layer, particularly near the surface. The duration of step 306 may be, for example, from about 30 seconds to about 4 minutes. The duration may depend on the thickness of the titanium nitride layer and may be adjusted by the skilled artisan based on the particular circumstances. In some embodiments, the duration can be shortened if step 306 is performed at a higher pressure and/or a higher temperature.
  • FIG. 3B illustrates a process where a substrate having a dielectric material is provided at step 312, and a protective treatment is applied to the dielectric layer at step 314. A titanium nitride is then deposited by a known method at step 316. In this process, free oxygen that may have been present in or on the dielectric layer may be bound up by the protective treatment so that it is not available to oxidize the titanium deposited in step 316. In some embodiments where the dielectric material receives a protective treatment, the protective treatment may not prevent subsequent oxidation of additional layers, but it may prevent oxygen in the dielectric from diffusing up into the additional layers.
  • FIG. 3C illustrates one process where a substrate having a dielectric material is provided at step 322, and a titanium nitride film is deposited by an ALD method at step 324 in which a protective treatment is incorporated into one or more of the deposition cycles. The protective treatment may be provided in only one deposition cycle or may comprise a step in a certain number of cycles, such as every other cycle or every third, fourth, fifth, sixth, seventh cycle, etc.
  • In some embodiments, the deposition of the titanium nitride layer at step 324 may comprise an ALD process having the following steps:
      • 1. providing a titanium precursor, such as a titanium halide, to the reaction space;
      • 2. substantially purging and/or evacuating excess titanium precursor and reaction byproducts;
      • 3. providing a nitrogen-contributing reactant to the reaction space, such as NH3, hydrazine, or radicals/ions of N and H (such as in a PEALD process);
      • 4. substantially purging and/or evacuating excess nitrogen-contributing reactant and reaction byproducts; and
      • 5. providing a protective treatment agent comprising a silane or borane, to the reaction space.
  • Step 5 can be included in each ALD cycle or only some of the ALD cycles. Thus, steps 1-4 can be repeated several times before step 5 is introduced. Step 5 may also be used prior to any ALD cycle or only as the first step in the first ALD cycle.
  • Again referring to FIG. 2, the n-metal carbide layer 206 can be deposited over the first metal nitride layer 204. A protective treatment may be applied before, during, and/or after the deposition of the n-metal layer 206. FIG. 4A illustrates one possible process where a titanium nitride layer is provided at step 402, and an n-type metal layer, such as a titanium carbide layer, is deposited over the titanium nitride layer at step 404. A protective treatment is then applied to the completed titanium carbide layer at step 406. In some embodiments, application of a protective treatment during or before the deposition of the work function setting n-metal layer 206 may help minimize the presence of oxygen in the film while the n-metal layer 206 awaits the second metal nitride layer 208 in a clustered or declustered process. The protective treatment applied at step 406 may comprise soaking the deposited titanium carbide layer in a treatment agent comprising silane or borane. The protective treatment may reduce or bind to oxygen contaminates in the TiC film.
  • FIG. 4B illustrates one process where a titanium nitride layer is provided at step 412, and a protective treatment is applied to the titanium nitride layer at step 414. A titanium carbide is then deposited by a known method at step 416. In this process, free oxygen that may have been present in or on the titanium nitride layer may be bound up by the protective treatment agent so as to prevent or reduce oxidation of the titanium carbide deposited in step 416.
  • Other materials may also benefit from the application of a protective treatment according to the present disclosure. All NMOS workfunction layers, such as pure metals like Al and Ti, or transition metal nitrides, carbides, borides, silicides, etc. may suffer from oxygen incorporation making them more p-type. Accordingly, a protective treatment could be applied to films comprising any of such materials.
  • FIG. 4C illustrates one process where a titanium nitride is provided at step 422, and a titanium carbide is deposited by an ALD method at step 424 in which a protective treatment is incorporated into one or more of the deposition cycles. For example, the protective treatment may comprise a step in only one cycle or may comprise a step in a certain number of cycles, such as every other cycle or every third, fourth, fifth, sixth, seventh cycle, etc.
  • In some embodiments, the deposition of the titanium carbide layer at step 424 may comprise an ALD process having the following steps:
      • 1. providing a titanium precursor, such as a titanium halide (or other transition metal halides), to the reaction space;
      • 2. substantially purging and/or evacuating excess titanium precursor and reaction byproducts;
      • 3. providing a carbon-contributing reactant to the reaction space, such as compounds containing metal-carbon bonds including metalalkyl compounds (e.g., TTBA and TMA);
      • 4. substantially purging and/or evacuating excess carbon-contributing reactant and reaction byproducts; and
      • 5. providing a protective treatment agent comprising a silane or borane, to the reaction space.
  • Step 5 can be included in each ALD cycle or only in some of the ALD cycles. Thus in some embodiments steps 1-4 can be repeated several times before step 5 is introduced. Step 5 may also be used prior to any ALD cycle or only as the first step in the first ALD cycle. Application of a protective treatment prior to any ALD cycle for depositing the n-metal layer 206 may be desirable where the first metal nitride layer 204 has already been oxidized, such as where the first metal nitride layer has served as an etch-stop layer in a prior process. In such cases, it may be desirable to apply the protective treatment as a soak of a treatment agent comprising silane or borane prior to depositing the n-metal layer 206. In some embodiments where the TiN layer 204 is treated, protective treatment during or after the deposition of the n-metal layer 206 is not utilized. However, in some embodiments where the first metal nitride layer 204 has been treated, it may still be desirable to apply a protective treatment during or after the deposition of the n-metal carbide layer 206.
  • In some embodiments, NMOS stacks containing n-metal thin films fabricated using the methods disclosed herein exhibit a leakage (Jg) (at −1V stress) of less than about 10−2 A/cm2, less than about 10−3 A/cm2, or less than about 3*10−4 A/cm2.
  • In some embodiments of the present disclosure, n-metal thin films can be formed in which the equivalent oxide thickness, or EOT, of the thin films can be less than about 1.3 nm, less than about 1.2 nm, preferably less than about 1.1 nm, or less than about 1.05 nm.
  • In some embodiments of the present disclosure, n-metal films can be formed in which the effective workfunction, or eWF, can be from about 4.0 to about 4.4 eV, from about 4.05 to about 4.35 eV, or from about 4.1 to about 4.25 eV.
  • In some embodiments, the use of a protective treatment such as a silane (e.g., disilane or trisilane) can reduce the resistivity of an n-metal thin film relative to a TiC film to which a protective treatment is not exposed. In some embodiments, the resistivity is reduced up to or as much as about 30%, up to or as much as about 40%, or up to or as much as about 50%. In some embodiments, such as where a protective treatment is applied as soak after deposition, resistivity reduction may be as much as about 5%, as much as about 10%, or as much as about 20%.
  • Again referring to FIG. 2, a metal layer 210 may be deposited by any known method. A protective treatment may be applied before, during, and/or after deposition of the metal layer 210. In some embodiments, a second metal nitride layer 208 is provided, and the metal layer 210 is deposited over the metal nitride layer 208. The second metal nitride layer 208 can be deposited over the n-metal layer 206. A protective treatment may be applied before, during, and/or after the deposition of the second metal nitride layer 208, similar to the first metal nitride layer 212. In this process, free oxygen that may have been present in or on the second metal nitride layer 208 may be bound up by the protective treatment so as to not oxidize the subsequently deposited tungsten. Reducing the amount of free oxygen in the second metal nitride layer 208 may have the added benefit of diminishing the amount of oxygen that could diffuse down into the stack 200 during subsequent processes, such as downstream thermal processing, diffusion that could actually reach the workfunction layer (i.e., the n-metal layer 206 or another suitable layer such as TaC).
  • A protective treatment may be applied to the completed metal layer 210. The protective treatment may be applied as a soak to the deposited metal film. In some embodiments, a metal layer is deposited by an ALD method in which a protective treatment is incorporated into one or more of the deposition cycles. For example, the protective treatment may comprise a step in only one deposition cycle or may comprise a step in a certain number of cycles, such as every fifth, tenth, twentieth cycle, etc.
  • Again, while illustrated in the context of treating thin films in an NMOS stack, other metal-containing films can be treated as well. The exact composition of metal thin films produced and/or treated using the methods and materials disclosed herein may vary. For example, titanium carbide films fabricated according to the present disclosure may contain a number of differing elemental components including, but not limited to titanium, aluminum, carbon, silicon and/or boron depending in part on the type of protective treatment used.
  • In some embodiments, the atomic percentage of silane or borane present in a film after treatment could be greater than about 10%, greater than about 25%, or greater than about 35%. In embodiments where the protective treatment is applied as soak, the silane or borane may be very concentrated at those surfaces that were treated, with the concentration dropping off rapidly below those surfaces. In embodiments where the protective treatment is applied as a part of a deposition process, such as in an ALD process, the silane or borane concentration may be from about 5% to about 50%.
  • Deposition Methods
  • As discussed above, in addition to the treatment of deposited films, methods presented herein allow treatment during deposition of conformal metal thin films on substrate surfaces.
  • According to some embodiments, an ALD or quasi-ALD process is used in which a material, such as silicon or boron, is incorporated into a metal thin film and protects the film from oxidation. In some embodiments, the protective treatment is incorporated into one or more cycles of the deposition process. In some embodiments, the protective treatment is applied to a metal thin film after all the deposition cycles have been completed. In some embodiments, the protective treatment is applied prior to a deposition process in order to prepare an underlying surface or as the first step in a deposition process.
  • According to some embodiments, an ALD or quasi-ALD process is used to form a metal film. For example, one or more films in an NMOS stack can be formed. An exemplary NMOS stack may comprise a dielectric layer, such as a hafnium oxide (HfO2) layer, a thin layer of a first metal-containing film, such as a metal nitride, for example titanium nitride (TiN), over the dielectric, a second metal-containing film, such as a carbide, for example titanium carbide (TiC), over the first metal-containing film, a third metal-containing film, such as a metal nitride, for example TiN, over the second metal-containing film, and a layer of metal, such as tungsten, over the third metal-containing film. In some embodiments, one or more additional elements may be present in one or more of these layers. For example, one or more layers may further comprise silicon or boron, such as following treatment.
  • In some embodiments an NMOS stack comprises a dielectric layer, a first metal nitride layer over the dielectric layer, a metal carbide layer over the first metal nitride layer, a second metal nitride layer over the metal carbide layer, and a metal layer over the second metal nitride layer. In some embodiments each of the overlying layers is deposited directly on and contacting the underlying layer.
  • In some embodiments an NMOS stack comprises a dielectric layer, such as HfO2, a first titanium nitride layer over the dielectric, a titanium carbide layer over the first titanium nitride layer, a second titanium nitride layer over the titanium carbide layer, and a tungsten layer over the second titanium nitride layer.
  • A protective treatment may be used in the deposition process of one or more of these respective thin films of the NMOS stack. In some embodiments, a protective treatment is used prior to the deposition of one or more thin films. In some embodiments, a protective treatment is used after the deposition of one or more thin films. Of course other metal films may be deposited by ALD or quasi-ALD processes comprising one or more treatment steps.
  • In some embodiments, the protective treatment does not increase the thickness of the thin film. This is particularly beneficial as thinner and thinner films become more and more desirable and necessary, as one problem with thinner films is that oxygen can more easily diffuse through and oxidize them as compared to thicker films in which only the upper portions would be oxidized. It will be readily appreciated by those of skill in the art, that protective treatments can provide benefits to many different functional thin films.
  • According to some embodiments of the present disclosure, the use of a protective treatment in the fabrication of multiple thin films, such as to form an NMOS stack, can result in a lower resistivity of the films—as much as about 30% less—compared to films fabricated without the use of a protective treatment. In some embodiments, the presence of silicon or boron—a component of the protective treatment—may serve to reduce the overall resistivity of the film or films.
  • In some embodiments, the use a protective treatment may eliminate or reduce the need to utilize an in situ or clustered fabrication process. In some embodiments, the use of a protective treatment may allow for fabrication processes performed at lower vacuum than ordinary processes. However, in some embodiments, high vacuum, clustered, and/or in situ processes are desirably combined with a protective treatment.
  • In some embodiments, some of the variables that can be controlled to achieve a desirable result include, but are not limited to, pressure, temperature, duration, and quantity of the protective treatment used. In some embodiments, the pressure within a reaction chamber is between about 0.1 torr and about 10 torr. In some embodiments, the pressure is between about 0.5 torr and about 5 torr. In some embodiments, the temperature within a reaction chamber is between about 350° C. and about 450° C. In some embodiments, the temperature is between about 380° C. and about 420° C. and, preferably, between about 390° C. and about 420° C.
  • According to some embodiments, the duration of a treatment, cycle step, pulse, or soak using a protective treatment is between about 1 second and about 60 seconds. Longer periods, such as at least about 10 seconds, may be desired if treating a thicker film, such as where a completed film has already or previously been deposited prior to a treatment with a protective treatment. And shorter periods, such as about 2-3 seconds or less, may be desired when incorporating a treatment step into a deposition cycle, such as in the formation of a metal carbide or metal nitride layer. The duration of a treatment step may also depend on the reactor conditions. For example, where a reaction chamber tends to not hold pressure over time, it may be desirable to perform the treatment as a plurality of short pulses in order to maintain a relatively constant concentration within the reaction. However, in a reactor that can maintain pressure longer, longer single pulses or soaks may be desirable.
  • Metal Carbide Films
  • According to some embodiments, an ALD type process is used to form metal thin films on a substrate. For example, metal carbide thin films, such as titanium carbide can be deposited on an integrated circuit workpieces. Other suitable metal carbide thin films include, but are not limited to, TaC, HfC, TaAlC, SiC, etc. The ALD process may comprise at least one deposition cycle in which a treatment agent comprising silane and or borane is provided. The surfaces on which the thin titanium carbide (TiC) films are deposited can take a variety of forms. Examples include, but are not limited to silicon, silicon oxide (SiO2), coated silicon, dielectric materials, low-k materials, metals—such as copper and aluminum—metal alloys, metal oxides and various nitrides, such as transition metal nitrides and silicon nitride or a combination of said materials.
  • In a some embodiments, a substrate or workpiece is placed in a reaction chamber and subjected to alternately repeated surface reactions. In particular, thin films are formed by repetition of an ALD cycle. Each ALD cycle is typically self-limiting. In the case of compound metallic thin film deposition, at least two different source chemicals are alternatively employed. One reactant will form no more than about one monolayer on the substrate surface and includes a metal species desired in the layer being deposited. This reactant, also referred to herein as “the metal reactant,” is preferably a titanium halide, and thus the deposited monolayer is terminated with halogen ligands.
  • A second reactant preferably contributes carbon. In some embodiments, the second reactant comprises a metal and carbon, such as trimethylaluminum (TMA) or triethylaluminum (TEA). In some embodiments, the second reactant is a metal-containing source chemical comprising at least one ligand, such as a metalorganic compound. Further, in some embodiments the second reactant can also leave some amount of metal in the film being deposited. For example, in the case of TMA or TEA, some amount of aluminum may be left in the film, depending on the particular reaction conditions.
  • In some embodiments according to the present disclosure, a third reactant that is the protective treatment agent is provided every cycle, after a certain number of cycles, or after deposition of the metal carbide film is complete. The third reactant may comprise a silicon compound, such as a silane, or a boron compound, such as a borane. The protective treatment agent is preferably more reactive to oxygen than is titanium and thus is capable of reducing the amount of titanium oxide in the film. In some cases, little or no oxygen is actually removed from the thin film; however, the protective treatment acts to reduce titanium oxide by breaking the bonds between titanium and oxygen to return the titanium to its pure titanium carbide form. In such cases, although, the oxygen has not actually been removed from the film, it is bound up by the protective treatment so as to not impede the workfunction of the thin film. Accordingly, it could also be said that application of a protective treatment increases the amount of TiC compared to the amount of TiOC in the film. Moreover, in some embodiments the third reactant also provides a species desired in the thin film, such as silicon or boron.
  • The protective treatment agent may be selected from the group consisting of monosilane, disilane, trisilane, borane, diborane, triborane, or any other suitable material that readily reacts with oxygen to reduce titanium. The protective treatment may be supplied in vapor or liquid form, and may be applied as a relatively short pulse every cycle or intermittently in the deposition process or as a relatively longer soak to a partially or completely formed titanium carbide layer.
  • The protective treatment may be provided before one or more ALD cycles, in each ALD cycle, at intervals during the deposition process, or after the deposition process has been completed. For example, in some embodiments the protective treatment is provided every one to four ALD cycles. In some embodiments, at the time the protective treatment is provided, the film grown in the most recent ALD cycles is preferably thin enough that the protective treatment can penetrate the film. In some embodiments, such as situations where more than one deposition cycle has been completed prior to exposure to the protective treatment, the amount of silane/borane penetration in the films can be controlled by the quantity or concentration of the agent used or the duration of the exposure.
  • The protective treatment may be provided as a part of one or more cycles or may be applied after one or more cycles have been completed. Thus, in some embodiments, the deposition of a metal carbide film, such as TiC, is considered to be a cycle in an ALD process independent of the application of a protective treatment. In such cases, the cycle is repeated as many times as desired, and the silane/borane treatment is applied after some or all of the cycles. However, in some embodiments, the protective treatment is applied during one or more cycles (as a part of an ALD cycle) as well as after one or more cycles (separate from an ALD cycle).
  • In one phase of an ALD cycle (“the titanium phase” or the “first phase”), the reactant or source chemical comprising titanium is supplied to the reaction chamber and chemisorbs to the substrate surface. The reactant supplied in this phase is selected such that, under the preferred conditions, the amount of reactant that can be bound to the surface is determined by the number of available binding sites and by the physical size of the chemisorbed species (including ligands). The chemisorbed layer left by a pulse of the titanium reactant is self-terminated with a surface that is non-reactive with the remaining chemistry of that pulse. This phenomenon is referred to herein as “self-saturation.” One of skill in the art will recognize that the self-limiting nature of this phase makes the entire ALD cycle self-limiting. Excess reactant and reactant byproducts (if any) are removed from the reaction space, for example by purging with an inert gas and/or evacuation.
  • In the next phase of the cycle, a pulse of a second source chemical is provided that reacts with the molecules left on the substrate surface by the preceding pulse. In some embodiments the source chemical preferably comprises carbon that is to be incorporated in the thin film. The carbon is incorporated into the thin film by the interaction of the source chemical with the monolayer left by the metal reactant. This phase is referred to herein as “the second phase” or the “carbon-contributing phase.” In some embodiments, the second source chemical is a carbon containing compound and its reaction with the chemisorbed metal species produces a metal carbide layer on the substrate. In some embodiments the second source chemical also comprises a second metal, such as aluminum, and the second metal is incorporated into the growing film along with the carbon. In some embodiments the species-contributing source chemical comprises metal and carbon and may be, for example, TTBA, TMA, or TEA. The second source chemical may or may not be self-limiting when deposited on the substrate.
  • Excess second source chemical and reaction byproducts, if any, are removed from the reaction space by purging and/or evacuation.
  • In some embodiments, a third phase of the ALD cycle comprises providing the protective treatment. In the some embodiments the protective treatment removes oxygen from the growing thin film and/or reacts with oxygen preferentially relative to the other metals in the growing film. In some embodiments, the protective treatment may also remove or isolate other contaminants. In addition, the protective treatment may comprise a species that may be incorporated into the thin film, such as boron or silicon. This is referred to as the “third phase” or the “oxygen isolation phase.”
  • Although referred to as the “first phase,” the “second phase” and the “third phase,” these labels are for convenience and do not indicate the actual order of the phases in each ALD cycle. Thus, the initial ALD cycle may be started with any of the three phases described above. However, one of skill in the art will recognize that if the initial ALD cycle does not begin with the metal reactant phase, at least two ALD cycles will typically need to be completed to deposit about a monolayer of the desired metal carbide thin film.
  • In addition, the order of the phases may be changed. That is, in some embodiments the protective treatment may be the next reactant provided after the second reactant, while in other embodiments the protective treatment may be the next reactant provided after the first metal source reactant. And in some embodiments, the protective treatment may be supplied after only some cycles or after all cycles have been completed. For example, in some embodiments the third phase (provision of the protective treatment) may immediately follow the first phase (provision of the reactant comprising a metal species), which in turn is followed by the carbon-contributing phase. And in some embodiments, the third phase may be supplied as a “soak,” liquid or vapor, after the thin film has been completely formed. That is, the deposited film is exposed to a silane or a borane in liquid or vapor form for a period of time. A phase is generally considered to immediately follow another phase if only a purge or other reactant removal step intervenes.
  • In some embodiments the protective treatment is not provided in every ALD cycle. Rather, a partially or completely deposited titanium carbide film may be treated with a protective treatment agent. This may the case, for example, where a first film has been formed using TiCl4 and TEA but the resulting TiAlC film has been oxidized by water, air, or some other contaminant source to form a layer that is essentially TiAlOC. A protective treatment can be applied to the first film to reduce the TiAlOC layer back to essentially TiAlC with only the minor presence of impurities.
  • In one embodiment, an ALD cycle comprises:
      • 1. providing a titanium halide to the reaction space;
      • 2. substantial purging and/or evacuation of excess titanium halide and reaction byproducts;
      • 3. providing a carbon-contributing reactant to the reaction space, such TEA or TMA;
      • 4. substantially purging and/or evacuation of excess second reactant and reaction byproducts; and
      • 5. providing a protective treatment to the reaction space.
  • Step 5 can be included in each ALD cycle, or steps 1-4 can be repeated several times before step 5 is introduced. In some embodiments steps 1-4 are repeated up to 10 times before step 5 is included. In other embodiments steps 1-4 are repeated up to 100 or even 1000 or more times before step 5 is included. In some embodiments, a complete film of desired thickness is deposited prior to step 5.
  • With reference to FIG. 5, in an embodiment of the invention, after initial surface termination, if necessary, a first reactant or source chemical pulse is supplied 502 to the substrate or workpiece. In the illustrated embodiment, the first reactant is a metal halide, and the thin film being formed comprises a metal carbide. In accordance with a preferred embodiment, the first reactant pulse comprises a carrier gas flow and a volatile titanium halide species that is reactive with the workpiece surfaces of interest. Accordingly, the halogen-containing titanium species adsorbs upon the workpiece surfaces. The first reactant pulse self-saturates the workpiece surfaces such that any excess constituents of the first reactant pulse do not further react with the monolayer formed by this process. Self-saturation results due to halide tails terminating the monolayer, protecting the layer from further reaction.
  • The first reactant is then removed 504 from the reaction space. Step 504 may entail merely stopping the flow of the first reactant or chemistry while continuing to flow a carrier gas for a sufficient time to diffuse or purge excess reactants and reactant by-products from the reaction space. Preferably the removal 504 comprises continuing to flow purge gas for between about 0.1 seconds and 20 seconds after stopping the flow of the first reactant pulse. Inter-pulse purging is described in co-pending U.S. Pat. No. 6,511,539, entitled “IMPROVED APPARATUS AND METHOD FOR GROWTH OF A THIN FILM,” the disclosure of which is incorporated herein by reference. In other arrangements, the chamber may be pumped down between alternating chemistries. See, for example, PCT publication number WO 96/17107, published Jun. 6, 1996, entitled “METHOD AND APPARATUS FOR GROWING THIN FILMS,” the disclosure of which is incorporated herein by reference. Together, the adsorption 502 and reactant removal 504 represent a first phase 505 in an ALD cycle. The first phase in the illustrated ALD cycle is thus the metal phase.
  • With continued reference to FIG. 5, a second reactant or source chemical pulse is then supplied 506 to the workpiece. The second chemical reacts with the monolayer left by the first reactant. In the illustrated embodiment, this second reactant pulse 506 comprises supplying a carrier gas with the second source gas to the workpiece. In particular, where the first reactant comprises a titanium halide, the second reactant, such as TMA or TEA, comprises carbon and a second, different metal. In the case of TEA or TMA the second reactant leaves no more than about a monolayer of TiCAl. The second reactant preferably removes at least some halide ligands from the adsorbed first reactant. The second reactant pulse 506 also leaves a surface termination that operates to limit the deposition in a saturative reaction phase.
  • After a time period sufficient to completely saturate and react the monolayer with the second reactant pulse 506, any excess second reactant is removed 508 from the workpiece. As with the removal 504 of the first reactant, this step 508 may comprise stopping the flow of the second chemistry and continuing to flow carrier gas for a time period sufficient for excess reactants and volatile reaction by-products from the second reactant pulse to diffuse out of and be purged from the reaction space. Together, the second reactant pulse 506 and removal 508 represent a second phase 509 in the illustrated process, and can also be considered a carbon and metal species-contributing phase.
  • When the excess reactants of the second reactant pulse have been removed 508 from the chamber, a third reactant or source chemical pulse may be supplied to the workpiece 510. The third reactant can be a protective treatment agent or oxygen barrier material capable of removing halides and/or reacting with oxygen in the growing film. Examples of suitable agents include silanes and boranes, including monosilane, disilane, trisilane, borane, and diborane. The oxygen barrier material or protective treatment may be provided with an inert carrier gas. Temperature and pressure conditions can be adjusted to control the level of diffusion of the protective treatment through the monolayer.
  • After a time period sufficient to achieve a desired level of saturation of the third reactant in the monolayer, excess unreacted oxygen barrier material and any reaction by-products (which may also be volatile) are removed 512 from the reaction space, for example by a purge gas pulse. The removal can be as described for step 204. Together, the protective treatment pulse 510 and removal 512 represent a third phase 513 of the illustrated ALD process, which can also be referred to as the oxygen isolation phase.
  • The combination of first phase 505, second phase 509, and third phase 513, can be considered as a single deposition cycle 515. In some embodiments, the ordering of the third phase 513 actually precedes either or both the first phase 505 and the second phase 509. In some embodiments, the third phase 513 is included in only some or only one deposition cycle 515.
  • In some embodiments, supplying a protective treatment immediately follows the step of removing excess first reactant and by-products. After a time period sufficient to react the monolayer with the protective treatment, excess unreacted protective treatment materials and reaction by-products are removed from the reaction space, possibly by a purge gas pulse. The removal step is followed by supply of the second reactant pulse.
  • In some embodiments of the disclosure (not shown), the steps of supplying the protective treatment and removing any excess protective treatment materials and by-products precede the step of supplying the first reactant. In some embodiments, the protective treatment is not provided in every cycle or may be provided after all the cycles are complete.
  • In some embodiments, the step of supplying a protective treatment takes the form of a soak occurring after some or all of the titanium carbide deposition cycles have been completed. In some cases, a soak of trisilane occurring after deposition of a TiC film is completed has been found to achieve suitable results.
  • In one embodiment, a process for forming a titanium carbide film comprises:
      • 1. providing a titanium halide, such as a titanium chloride, to the reaction space;
      • 2. substantially purging and/or evacuation of excess titanium halide and reaction byproducts;
      • 3. providing a second carbon and aluminum-contributing reactant, such as TEA or TMA, to the reaction space;
      • 4. substantially purging and/or evacuation of excess second reactant and reaction byproducts;
      • 5. repeating steps 1 through 4 for either a desired number of cycles or until a film of a desired thickness has been achieved; and
      • 6. subjecting the product of step 5 to a soak with a protective treatment agent.
  • The soak of Step 6 can be configured to achieve a particular level of interaction between any oxygen present in the film and the protective treatment. For example, the soak may last long enough to substantially diffuse throughout the film or the soak's duration may be kept shorter so as to reach only a partial depth in the film. In some embodiments, a soak may serve to “coat” a thin film with an oxygen barrier by providing silicon or boron in the film. In some embodiments, the protective treatment is applied as a soak is trisilane.
  • The foregoing embodiments will be discussed in the context of specific thin film chemistries.
  • Carbon containing metal films or metal carbides have varying applications, such as gate electrodes, electrodes in capacitors and barrier layers in damascene and dual damascene structures.
  • In some embodiments, a general pulsing sequence for carbon-containing metal or metal carbide thin film deposition is:

  • (M 1 X y+purge+M 2 R 3+purge+protective treatment+purge)×m 1

  • or

  • (M 1 X y+purge+protective treatment+purge+M 2 R 3+purge)×m 1,
  • wherein m1 is the number of total cycles.
  • M1 is a metal atom, preferably selected from the group consisting of Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W. And in some embodiments M1 is selected from the group consisting of Fe, Mn, Ni, Co, Cu, Zn, Cd, Ge, Si, Sn, Sb, Ga, Ru, Ir, Pd, Pt, Au, Rh, Re, B, In and Al.
  • M2 is a metal atom, preferably selected from the group consisting of B, Al, In, Sn, Bi, Sn, Zn, Pb, Sb and Ga. R is a ligand for M2 and can be any ligand, preferably a metalorganic ligand, more preferably an organometallic ligand, most preferably an alkane ligand, such as ethyl ligand.
  • Xy is one or more ligands for M1. Each X may be a halogen ligand selected from the group consisting of I, Br, Cl and F. However, in some embodiments at least one X can be a metalorganic ligand, such as a cyclopentadienyl (for example, cyclopentadienyl, methylcyclopentadienyl, pentamethylcyclopentadienyl, ethylcyclopentadienyl, isopropylcyclopentadienyl, tertbutylcyclopentadienyl, and indenyl), alkoxide (for example, methoxide, ethoxide, isopropoxide, and tertbutoxide), alkyl (for example, methyl, ethyl, propyl, and butyl), carbonyl, cyclo-octadiene, benzene or hydrogen ligand. In other embodiments Xy may comprise mixtures thereof. However, at least one of the Xy ligands is preferably a halogen. As an example, bis(cyclopentadienyl)hafnium dichloride or bis(cyclopentadienyl)tantalum(V)trichloride, can be used as a metal precursor in some embodiments.
  • The protective treatment may comprise exposure to a treatment agent selected from the group consisting of monosilane, disilane, trisilane, borane, diborane, triborane, etc. In some embodiments, the protective treatment is a disilane or a trisilane that is applied during or after each layer is deposited, before any layers are deposited, after only some layers are deposited, or after all the layers have been deposited. The protective treatment can be applied in a pulse or as a soak and as a liquid or as a vapor.
  • In preferred embodiments, M2 is a metal, preferably aluminum, and R is a carbon-containing ligand. M2R3 preferably has at least one metal-to-carbon bond. In some embodiments, M2R3 may be considered a carbon source chemical. In some embodiments, M2R3 is selected from the group consisting of TMA and TEA.
  • One benefit of the ALD processes of some embodiments is that the growth rate is extremely high for an ALD process or a quasi-ALD process. For example, the growth rate for TaC formation can be over 2 Å/cycle. Further, annealing can be performed after the metal carbide deposition for enhancing the properties of the film. Suitable atmospheres, such as N2 or forming gas (N2/H2), may be used during annealing.
  • Exemplary pulsing sequences for TiC film formation include:

  • (TiCl4+purge+trimethylaluminum (TMA) or triethylaluminum (TEA)+purge+protective treatment+purge)]×m 2

  • and

  • (TiCl4+purge+protective treatment+purge+TMA or TEA+purge)]×m 2,
  • wherein m2 is the number of total cycles and the protective treatment is selected from the group consisting of monosilane, disilane, trisilane, borane, diborane, triborane, etc.
  • Films deposited using the above exemplary pulsing sequence contained, based on an atomic basis, about 17-20% Ti, about 17-27% Al, about 16-42% Si, and about 21-39% C. In some films, Al may be as much as about 40% on an atomic basis. These values were determined using Rutherford backscattering spectrometry, or RBS.
  • In other embodiments, a protective treatment is not utilized every cycle but only in some of the cycles. In this situation, a general pulsing sequence for carbon-containing metal thin film deposition can be:

  • [n 3×(M 1 X y+purge+M 2 R 3+purge)+m 3×(protective treatment+purge)]×k3,
  • wherein n3 is the number of carbide cycles in one total cycle, m3 is the number of cycles in which a protective treatment is used in one total cycle, and k3 is the number of total cycles.
  • M1 is preferably Ti but may be a metal atom selected from the group consisting of Zr, Hf, V, Nb, Ta, Cr, Mo, W, Si and Al. In other embodiments M1 can be selected from the group consisting of Fe, Mn, Ni, Co, Cu, Zn, Cd, Ge, Si, Sn, Sb, Ga, Ru, Ir, Pd, Pt, Au, Rh, Re, B, In. M2 is preferably Al but may be a metal atom selected from the group consisting of B, Al, In, Sn, Bi, Zn, Pb, Sb and Ga. R is a ligand for M2 and can be any ligand.
  • Xy is one or more ligands for M1. Each X is preferably a halogen ligand selected from the group consisting of I, Br, Cl and F. However, in some embodiments at least one X can be a metalorganic ligand, such as a cyclopentadienyl (for example, cyclopentadienyl, methylcyclopentadienyl, pentamethylcyclopentadienyl, ethylcyclopentadienyl, isopropylcyclopentadienyl, tertbutylcyclopentadienyl, and indenyl), alkoxide (for example, methoxide, ethoxide, isopropoxide, and tertbutoxide), alkyl (for example, methyl, ethyl, propyl, and butyl), carbonyl, cyclo-octadiene, benzene or hydrogen ligand. In other embodiments Xy may comprise mixtures thereof. However, at least one of the Xy ligands is preferably a halogen. As an example, bis(cyclopentadienyl)hafnium dichloride or bis(cyclopentadienyl)tantalum(V)trichloride, can be used as a metal precursor in some embodiments.
  • Use of a protective treatment as disclosed herein has the potential of providing a thin film, such as a TiC, with resistance to oxidation during subsequent processing. Without being tied to any particular theory, it is believe that resistance to oxidation is increased in part because the protective treatment tends to decrease the amount of carbon in the thin film as it is partially replaced by silicon or boron or some other element comprising the protective treatment.
  • Metal Nitride Films
  • According to some embodiments, an ALD or quasi-ALD process is used to form titanium nitride thin films on substrates, such as integrated circuit workpieces. The surfaces on which the thin titanium nitride (TiN) films are deposited can take a variety of forms. Examples include, but are not limited to, hafnium oxide, silicon, silicon oxide (SiO2), coated silicon, dielectric materials, low-k materials, metals—such as copper and aluminum—metal alloys, metal oxides and various nitrides, such as transition metal nitrides and silicon nitride or a combination of said materials.
  • In a some embodiments, a substrate or workpiece is placed in a reaction chamber and subjected to alternately repeated surface reactions. In particular, thin films are formed by repetition of an ALD cycle. Each ALD cycle is typically self-limiting, though, as discussed above, the reaction conditions may be modified to achieve a quasi-ALD process, such as where a true ALD process would require an undesirable amount of time to perform. In the case of compound metallic thin film deposition, at least two different source chemicals are alternatively employed. One reactant may form no more than about one monolayer on the substrate surface and includes a metal species desired in the layer being deposited. This reactant, also referred to herein as “the metal reactant,” is preferably a titanium halide, and thus the deposited layer is terminated with halogen ligands.
  • A second reactant preferably contributes nitrogen. In some embodiments, the second reactant comprises NH3, hydrazine, or radicals/ions of N and H (for example in a PEALD process) or other known nitrogen compound for use in ALD.
  • In some embodiments according to the present disclosure, a third reactant is provided every cycle, after a certain number of cycles, or after deposition of the TiN film is complete. The third reactant may be a protective treatment agent, and may comprise a silicon compound or a boron compound, preferably one that can reduce at least a portion of any oxidized TiN. In other words, the protective treatment may act to reduce titanium-oxygen bonds to restore the titanium-nitride bonds. In some embodiments the third reactant comprises a silane or a borane, such as monosilane, disilane, trisilane, borane, diborane, triborane, etc.
  • The protective treatment is more reactive to oxygen than is titanium and thus is capable of reducing the amount of titanium oxide in the film. In some cases, little or no oxygen is actually removed from the thin film; however, the protective treatment acts to reduce titanium oxide by breaking the bonds between titanium and oxygen to return the titanium to its pure titanium carbide form. In such cases, although, the oxygen has not actually been removed from the film, it is bound up by the protective treatment so as to not impede the workfunction of the TiN film or a film deposited prior or subsequent to the TiN film. Accordingly, it could also be said that application of a protective treatment increases the amount of TiN compared to the amount of TiON in the film. Moreover, in some embodiments the third reactant also provides a species desired in the thin film, such as silicon or boron.
  • The protective treatment may be provided in each ALD cycle, at intervals during the deposition process, or after the deposition process has been completed. For example, in some embodiments the protective treatment is provided every one to four ALD cycles. In some embodiments, at the time the protective treatment is provided, the film grown in the most recent ALD cycle is preferably thin enough that the protective treatment can penetrate the film. In some embodiments, such as situations where more than one deposition cycle have been completed prior to exposure to the protective treatment, the amount of penetration or diffusion in the films can be controlled any number of factors, such as duration, temperature, pressure, selection of the protective treatment, quantity or concentration of the barrier material used, etc.
  • The protective treatment may be provided as a part of one or more cycles or may be applied after one or more cycles have been completed. Thus, in some embodiments, the deposition of a metal nitride film, such as TiN, is considered to be a cycle in an ALD process independent of the application of a protective treatment. In such cases, the cycle is repeated as many times as desired, and the treatment using a protective treatment is applied after some or all of the cycles. However, in some embodiments, the protective treatment is applied during one or more cycles (as a part of an ALD cycle) as well as after one or more cycles (separate from an ALD cycle).
  • In one phase of an ALD cycle (“the titanium phase” or the “first phase”), the reactant or source chemical comprising titanium is supplied to the reaction chamber and chemisorbs to the substrate surface. The reactant supplied in this phase is selected such that, under the preferred conditions, the amount of reactant that can be bound to the surface is determined by the number of available binding sites and by the physical size of the chemisorbed species (including ligands). The chemisorbed layer left by a pulse of the titanium reactant is ideally self-terminated with a surface that is non-reactive with the remaining chemistry of that pulse. This phenomenon is referred to herein as “self-saturation.” One of skill in the art will recognize that the self-limiting nature of this phase makes the entire ALD cycle self-limiting. Excess reactant and reactant byproducts (if any) are removed from the reaction space, for example by purging with an inert gas and/or evacuation.
  • However, in some embodiments, the purge step may be insufficiently long to fully clear the reaction space of precursors before the next precursor is pulsed through the reaction space. In some cases, full evacuation or purging may require a period of time that is not economical or efficient. Moreover, some precursors may actually decompose or partially decompose within the reaction space.
  • In the next phase of the cycle, a pulse of a second source chemical is provided that reacts with the molecules left on the substrate surface by the preceding pulse. In some embodiments the source chemical preferably comprises nitrogen that is to be incorporated in the thin film. The nitrogen is incorporated into the thin film by the interaction of the source chemical with the monolayer left by the metal reactant. This phase is referred to herein as “the second phase” or the “nitrogen-contributing phase.” In some embodiments, the second source chemical is a nitrogen-containing compound and its reaction with the chemisorbed metal species produces a metal nitride layer on the substrate.
  • Excess second source chemical and reaction byproducts, if any, are removed from the reaction space by purging and/or evacuation.
  • In some embodiments, a third phase of the ALD cycle comprises providing the protective treatment agent. In the some embodiments the protective treatment agent removes or isolates oxygen from the growing thin film and/or reacts with oxygen preferentially relative to the other metals in the growing film. In addition, the protective treatment agent may comprise a species that may be incorporated into the thin film, such as boron or silicon. This is referred to as the “third phase” or the “oxygen isolation phase.”
  • Although referred to as the “first phase,” the “second phase” and the “third phase,” these labels are for convenience and do not indicate the actual order of the phases in each ALD cycle. Thus, the initial ALD cycle may be started with any of the three phases described above. However, one of skill in the art will recognize that if the initial ALD cycle does not begin with the metal reactant phase, at least two ALD cycles will typically need to be completed to deposit about a monolayer of the desired metal nitride thin film.
  • In addition, the order of the phases may be changed. That is, in some embodiments the protective treatment may be the next reactant provided after the second reactant, while in other embodiments the protective treatment may be the next reactant provided after the first metal source reactant. In some embodiments, the protective treatment is supplied before any other reactants and may be supplied only initially as compared to as a part of cycle or after all the cycles are completed. And in some embodiments, the protective treatment may be supplied after only some cycles or after all cycles have been completed. For example, in some embodiments the third phase (provision of the protective treatment) may immediately follow the first phase (provision of the reactant comprising a metal species), which in turn is followed by the nitrogen-contributing phase. And in some embodiments, the third phase may be supplied as a “soak,” after the thin film has been completely formed. That is, the deposited film is exposed to a silane or a borane for a more extended period of time. A phase is generally considered to immediately follow another phase if only a purge or other reactant removal step intervenes.
  • In some embodiments the protective treatment agent is not provided in every ALD cycle. Rather, a partially or completely deposited titanium nitride film may be treated with a protective treatment. This may be the case, for example, where a first TiN film has been formed by has been or is likely to be oxidized by water, air, or some other contaminant source to form a layer that is essentially TiON. A protective treatment can be applied to the first film to reduce the TiON layer back to essentially TiN with only the minor presence of impurities, such as oxygen, or to prevent oxidation of the Ti in the layer.
  • In one embodiment, an ALD cycle comprises:
      • 1. providing a titanium halide to the reaction space;
      • 2. substantially purging and/or evacuation of excess titanium halide and reaction byproducts;
      • 3. providing a nitrogen-contributing reactant to the reaction space, such as NH3;
      • 4. substantially purging and/or evacuation of excess second reactant and reaction byproducts; and
      • 5. providing a protective treatment to the reaction space.
  • Step 5 can be included in each ALD cycle, or steps 1-4 can be repeated several times before step 5 is introduced. In some embodiments steps 1-4 are repeated up to 10 times before step 5 is included. In other embodiments steps 1-4 are repeated up to 100 or even 1000 or more times before step 5 is included. In some embodiments, a complete film of desired thickness is deposited prior to step 5.
  • With reference again to FIG. 5, in an embodiment of the invention, after initial surface termination, if necessary, a first reactant or source chemical pulse is supplied 502 to the substrate or workpiece. In the illustrated embodiment, the first reactant is a metal halide, and the thin film being formed comprises a metal nitride. In accordance with a preferred embodiment, the first reactant pulse comprises a carrier gas flow and a volatile titanium halide species that is reactive with the workpiece surfaces of interest. Accordingly, the halogen-containing titanium species adsorbs upon the workpiece surfaces. The first reactant pulse self-saturates the workpiece surfaces such that any excess constituents of the first reactant pulse do not further react with the monolayer formed by this process. Self-saturation results due to halide tails terminating the monolayer, protecting the layer from further reaction.
  • The first reactant is then removed 304 from the reaction space. Step 504 may entail merely stopping the flow of the first reactant or chemistry while continuing to flow a carrier gas for a sufficient time to diffuse or purge excess reactants and reactant by-products from the reaction space. Preferably the removal 504 comprises continuing to flow purge gas for between about 0.1 seconds and 20 seconds after stopping the flow of the first reactant pulse. Together, the adsorption 502 and reactant removal 504 represent a first phase 505 in an ALD cycle. The first phase in the illustrated ALD cycle is thus the metal phase.
  • With continued reference to FIG. 5, a second reactant or source chemical pulse is then supplied 506 to the workpiece. The second chemical reacts with the monolayer left by the first reactant. In the illustrated embodiment, this second reactant pulse 506 comprises supplying a carrier gas with the second source gas to the workpiece. In particular, where the first reactant comprises a titanium halide, the second reactant, may be a nitrogen compound such as NH3. The second reactant preferably removes at least some halide ligands from the adsorbed first reactant leaving no more than about a monolayer of TiN. The second reactant pulse 506 also leaves a surface termination that operates to limit the deposition in a saturative reaction phase.
  • After a time period sufficient to completely saturate and react the monolayer with the second reactant pulse 506, any excess second reactant is removed 308 from the workpiece. As with the removal 504 of the first reactant, this step 508 may comprise stopping the flow of the second chemistry and continuing to flow carrier gas for a time period sufficient for excess reactants and volatile reaction by-products from the second reactant pulse to diffuse out of and be purged from the reaction space. Together, the second reactant pulse 506 and removal 508 represent a second phase 509 in the illustrated process, and can also be considered a nitrogen-contributing phase.
  • According to some embodiments, a residual amount of a metal, such as aluminum, is present in the chamber during the nitrogen-contributing phase. The metal may have been used in a previous phase. Because it is present during the nitrogen-contributing phase, it is possible for it to be incorporated into the resulting metal nitride layer. For example, the deposition of a TiN layer may actually produce at least some TiAlN.
  • When the excess reactants of the second reactant pulse have been removed 508 from the chamber, a third reactant pulse may be supplied to the workpiece 510. The third reactant can be a protective treatment agent capable of removing halides and/or reacting with oxygen in the growing film. Examples of suitable protective treatment agents include silanes and boranes, for example in the form of monosilane, disilane, trisilane, borane, diborane, triborane, etc. The protective treatment agent may be provided with an inert carrier gas. Temperature and pressure conditions can be adjusted to control the level of diffusion of the protective treatment agent through the monolayer.
  • After a time period sufficient to achieve a desired level of saturation of the third reactant in the monolayer, excess unreacted protective treatment agent and any reaction by-products (which may also be volatile) are removed 512 from the reaction space, for example by a purge gas pulse. The removal can be as described for steps 504 or 508. Together, the protective treatment pulse 510 and removal 512 represent a third phase 513 of the illustrated ALD process, which can also be referred to as the oxygen isolation phase.
  • The combination of first phase 305, second phase 509, and third phase 513, can be considered as a single deposition cycle 515. In some embodiments, the ordering of the third phase 513 actually precedes either or both the first phase 505 and the second phase 509. In some embodiments, the third phase 513 is included in only some or only one deposition cycle 515.
  • In some embodiments, supply of a protective treatment agent immediately follows the step of removing excess first reactant and by-products. After a time period sufficient to react the monolayer with the protective treatment agent, excess unreacted protective treatment materials and reaction by-products are removed from the reaction space, possibly by a purge gas pulse. The removal step is followed by supply of the second reactant pulse.
  • In some embodiments of the disclosure (not illustrated), the steps of supplying the protective treatment and removing any excess protective treatment materials and by-products precede the step of supplying the first reactant. In some embodiments, the protective treatment is not provided in every cycle or may be provided after all the cycles are complete.
  • In some embodiments, the step of supplying a protective treatment agent takes the form of a soak occurring after some or all of the titanium nitride deposition cycles have been completed. In some cases, a soak of trisilane occurring after deposition of a TiN film is completed has been found to achieve suitable results.
  • In one embodiment, a process for forming a titanium nitride film comprises:
      • 1. providing a titanium halide, such as a titanium chloride, to the reaction space;
      • 2. substantial purging and/or evacuation of excess titanium halide and reaction byproducts;
      • 3. providing a second reactant or a nitrogen-contributing reactant, such as NH3, hydrazine, or radicals/ions of N and H (used in a PEALD process), to the reaction space;
      • 4. substantially purging and/or evacuation of excess second reactant and reaction byproducts;
      • 5. repeating steps 1 through 4 at least once or for either a desired number of cycles or until a film of a desired thickness has been achieved; and
      • 6. subjecting the product of step 5 to a soak with a protective treatment agent comprising silane and/or borane.
  • The soak of Step 6 can be configured to achieve a particular level of interaction between any oxygen present in the film and the protective treatment agent. For example, the soak may last long enough to substantially diffuse throughout the film or the soak's duration may be kept shorter so as to reach only a partial depth in the film. In some embodiments, a soak may serve to “coat” a thin film with an oxygen barrier by providing silicon or boron in the film. In some embodiments, the protective treatment applied as a soak is trisilane.
  • According to some embodiments, it may be desirable to subject a thin film, such as metal nitride, to a protective treatment well after the film has been deposited but before proceeding with a subsequent deposition process whether or not the subsequent process itself includes an oxygen barrier treatment.
  • In at least some of the aforesaid embodiments, any element used in an embodiment can interchangeably be used in another embodiment unless such a replacement is not feasible.
  • It will be appreciated by those skilled in the art that various other omissions, additions and modifications may be made to the methods and structures described above without departing from the scope of the invention. All such modifications and changes are intended to fall within the scope of the invention, as defined by the appended claims.

Claims (26)

What is claimed is:
1. A method for forming a gate stack comprising:
providing a substrate comprising a dielectric material and a first metal-containing thin film over the dielectric material;
contacting the first metal-containing thin film with a silane or borane compound;
after contacting the substrate with the silane or borane compound, depositing a second metal-containing thin film over the first thin film.
2. The method of claim 1, wherein the first thin film comprises a metal selected from Ti, Ta, Hf, V, Nb, and Zr.
3. The method of claim 1, wherein the first metal-containing thin film is an etch stop layer or barrier layer and the second metal-containing thin film is a workfunction setting layer.
4. The method of claim 1, wherein the first metal-containing thin film is a TiN, TiAlN, TaN or TiAlCN thin film.
5. The method of claim 1, wherein the second metal containing thin film comprises an n-type metal.
6. The method of claim 1, wherein the second metal containing thin film comprises TiAl, TaC, HfC, TaAlC, TiAlSiC, TiAlB, TaAlB, TiAlSiB, TaAl, SiAlSiC or HfAlSiB.
7. The method of claim 1, wherein the second metal-containing thin film is a titanium carbide film.
8. The method of claim 1, wherein contacting the first metal-containing thin film with a silane or borane compound comprises exposing the first metal-containing thin film to the silane or borane compound for a duration of between about 1 second and about 2 minutes.
9. The method of claim 1, additionally comprising contacting the second metal-containing thin film with a silane or borane compound.
10. The method of claim 1 wherein depositing the second metal-containing thin film comprises an atomic layer deposition process comprising multiple deposition cycles.
11. The method of claim 10, wherein the substrate is exposed to a silane or borane compound in each deposition cycle.
12. The method of claim 1, additionally comprising depositing a third metal-containing thin film over the second metal-containing thin film.
13. The method of claim 12, wherein the substrate is contacted with a silane or borane compound during or after depositing the second metal-containing thin film and prior to depositing the third metal-containing thin film.
14. The method of claim 12, wherein the substrate is contacted with a silane or borane compound during or after deposition of the third metal-containing thin film.
15. The method of claim 14, additionally comprising depositing a metal thin film over the third metal-containing thin film.
16. The method of claim 15, wherein the metal thin film is a tungsten thin film.
17. The deposition method of claim 1, wherein the silane or borane is selected from the group consisting of monosilane, disilane, trisilane, borane, diborane, and triborane.
18. The deposition method of claim 17, wherein the silane or borane is trisilane.
19. The deposition method of claim 1, wherein the first and second metal-containing thin films are deposited in situ.
20. A method for forming an NMOS stack, the method comprising, sequentially:
providing a substrate comprising a previously deposited dielectric material and an etch stop layer;
contacting the etch stop layer with a silane or borane compound; and
depositing a metal-containing layer over the first etch stop layer.
21. The method of claim 20, wherein the etch stop layer is a titanium nitride layer and the metal-containing layer comprises an n-type metal.
22. The method of claim 21, wherein the metal-containing layer comprises TiAl, TaC, HfC, TaAlC, TiAlSiC, TiAl B, TaAlB, TiAlSiB, TaAl, TiAlSiC TaAlSiB, or HfAlSiB.
23. The method of claim 20, further comprising contacting the metal-containing layer with a silane or borane compound.
24. The method of claim 20, wherein the metal-containing layer is deposited by an atomic layer deposition process comprising multiple deposition cycles, and wherein the substrate is contacted with a silane or borane compound during at least one of the deposition cycles.
25. The method of claim 24, wherein the silane or borane is selected from the group consisting of monosilane, disilane, trisilane, borane, diborane, and triborane.
26. The deposition method of claim 20, wherein contacting the etch stop layer with a silane or borane compound does not increase the thickness first metal nitride layer.
US13/830,322 2013-03-14 2013-03-14 Silane or borane treatment of metal thin films Active US8846550B1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
US13/830,322 US8846550B1 (en) 2013-03-14 2013-03-14 Silane or borane treatment of metal thin films
KR1020140022026A KR101907193B1 (en) 2013-03-14 2014-02-25 Silane or borane treatment of metal thin films
CN201410075121.2A CN104051250B (en) 2013-03-14 2014-03-03 Silane or the borine processing of metallic film
TW103109223A TWI556294B (en) 2013-03-14 2014-03-14 Silane or borane treatment of metal thin films
TW105131349A TWI564944B (en) 2013-03-14 2014-03-14 Method of reducing oxidation at interface between different metal-containing thin film and method for depositing metal layer over etch stop layer
US14/300,986 US9111749B2 (en) 2013-03-14 2014-06-10 Silane or borane treatment of metal thin films

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/830,322 US8846550B1 (en) 2013-03-14 2013-03-14 Silane or borane treatment of metal thin films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/300,986 Continuation US9111749B2 (en) 2013-03-14 2014-06-10 Silane or borane treatment of metal thin films

Publications (2)

Publication Number Publication Date
US20140273428A1 true US20140273428A1 (en) 2014-09-18
US8846550B1 US8846550B1 (en) 2014-09-30

Family

ID=51503937

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/830,322 Active US8846550B1 (en) 2013-03-14 2013-03-14 Silane or borane treatment of metal thin films
US14/300,986 Active US9111749B2 (en) 2013-03-14 2014-06-10 Silane or borane treatment of metal thin films

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/300,986 Active US9111749B2 (en) 2013-03-14 2014-06-10 Silane or borane treatment of metal thin films

Country Status (4)

Country Link
US (2) US8846550B1 (en)
KR (1) KR101907193B1 (en)
CN (1) CN104051250B (en)
TW (2) TWI564944B (en)

Cited By (339)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160064211A1 (en) * 2014-02-18 2016-03-03 Lam Research Corporation High growth rate process for conformal aluminum nitride
US20160118261A1 (en) * 2014-10-23 2016-04-28 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US20160196978A1 (en) * 2014-03-28 2016-07-07 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing device, and recording medium
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9570290B2 (en) 2010-04-15 2017-02-14 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9570274B2 (en) 2010-04-15 2017-02-14 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20170110324A1 (en) * 2015-10-20 2017-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition methods and structures thereof
US20170110552A1 (en) * 2015-10-20 2017-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition methods and structures thereof
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US9786570B2 (en) 2012-11-08 2017-10-10 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9793110B2 (en) 2010-04-15 2017-10-17 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US20170306479A1 (en) * 2016-04-21 2017-10-26 Asm Ip Holding B.V. Deposition of metal borides and silicides
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US20190221433A1 (en) * 2018-01-16 2019-07-18 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
CN110923659A (en) * 2018-09-20 2020-03-27 东京毅力科创株式会社 Film forming method and substrate processing system
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
CN110970303A (en) * 2018-09-28 2020-04-07 台湾积体电路制造股份有限公司 Semiconductor device and method of forming the same
WO2020076710A1 (en) * 2018-10-08 2020-04-16 Applied Materials, Inc. Methods and apparatus for n-type metal oxide semiconductor (nmos) metal gate materials using atomic layer deposition (ald) processes with metal based precursors
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282938B2 (en) * 2018-09-28 2022-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Capping layers in metal gates of transistors
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11424132B2 (en) * 2018-11-03 2022-08-23 Applied Materials, Inc. Methods and apparatus for controlling contact resistance in cobalt-titanium structures
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
WO2024047035A1 (en) 2022-09-01 2024-03-07 Geomar Helmholtz-Zentrum Für Ozeanforschung Kiel - Stiftung Des Öffentlichen Rechts Diagnostic method for prostate cancer
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US12027365B2 (en) 2020-11-24 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices
US12033885B2 (en) 2020-01-06 2024-07-09 Asm Ip Holding B.V. Channeled lift pin
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US12040181B2 (en) 2019-05-01 2024-07-16 Lam Research Corporation Modulated atomic layer deposition
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US12051602B2 (en) 2020-05-04 2024-07-30 Asm Ip Holding B.V. Substrate processing system for processing substrates with an electronics module located behind a door in a front wall of the substrate processing system
US12051567B2 (en) 2020-10-07 2024-07-30 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including gas supply unit
US12057314B2 (en) 2020-05-15 2024-08-06 Asm Ip Holding B.V. Methods for silicon germanium uniformity control using multiple precursors
US12074022B2 (en) 2020-08-27 2024-08-27 Asm Ip Holding B.V. Method and system for forming patterned structures using multiple patterning process
US12087586B2 (en) 2020-04-15 2024-09-10 Asm Ip Holding B.V. Method of forming chromium nitride layer and structure including the chromium nitride layer

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US9337192B2 (en) 2011-09-24 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate stack having TaAlCN layer
US9337303B2 (en) * 2011-09-24 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate stack having TiAICN as work function layer and/or blocking/wetting layer
US8841182B1 (en) * 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8846550B1 (en) * 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US10204790B2 (en) 2015-07-28 2019-02-12 Asm Ip Holding B.V. Methods for thin film deposition
US9799745B2 (en) * 2015-10-20 2017-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition methods and structures thereof
US10510547B2 (en) 2017-09-13 2019-12-17 Applied Materials, Inc. Metal and metal-derived films
US20200144056A1 (en) * 2018-11-03 2020-05-07 Applied Materials, Inc. Method of forming a cobalt layer on a substrate
US11699736B2 (en) * 2020-06-25 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method

Family Cites Families (173)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (en) 1999-10-15 2009-05-15 Asm Int A process for preparing nanolaminates
FI117944B (en) 1999-10-15 2007-04-30 Asm Int A method for growing transition metal nitride thin films
US3925337A (en) 1969-07-31 1975-12-09 Air Prod & Chem Post chlorinated vinyl chloride copolymers
US3708728A (en) 1971-06-10 1973-01-02 Int Standard Electric Corp Electrolytic capacitor electrode comprising semiconductor core with film-forming metal coating
SE393967B (en) 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
JPS5833841Y2 (en) 1979-06-12 1983-07-29 ヤンマー農機株式会社 Line marker on rice transplanter
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
EP0149044B1 (en) 1983-11-11 1987-05-13 Research Development Corporation of Japan Boron nitride containing titanium nitride, method of producing the same and composite ceramics produced therefrom
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
JPH0669157B2 (en) 1985-03-11 1994-08-31 日本電気株式会社 Automatic equalizer
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
JPH0831454B2 (en) 1989-04-21 1996-03-27 日本電気株式会社 Method for manufacturing semiconductor device
US5549937A (en) 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
JPH0637041Y2 (en) 1989-10-26 1994-09-28 ゼムコインタナショナル株式会社 Asphalt plant
JP2822536B2 (en) 1990-02-14 1998-11-11 住友電気工業株式会社 Method for forming cubic boron nitride thin film
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
CA2059818C (en) 1991-08-21 1995-01-03 Gokichi Hatouchi Apparatus for storing articles
JPH05335257A (en) 1992-06-03 1993-12-17 Showa Shell Sekiyu Kk Formation of p-type silicon carbide
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
FR2695944B1 (en) 1992-09-24 1994-11-18 Onera (Off Nat Aerospatiale) Chemical vapor deposition device activated by microwave plasma.
JP3356531B2 (en) 1994-02-15 2002-12-16 東京エレクトロン株式会社 Method for forming boron-containing polysilicon film
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
FI97730C (en) 1994-11-28 1997-02-10 Mikrokemia Oy Equipment for the production of thin films
FI97731C (en) 1994-11-28 1997-02-10 Mikrokemia Oy Method and apparatus for making thin films
FI100409B (en) 1994-11-28 1997-11-28 Asm Int Method and apparatus for making thin films
EP0982772B1 (en) 1994-11-30 2003-06-25 Micron Technology, Inc. A semiconductor non-planar storage capacitor
WO1996018756A1 (en) 1994-12-16 1996-06-20 Nkt Research Center A/S A PA-CVD PROCESS FOR DEPOSITION OF A SOLID METAL-CONTAINING FILM ONTO A SUBSTRATE CONTAINING AT LEAST 50 % of Fe or WC
US5964943A (en) 1994-12-27 1999-10-12 Siemens Aktiengesellschaft Method of producing boron-doped monocrystalline silicon carbide
US6006763A (en) 1995-01-11 1999-12-28 Seiko Epson Corporation Surface treatment method
JPH08264530A (en) 1995-03-20 1996-10-11 Fujitsu Ltd Method and system for fabricating semiconductor device
DE19514081A1 (en) 1995-04-13 1996-10-17 Siemens Ag Method of making electrical contact on a SiC surface
US5744254A (en) 1995-05-24 1998-04-28 Virginia Tech Intellectual Properties, Inc. Composite materials including metallic matrix composite reinforcements
US5595784A (en) 1995-08-01 1997-01-21 Kaim; Robert Titanium nitride and multilayers formed by chemical vapor deposition of titanium halides
JPH0987857A (en) 1995-09-27 1997-03-31 Res Dev Corp Of Japan Carbide coating method by plasma cvd
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
KR0155918B1 (en) 1995-11-03 1998-12-01 김광호 Capacitor forming method of apparatus semiconductor use of a selective tungsten nitride thin film
US6509098B1 (en) 1995-11-17 2003-01-21 Massachusetts Institute Of Technology Poly(ethylene oxide) coated surfaces
US5672054A (en) 1995-12-07 1997-09-30 Carrier Corporation Rotary compressor with reduced lubrication sensitivity
US5946594A (en) 1996-01-02 1999-08-31 Micron Technology, Inc. Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants
US5965004A (en) 1996-03-13 1999-10-12 Sterling Pulp Chemicals, Ltd. Chlorine dioxide generation for water treatment
US5789024A (en) 1996-05-15 1998-08-04 New Jersey Institute Of Technology Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
FI100758B (en) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Methods to Form a Luminescence Layer of ZnS: Mn for Thin Film Electroluminescence Components
JP3353874B2 (en) 1996-09-24 2002-12-03 シャープ株式会社 Semiconductor device and manufacturing method thereof
EP0841690B1 (en) 1996-11-12 2006-03-01 Samsung Electronics Co., Ltd. Tungsten nitride (WNx) layer manufacturing method and metal wiring manufacturing method
TW320752B (en) 1996-11-18 1997-11-21 United Microelectronics Corp Metal gate electrode process
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (en) 1997-07-31 2000-10-16 윤종용 Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof
KR100261017B1 (en) 1997-08-19 2000-08-01 윤종용 Method for forming metal wiring of semiconductor device
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (en) 1997-10-01 2001-01-15 윤종용 Method and apparatus for fabricating semiconductor device
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (en) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Procedure for coating the inside of a plant
US6104074A (en) 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
KR100269328B1 (en) 1997-12-31 2000-10-16 윤종용 Method for forming conductive layer using atomic layer deposition process
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
KR100287174B1 (en) 1998-03-17 2001-04-16 윤종용 Method for synthesis of thin film of multi-element oxide and nitride
US6380627B1 (en) 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
DE19832245A1 (en) 1998-07-17 2000-01-20 Heidelberger Druckmasch Ag Procedure to operate image reproduction device, image data detection device, image data processing device, image output and transfer device
JP2960928B1 (en) 1998-07-24 1999-10-12 スタンレー電気株式会社 Signal lights for vehicles
KR100275738B1 (en) 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6727190B2 (en) 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
BR9917267B1 (en) 1999-02-11 2010-12-14 tungsten carbide coating process, coating and construction material obtained by such process.
DE10080457T1 (en) 1999-02-12 2001-04-26 Gelest Inc CVD deposition of tungsten nitride
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR20000060438A (en) 1999-03-16 2000-10-16 이경수 Method for forming aluminum oxide films
KR100273473B1 (en) 1999-04-06 2000-11-15 이경수 Method for forming a thin film
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6613383B1 (en) 1999-06-21 2003-09-02 Regents Of The University Of Colorado Atomic layer controlled deposition on particle surfaces
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
AU1088401A (en) 1999-10-15 2001-04-30 Asm Microchemistry Oy Deposition of transition metal carbides
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
EP1221178A1 (en) 1999-10-15 2002-07-10 ASM America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
EP1221177B1 (en) 1999-10-15 2006-05-31 Asm International N.V. Conformal lining layers for damascene metallization
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI20000099A0 (en) 2000-01-18 2000-01-18 Asm Microchemistry Ltd A method for growing thin metal films
KR20010075977A (en) 2000-01-21 2001-08-11 윤덕용 Method for Growth of Hexagonal MN Thin Films Using Single-Source Precursors
EP1266054B1 (en) 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
US6271136B1 (en) * 2000-04-04 2001-08-07 Taiwan Semiconductor Manufacturing Company Multi-step plasma process for forming TiSiN barrier
DE10018156A1 (en) 2000-04-12 2001-10-25 Bosch Gmbh Robert Electric motor
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6482740B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH
US6194310B1 (en) 2000-06-01 2001-02-27 Sharp Laboratories Of America, Inc. Method of forming amorphous conducting diffusion barriers
US6713177B2 (en) 2000-06-21 2004-03-30 Regents Of The University Of Colorado Insulating and functionalizing fine metal-containing particles with conformal ultra-thin films
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
FI109770B (en) 2001-03-16 2002-10-15 Asm Microchemistry Oy Growing transition metal nitride thin films by using compound having hydrocarbon, amino or silyl group bound to nitrogen as nitrogen source material
WO2002090614A1 (en) 2001-03-20 2002-11-14 Mattson Technology, Inc. Method for depositing a coating having a relatively high dielectric constant onto a substrate
KR100755636B1 (en) * 2001-06-29 2007-09-04 매그나칩 반도체 유한회사 Method of manufacturing semiconductor device
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20040206008A1 (en) 2001-07-16 2004-10-21 Chien-Min Sung SiCN compositions and methods
EP1421606A4 (en) 2001-08-06 2008-03-05 Genitech Co Ltd Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
JP4938962B2 (en) 2001-09-14 2012-05-23 エーエスエム インターナショナル エヌ.ヴェー. Metal nitride deposition by ALD using gettering reactant
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
KR20030057938A (en) 2001-12-29 2003-07-07 주식회사 하이닉스반도체 Method for manufacturing silicon nitride layer of semiconductor device
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
KR20030093575A (en) 2002-06-03 2003-12-11 주식회사 하이닉스반도체 Method for fabricating capacitor using high selectivity nitride
US7045406B2 (en) 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
KR20040060402A (en) 2002-12-30 2004-07-06 주식회사 하이닉스반도체 A method for forming a contact of a semiconductor device
KR20030016346A (en) 2003-01-29 2003-02-26 윤태식 Automatic menagement system for car running
US7198820B2 (en) 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
KR100511913B1 (en) 2003-03-06 2005-09-02 주식회사 하이닉스반도체 The method for forming bitline in semiconductor device
KR100494129B1 (en) 2003-03-06 2005-06-10 주식회사 하이닉스반도체 The method for forming electrode in semiconductor device
US7071086B2 (en) * 2003-04-23 2006-07-04 Advanced Micro Devices, Inc. Method of forming a metal gate structure with tuning of work function by silicon incorporation
KR20040100767A (en) 2003-05-24 2004-12-02 주식회사 하이닉스반도체 method for forming low pressure-silicon nitride layer
US20040238876A1 (en) 2003-05-29 2004-12-02 Sunpil Youn Semiconductor structure having low resistance and method of manufacturing same
US6830998B1 (en) * 2003-06-17 2004-12-14 Advanced Micro Devices, Inc. Gate dielectric quality for replacement metal gate transistors
WO2004113585A2 (en) 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
US6861350B1 (en) * 2003-06-19 2005-03-01 Advanced Micro Devices, Inc. Method of manufacturing semiconductor device comprising silicon-rich tasin metal gate electrode
KR100543517B1 (en) 2003-06-23 2006-01-20 주식회사 포스코 Telemeter system of water process apparatus
US7030430B2 (en) 2003-08-15 2006-04-18 Intel Corporation Transition metal alloys for use as a gate electrode and devices incorporating these alloys
US7105430B2 (en) 2004-03-26 2006-09-12 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a notched control electrode and structure thereof
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
KR100714269B1 (en) 2004-10-14 2007-05-02 삼성전자주식회사 Method for forming metal layer used the manufacturing semiconductor device
US7015153B1 (en) 2004-10-20 2006-03-21 Freescale Semiconductor, Inc. Method for forming a layer using a purging gas in a semiconductor process
KR100640550B1 (en) 2005-01-26 2006-10-31 주식회사 아이피에스 a method for depositing thin film using ALD
US7438949B2 (en) 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US8486845B2 (en) 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
US20070054046A1 (en) 2005-09-06 2007-03-08 Tokyo Electron Limited Method of forming a tantalum-containing layer from a metalorganic precursor
MY158548A (en) 2005-09-29 2016-10-14 Praxair Technology Inc Organometallic compounds and methods of use thereof
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7407876B2 (en) 2006-03-20 2008-08-05 Tokyo Electron Limited Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
US8268409B2 (en) 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7794798B2 (en) * 2007-09-29 2010-09-14 Tel Epion Inc. Method for depositing films using gas cluster ion beam processing
JP5551681B2 (en) 2008-04-16 2014-07-16 エーエスエム アメリカ インコーポレイテッド Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
JP2013026466A (en) 2011-07-21 2013-02-04 Panasonic Corp Semiconductor device and manufacturing method thereof
US20130078454A1 (en) 2011-09-23 2013-03-28 Applied Materials, Inc. Metal-Aluminum Alloy Films From Metal Amidinate Precursors And Aluminum Precursors
US8846550B1 (en) * 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films

Cited By (454)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10043655B2 (en) 2010-04-15 2018-08-07 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US10043657B2 (en) 2010-04-15 2018-08-07 Lam Research Corporation Plasma assisted atomic layer deposition metal oxide for patterning applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9570290B2 (en) 2010-04-15 2017-02-14 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US11011379B2 (en) 2010-04-15 2021-05-18 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9673041B2 (en) 2010-04-15 2017-06-06 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for patterning applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9570274B2 (en) 2010-04-15 2017-02-14 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US10559468B2 (en) 2010-04-15 2020-02-11 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9793110B2 (en) 2010-04-15 2017-10-17 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US11133180B2 (en) 2010-04-15 2021-09-28 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US10361076B2 (en) 2010-04-15 2019-07-23 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US9786570B2 (en) 2012-11-08 2017-10-10 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US10741458B2 (en) 2012-11-08 2020-08-11 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US10008428B2 (en) 2012-11-08 2018-06-26 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US20160064211A1 (en) * 2014-02-18 2016-03-03 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10366894B2 (en) * 2014-03-28 2019-07-30 Kokusai Electric Corporation Method of manufacturing semiconductor device, substrate processing device, and recording medium
US20160196978A1 (en) * 2014-03-28 2016-07-07 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing device, and recording medium
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US20160118261A1 (en) * 2014-10-23 2016-04-28 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US10636889B2 (en) * 2014-10-23 2020-04-28 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US11139383B2 (en) * 2014-10-23 2021-10-05 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US10002936B2 (en) * 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9875891B2 (en) 2014-11-24 2018-01-23 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US20170110324A1 (en) * 2015-10-20 2017-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition methods and structures thereof
US20170110552A1 (en) * 2015-10-20 2017-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition methods and structures thereof
TWI618122B (en) * 2015-10-20 2018-03-11 台灣積體電路製造股份有限公司 Method of semicondutor device fabrication and process system thereof
US9972694B2 (en) * 2015-10-20 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition methods and structures thereof
US9978601B2 (en) * 2015-10-20 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for pre-deposition treatment of a work-function metal layer
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US20170306479A1 (en) * 2016-04-21 2017-10-26 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) * 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10373806B2 (en) 2016-06-30 2019-08-06 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10957514B2 (en) 2016-06-30 2021-03-23 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10679848B2 (en) 2016-07-01 2020-06-09 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US12000042B2 (en) 2016-12-15 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US12043899B2 (en) 2017-01-10 2024-07-23 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US12033861B2 (en) 2017-10-05 2024-07-09 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US12040184B2 (en) 2017-10-30 2024-07-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) * 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US20190221433A1 (en) * 2018-01-16 2019-07-18 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US12020938B2 (en) 2018-03-27 2024-06-25 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110923659A (en) * 2018-09-20 2020-03-27 东京毅力科创株式会社 Film forming method and substrate processing system
US20220208984A1 (en) * 2018-09-28 2022-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Capping Layers in Metal Gates of Transistors
CN110970303A (en) * 2018-09-28 2020-04-07 台湾积体电路制造股份有限公司 Semiconductor device and method of forming the same
US11282938B2 (en) * 2018-09-28 2022-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Capping layers in metal gates of transistors
TWI782234B (en) * 2018-09-28 2022-11-01 台灣積體電路製造股份有限公司 Semiconductor devices and methods for forming the same
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
WO2020076710A1 (en) * 2018-10-08 2020-04-16 Applied Materials, Inc. Methods and apparatus for n-type metal oxide semiconductor (nmos) metal gate materials using atomic layer deposition (ald) processes with metal based precursors
US11075276B2 (en) 2018-10-08 2021-07-27 Applied Materials, Inc. Methods and apparatus for n-type metal oxide semiconductor (NMOS) metal gate materials using atomic layer deposition (ALD) processes with metal based precursors
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11424132B2 (en) * 2018-11-03 2022-08-23 Applied Materials, Inc. Methods and apparatus for controlling contact resistance in cobalt-titanium structures
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US12040181B2 (en) 2019-05-01 2024-07-16 Lam Research Corporation Modulated atomic layer deposition
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11996304B2 (en) 2019-07-16 2024-05-28 Asm Ip Holding B.V. Substrate processing device
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US12040229B2 (en) 2019-08-22 2024-07-16 Asm Ip Holding B.V. Method for forming a structure with a hole
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US12033849B2 (en) 2019-08-23 2024-07-09 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by PEALD using bis(diethylamino)silane
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US12033885B2 (en) 2020-01-06 2024-07-09 Asm Ip Holding B.V. Channeled lift pin
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US12087586B2 (en) 2020-04-15 2024-09-10 Asm Ip Holding B.V. Method of forming chromium nitride layer and structure including the chromium nitride layer
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US12051602B2 (en) 2020-05-04 2024-07-30 Asm Ip Holding B.V. Substrate processing system for processing substrates with an electronics module located behind a door in a front wall of the substrate processing system
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US12057314B2 (en) 2020-05-15 2024-08-06 Asm Ip Holding B.V. Methods for silicon germanium uniformity control using multiple precursors
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US12055863B2 (en) 2020-07-17 2024-08-06 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US12074022B2 (en) 2020-08-27 2024-08-27 Asm Ip Holding B.V. Method and system for forming patterned structures using multiple patterning process
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US12051567B2 (en) 2020-10-07 2024-07-30 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including gas supply unit
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US12027365B2 (en) 2020-11-24 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024047035A1 (en) 2022-09-01 2024-03-07 Geomar Helmholtz-Zentrum Für Ozeanforschung Kiel - Stiftung Des Öffentlichen Rechts Diagnostic method for prostate cancer

Also Published As

Publication number Publication date
TWI564944B (en) 2017-01-01
CN104051250A (en) 2014-09-17
TW201501186A (en) 2015-01-01
KR20140113341A (en) 2014-09-24
US8846550B1 (en) 2014-09-30
US20140295673A1 (en) 2014-10-02
CN104051250B (en) 2017-12-22
TW201701333A (en) 2017-01-01
US9111749B2 (en) 2015-08-18
KR101907193B1 (en) 2018-12-07
TWI556294B (en) 2016-11-01

Similar Documents

Publication Publication Date Title
US9111749B2 (en) Silane or borane treatment of metal thin films
US9583348B2 (en) Silane and borane treatments for titanium carbide films
US11139383B2 (en) Titanium aluminum and tantalum aluminum thin films
US10297444B2 (en) Enhanced thin film deposition
US7611751B2 (en) Vapor deposition of metal carbide films
US9981286B2 (en) Selective formation of metal silicides

Legal Events

Date Code Title Description
AS Assignment

Owner name: ASM IP HOLDING B.V., NETHERLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SHERO, ERIC;HAUKKA, SUVI;SIGNING DATES FROM 20130603 TO 20130604;REEL/FRAME:030542/0096

STCF Information on status: patent grant

Free format text: PATENTED CASE

CC Certificate of correction
MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551)

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8