KR100790779B1 - Method of depositing dielectric layer with increased gap-fill ability - Google Patents
Method of depositing dielectric layer with increased gap-fill ability Download PDFInfo
- Publication number
- KR100790779B1 KR100790779B1 KR1020060051936A KR20060051936A KR100790779B1 KR 100790779 B1 KR100790779 B1 KR 100790779B1 KR 1020060051936 A KR1020060051936 A KR 1020060051936A KR 20060051936 A KR20060051936 A KR 20060051936A KR 100790779 B1 KR100790779 B1 KR 100790779B1
- Authority
- KR
- South Korea
- Prior art keywords
- gas
- plasma
- reactor
- insulating film
- source gas
- Prior art date
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
- H01L21/762—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
- H01L21/76224—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/513—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76837—Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Formation Of Insulating Films (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
갭 필(gap fill) 능력이 향상된 절연막 증착 방법을 제공한다. 본 발명에 따른 절연막 증착 방법에서는, 플라즈마를 이용하여 원료 가스를 활성화시켜 절연막을 증착하는 데 있어서 플라즈마의 밀도를 변경시키도록 한다. 증착 초기에는 낮은 플라즈마 밀도로 증착시켜 낮은 증착 속도를 가지는 반면 갭 필 능력을 증가시켜 STI(Shallow Trench Isolation) 공정에서의 트렌치와 같은 골을 보이드(void)없이 채울 수 있도록 한다. 골이 어느 정도 채워지면 연속적으로 플라즈마 밀도를 증가시켜 증착 속도를 확보한다. An insulating film deposition method having improved gap fill capability is provided. In the insulating film deposition method according to the present invention, the density of the plasma is changed in depositing the insulating film by activating the source gas using the plasma. In the early stages of deposition, deposition at low plasma densities allows for low deposition rates while increasing gap fill capability to fill voids such as trenches in shallow trench isolation (STI) processes without voids. Once the bone is filled to some extent, the plasma density is continuously increased to ensure deposition rate.
Description
도 1은 본 발명의 일 실시예에 따른 절연막 증착 방법을 수행하기 위한 박막 증착 장치의 개략도이다.1 is a schematic diagram of a thin film deposition apparatus for performing an insulating film deposition method according to an embodiment of the present invention.
도 2a 내지 도 2c는 본 발명에 따른 절연막 증착 방법에서 플라즈마 밀도 변화를 보여주는 그래프들이다.2A to 2C are graphs showing plasma density changes in the insulating film deposition method according to the present invention.
도 3a 내지 도 3c는 본 발명에 따른 절연막 증착 방법에서 원료 가스인 소스 가스와 반응 가스의 공급을 보여주는 그래프들이다. 3A to 3C are graphs illustrating supply of a source gas and a reactant gas, which are source gases, in the insulating film deposition method according to the present invention.
도 4는 도 1의 장치에서 샤워헤드의 세부 구조를 도시한다.4 shows a detailed structure of a showerhead in the apparatus of FIG. 1.
도 5는 본 발명의 다른 실시예에 따른 절연막 증착 방법을 수행하기 위한 박막 증착 장치의 개략도이다.5 is a schematic diagram of a thin film deposition apparatus for performing an insulating film deposition method according to another embodiment of the present invention.
도 6a 내지 도 6d는 본 발명에 따른 절연막 증착 방법이 적용될 수 있는 STI 공정을 순차적으로 보여주는 단면도들이다. 6A through 6D are cross-sectional views sequentially illustrating an STI process to which an insulating film deposition method according to the present invention may be applied.
도 7a 내지 도 7c는 본 발명에 따른 절연막 증착 방법이 적용될 수 있는 금속 배선을 형성하기 전의 절연막(pre-metal layer) 공정을 순차적으로 보여주는 단면도들이다. 7A to 7C are cross-sectional views sequentially illustrating a pre-metal layer process before forming a metal line to which the insulating film deposition method according to the present invention can be applied.
도 8a 내지 도 8c는 본 발명에 따른 절연막 증착 방법이 적용될 수 있는 금 속간 절연막(Inter Metal Dielectric : IMD) 공정을 순차적으로 보여주는 단면도들이다. 8A to 8C are cross-sectional views sequentially illustrating an intermetal dielectric (IMD) process to which an insulating film deposition method according to the present invention may be applied.
<도면의 주요 부분에 대한 부호의 설명><Explanation of symbols for the main parts of the drawings>
1, 1'...박막 증착 장치 10...반응기1, 1 '... thin
11, 11'...샤워헤드 12...웨이퍼 블록11, 11 '
22, 22a, 22b...가스 라인 24...바이패스 라인22, 22a, 22b
30...RF 전원 50...제1 플레이트30 ...
55...라디칼 분사관 60...플라즈마 발생홀55 ...
65...제2 플레이트 70...관통홀65 ...
75...소스 가스 분사홀 120...실리콘 산화막75 Source
120a...STI 275...프리 메탈 레이어 120a ... STI 275 ... free metal layer
290, 310, 330...금속 배선 320...IMD막290, 310, 330
본 발명은 절연막 증착 방법에 관한 것으로, 보다 상세하게는 갭 필(gap fill) 능력이 향상된 절연막 증착 방법에 관한 것이다. The present invention relates to an insulating film deposition method, and more particularly to an insulating film deposition method with improved gap fill (gap fill) capability.
DRAM과 같은 반도체 소자의 집적도가 급속도로 증가되면서 반도체 소자의 동작 속도 증가가 요구되고 있으며, 현 반도체 소자의 상업적 측면에서 저전력 특성 역시 크게 증가하고 있다. 이러한 요구에 대응하기 위해서 반도체 소자의 크기는 지속적으로 감소되어야 한다. As the integration density of semiconductor devices such as DRAM is rapidly increasing, the operation speed of the semiconductor devices is required to increase, and the low power characteristics of the current semiconductor devices are also greatly increased. In order to meet these demands, the size of semiconductor devices must be continuously reduced.
반도체 소자의 크기 감소에 따라 소자 특성 역시 향상되어야 하지만, 물리적인 공정 한계로 인해 많은 문제점을 유발하고 있다. 특히 현 STI(Shallow Trench Isolation) 공정에서는 소자 크기의 감소에 따라 트렌치의 종횡비(aspect ratio)가 증가하면서 이에 따른 절연막의 갭 필 능력, 다른 말로 골 채움 능력 감소 문제가 대두되고 있으며, 갭 필 마진(margin) 부족에 의한 보이드(void)가 증가하고 있다. 이러한 보이드는 게이트 브릿지(bridge)를 유발시켜 문제가 된다. 이러한 갭 필 능력 감소는 STI 공정 이외에 금속 배선 사이의 골을 채워야 되는 금속간 절연막(Inter Metal Dielectric : IMD) 공정 등에서도 문제가 된다.As the size of a semiconductor device decreases, device characteristics must also be improved, but physical process limitations cause many problems. In particular, in the current shallow trench isolation (STI) process, as the aspect ratio of the trench increases as the device size decreases, the gap fill capability of the insulation layer, or in other words, the decrease in the filling ability of the insulating layer, is raised. The void due to lack of margin is increasing. Such voids cause problems by causing gate bridges. Such a gap peel capability is also a problem in the intermetal dielectric (IMD) process, etc., in addition to the STI process, which fills the valleys between the metal wires.
종래 높은 종횡비를 갖는 트렌치나 골을 보이드없이 채우는 방법으로는 HDP(High Density Plasma) CVD와 SA(Sub-Atmospheric) CVD 방식이 있다. 미국 특허 제6,905,940호는 SACVD 방식의 갭 필 방법을 소개하고 있는데, 반응기에 연속적으로 Si 소스가 공급되지만 Si 소스량을 점차적으로 변화(증가)시켜서 갭 필 능력을 증가시킨다. 초기의 공정은 비교적 적은 양의 Si 소스를 반응기에 보낸다. Si 소스량이 적어 증착 속도는 느리지만 실리콘 산화막이 골에 균일하게 증착된다. 이후에는 Si 소스량을 점진적으로 증가시키어 증착 속도를 높인다.Conventionally, high-density trenches or valleys without voids are filled with HDP (High Density Plasma) CVD and Sub-Atmospheric (SA) CVD. U. S. Patent No. 6,905, 940 introduces a SACVD gap fill method, in which a Si source is continuously supplied to the reactor, but the amount of Si source is gradually changed (increased) to increase the gap fill capability. The initial process sends a relatively small amount of Si source to the reactor. Although the deposition rate is slow due to the small amount of Si source, the silicon oxide film is uniformly deposited on the valleys. Thereafter, the amount of Si source is gradually increased to increase the deposition rate.
이러한 SACVD에 의한 갭 필 방법에서는 보이드가 없고 막질의 특성을 좋게 하기 위하여 500℃ 이상의 높은 온도와 600 torr 이상의 높은 압력 조건을 사용한다. 이 때문에 증착 속도는 현저히 떨어지며, 반응기 내부에 부산물이 증가하고 반응기 내부의 부품 수명이 단축되는 단점이 있다.In the gap fill method by SACVD, high temperature of 500 ° C. or higher and high pressure of 600 torr or more are used to improve void quality and improve film quality. Because of this, the deposition rate is significantly reduced, there is a disadvantage that the by-products increase in the reactor and the component life in the reactor is shortened.
본 발명이 이루고자 하는 기술적 과제는 갭 필 능력이 향상된 절연막 증착 방법을 제공하는 것이다. The technical problem to be achieved by the present invention is to provide an insulating film deposition method with improved gap fill capability.
상기 기술적 과제를 달성하기 위한 본 발명에 따른 절연막 증착 방법은, 소스 가스와 반응 가스를 반응기 내로 공급하고 플라즈마를 이용하여 상기 반응기 내에 안착된 웨이퍼 상에 절연막을 증착하는 방법에 있어서, 상기 플라즈마의 밀도를 변화시키면서 상기 절연막을 증착하는 것이다.In the insulating film deposition method according to the present invention for achieving the above technical problem, in the method for supplying a source gas and a reaction gas into the reactor and depositing the insulating film on a wafer seated in the reactor using a plasma, the density of the plasma While depositing the insulating film.
이와 같이, 본 발명은 절연막을 증착시키는 데 있어서, 플라즈마의 밀도를 연속적으로 변경시키도록 한다. 플라즈마를 이용하면 원료 가스를 활성화시켜 저온에서도 박막을 증착시킬 수 있다. 증착 초기에는 낮은 플라즈마 밀도로 증착시켜 낮은 증착 속도를 가지는 반면 갭 필 능력을 증가시킨다. 이 때 가스를 싸이클 방식으로 주입시켜 갭 필 능력을 향상시키고, 웨이퍼가 안착되는 부분에 바이어스를 인가하여 그 능력을 더욱 향상시킬 수 있다. 이 바이어스 파워를 연속적으로 변화시킬 수도 있다.As described above, the present invention allows the density of the plasma to be continuously changed in depositing the insulating film. Plasma enables the deposition of thin films at low temperatures by activating the source gas. Initially, deposition at low plasma density results in lower deposition rates while increasing gap fill capability. At this time, the gas can be injected in a cycle manner to improve the gap fill capability, and a bias can be applied to a portion where the wafer is seated to further improve the capability. This bias power can also be changed continuously.
웨이퍼 상에는 이미 여러 공정들이 진행되어 골이나 트렌치가 형성되어 있을 수 있다. 낮은 플라즈마 밀도 하에서의 절연막 증착으로 이러한 골이나 트렌치가 어느 정도 채워지면, 연속적으로 플라즈마 밀도를 증가시켜 증착 속도를 증가시킨다. Several processes may already be performed on the wafer to form valleys or trenches. If such valleys or trenches are to some extent filled with insulating film deposition under a low plasma density, the plasma density is continuously increased to increase the deposition rate.
플라즈마의 밀도는 인가되는 RF 전원의 파워를 변형시키거나, 가스량을 변화 시키거나, 또는 반응기 내부의 압력을 변화시킴으로도 가능하다. 상기 RF 전원의 파워는 계단식(stepwise) 또는 선형식(linear)으로 변화시킬 수가 있다. 플라즈마는 라디칼(radical) 형성이 쉽고 플라즈마에 의한 반응기 및 웨이퍼의 손상을 없앨 수 있도록 샤워헤드 내부에 형성시키는 것이 바람직하다. 플라즈마를 반응기 내에서 직접 형성할 경우에는 플라즈마 발생 초기의 아크(arc) 발생과 이온충돌(ion bombarding) 및 이온주입(ion implantation)에 의한 웨이퍼 및, 웨이퍼에 형성된 회로소자에 손상을 초래하여 수율을 저하시킬 염려가 있기 때문이다. 그러나, 이러한 염려가 없는 경우 또는 저감시킬 수 있는 경우라면, 물론 플라즈마를 반응기 내부에서 발생시킬 수도 있다. The density of the plasma can also be changed by varying the power of the applied RF power supply, changing the amount of gas, or changing the pressure inside the reactor. The power of the RF power source can be changed stepwise or linearly. Plasma is preferably formed inside the showerhead to facilitate radical formation and eliminate damage to the reactor and wafer by the plasma. When plasma is directly formed in a reactor, the yield is increased by causing damage to the wafer and circuit elements formed on the wafer by arc generation, ion bombarding, and ion implantation in the early stage of plasma generation. This is because there is a risk of lowering. However, if there is no such concern or if it can be reduced, of course, plasma may be generated inside the reactor.
상기 절연막은 실리콘 산화막이고 소스 가스는 Si를 포함하는 가스, 반응 가스는 산화 가스이며, 상기 Si를 포함하는 가스는 TEOS(tetra ethyl ortho silicate)이고 상기 산화 가스는 산소 또는 N2O일 수 있다. 대신에, 상기 Si를 포함하는 가스는 실란, 트리메틸실란, 테트라메틸실란, 디메틸실란, 테트라메틸싸이클로테트라실록산 및 그 조합으로 이루어진 군으로부터 선택된 어느 하나이고, 상기 산화 가스는 오존, 산소, 스팀, 이산화질소 및 그 조합으로 이루어진 군으로부터 선택된 어느 하나일 수 있다. 상기 소스 가스의 흐름이 안정되도록, 상기 소스 가스가 상기 반응기에 들어오기 전 일정 시간 바이패스(bypass)시킬 수 있다. The insulating film may be a silicon oxide film, a source gas may include Si, a reactive gas may be an oxidizing gas, the gas containing Si may be tetra ethyl ortho silicate (TEOS), and the oxidizing gas may be oxygen or N 2 O. Instead, the gas containing Si is any one selected from the group consisting of silane, trimethylsilane, tetramethylsilane, dimethylsilane, tetramethylcyclotetrasiloxane and combinations thereof, wherein the oxidizing gas is ozone, oxygen, steam, nitrogen dioxide. And combinations thereof. In order to stabilize the flow of the source gas, the source gas may be bypassed for a predetermined time before entering the reactor.
본 발명의 바람직한 일 실시예에서는 플라즈마를 샤워헤드 내부에 발생케 하고, 소스 가스와 반응 가스를 상기 샤워헤드에서는 분리하여 반응기 내부에서 비로 소 만나도록 한다. 반응 가스는 샤워헤드 내부에 인가되는 RF 전원의 파워로 플라즈마를 형성하여 라디칼 형태로 반응기 내로 분사되며, 소스 가스는 반응 가스와 분리되어 플라즈마 생성없이 반응기로 분사된다. 반응 속도를 조정할 수 있도록 샤워헤드에 인가되는 RF 전원의 파워를 시간이 지남에 따라 변화시킨다. In a preferred embodiment of the present invention, the plasma is generated inside the showerhead, and the source gas and the reactant gas are separated from the showerhead so as to meet in rain within the reactor. The reaction gas is injected into the reactor in the form of radicals by forming a plasma with the power of the RF power applied inside the shower head, and the source gas is separated from the reaction gas and injected into the reactor without generating plasma. The power of the RF power applied to the showerhead is changed over time so that the reaction rate can be adjusted.
샤워헤드와 웨이퍼가 놓이는 부분의 간격은 공정 중 변화시켜 증착 속도 및 갭 필 능력을 변화시킬 수 있다. 샤워헤드와 웨이퍼가 놓이는 부분의 간격이 멀면 증착 속도는 감소되나 갭 필 능력이 증가된다. 따라서, 증착 초기에는 갭 필을 우선적으로 진행하기 위하여 샤워헤드와 웨이퍼가 놓이는 부분의 간격을 멀게 하고 어느 정도 갭 필이 이루어지면 그 간격을 좁게 하여 증착 속도를 확보한다.The spacing between the showerhead and the wafer can be changed during the process to change the deposition rate and gap fill capability. If the spacing between the showerhead and the wafer is farther apart, the deposition rate is reduced but the gap fill capability is increased. Therefore, in order to advance the gap fill at the initial stage of deposition, the gap between the showerhead and the portion on which the wafer is placed is increased, and when the gap fill is made to some extent, the gap is narrowed to secure the deposition rate.
본 발명에 따른 절연막 증착 공정은 STI(Shallow Trench Isolation) 공정에 사용되는 절연막, 금속 배선을 형성하기 전의 절연막(pre-metal layer), 또는 금속간 절연막(Inter Metal Dielectric, IMD 막) 증착에 적용될 수 있다. The insulating film deposition process according to the present invention can be applied to the deposition of the insulating film used in the shallow trench isolation (STI) process, the pre-metal layer before forming the metal wiring, or the intermetal dielectric (IMD film). have.
이와 같이, 플라즈마 밀도 변경에 따른 방법으로 제조되는 절연막은 여러 가지 장점을 가지게 되는데, 플라즈마가 샤워헤드에서 발생하여 라디칼 형태로 반응기에 입력되어 웨이퍼나 반응기에 플라즈마에 의한 손상을 막을 수 있고, 파티클의 성장을 억제할 수 있다. 높은 갭 필 능력과 함께 높은 증착 속도를 가지며, 안정된 절연막을 증착할 수 있다. As described above, the insulating film manufactured by the method according to the plasma density change has various advantages. Plasma is generated in the showerhead and input into the reactor in the form of radicals to prevent damage to the wafer or the reactor by the plasma. Growth can be inhibited. It has a high deposition rate with a high gap fill capability and can deposit a stable insulating film.
이하 첨부된 도면들을 참조하여 본 발명을 더욱 상세히 설명하고자 한다. 다음에 설명되는 실시예는 여러 가지 다른 형태로 변형될 수 있으며, 본 발명의 범위가 아래에서 상술되는 실시예에 한정되는 것은 아니다. 본 발명의 실시예는 당 업계에서 평균적인 지식을 가진 자에게 본 발명을 보다 완전하게 설명하기 위해서 제공되는 것이다. 본 발명의 실시예를 설명하는 도면에 있어서, 도면상의 동일한 부호는 동일한 요소를 지칭한다. Hereinafter, the present invention will be described in more detail with reference to the accompanying drawings. The embodiments described below may be modified in many different forms, and the scope of the present invention is not limited to the embodiments described below. The embodiments of the present invention are provided to more completely explain the present invention to those skilled in the art. In the drawings illustrating embodiments of the present invention, like numerals in the drawings refer to like elements.
제1 실시예First embodiment
도 1은 본 발명의 일 실시예에 따른 증착 방법을 수행하기 위한 박막 증착 장치의 개략도이다.1 is a schematic diagram of a thin film deposition apparatus for performing a deposition method according to an embodiment of the present invention.
도 1을 참조하면, 박막 증착 장치(1)는 내부공간을 가지는 반응기(10)와, 상기 반응기(10)의 내부공간에 승강 가능하게 설치되며 웨이퍼(W)가 배치되는 웨이퍼 블럭(12)과, 상기 웨이퍼 블럭(12)에 배치된 웨이퍼(W)에 박막이 형성되도록 원료 가스인 소스 가스와 반응 가스를 반응기(10) 내부로 분사하는 샤워헤드(11)를 구비한다. Referring to FIG. 1, the thin
박막 증착 장치(1)는 반도체용 실리콘 웨이퍼, 또는 LCD용 유리 기판 등과 같은 웨이퍼(W) 상에 절연막을 증착하기 위한 것으로, 가스 라인(22a, 22b)을 통해 반응기(10)로 소스 가스와 반응 가스를 공급하는 가스 공급 장치(20)도 포함한다. 본 실시예에서는 소스 가스와 반응 가스의 분리를 위해 가스 라인도 두 개로 분리하여 구성한 예를 든다. 샤워헤드(11)에는 RF 전원(30)이 연결되어 있어 샤워헤드(11) 내부에서 플라즈마가 형성되도록 한다. 참조부호 "24"는 바이패스(bypass) 라인으로서, 반응기(10) 내부를 비우는 펌프(P)에 연결되도록 구성한다. The thin
이러한 박막 증착 장치(1)를 이용하여 본 발명에 따른 절연막을 증착하는 방법을 설명하면 다음과 같다.The method of depositing an insulating film according to the present invention using the thin
먼저, 박막 증착 장치(1)의 웨이퍼 블록(12) 상에 웨이퍼(W)를 로딩한 다음, 소스 가스와 반응 가스를 공급하여 웨이퍼(W) 상에 절연막을 증착한다. 이 때, 소스 가스는 Si를 포함하는 가스를 이용하고 반응 가스는 산화 가스를 이용하여 절연막으로서 실리콘 산화막을 증착할 수가 있다. 소스 가스와 반응 가스는 Ar과 같은 캐리어 가스와 함께 공급될 수 있다. 캐리어 가스는 Ar 이외에 N2나 He, 또는 이들의 조합으로 이루어질 수도 있다. 소스 가스의 흐름이 안정되도록, 소스 가스가 반응기(10)에 들어오기 전 일정 시간 바이패스 라인(24)을 통해 바이패스시킬 수 있다. First, the wafer W is loaded onto the
소스 가스로써 Si를 포함하는 가스는 TEOS(tetra ethyl ortho silicate)를 이용할 수 있고, 반응 가스로써 산화 가스는 산소(O2) 또는 N2O를 이용할 수 있다. 대신에, Si를 포함하는 가스로 실란, 트리메틸실란, 테트라메틸실란, 디메틸실란, 테트라메틸싸이클로테트라실록산 또는 그 조합을 이용할 수도 있는데, 이 때의 산화 가스로는 오존(O3), 산소, 스팀(steam, H2O), 이산화질소(NO2) 또는 그 조합을 이용할 수 있다. 필요에 따라서는 다른 종류의 소스 가소도 더 이용할 수 있다. The gas containing Si as a source gas may use tetra ethyl ortho silicate (TEOS), and the oxidizing gas may use oxygen (O 2 ) or N 2 O as a reaction gas. Instead, a gas containing Si may use silane, trimethylsilane, tetramethylsilane, dimethylsilane, tetramethylcyclotetrasiloxane, or a combination thereof. In this case, as the oxidizing gas, ozone (O 3 ), oxygen, steam ( steam, H 2 O), nitrogen dioxide (NO 2 ) or combinations thereof may be used. If necessary, other kinds of source plasticization may be further used.
본 발명에 따른 절연막 증착 방법에서는, 샤워헤드(11) 내부에 발생되는 플라즈마의 밀도를 변화시키면서 절연막을 증착하는 것이 큰 특징이다. 바람직하게는, 초기에는 플라즈마의 밀도를 낮추어 절연막의 갭 필 능력을 증가시켜 증착시키다가, 구조물과 구조물 사이의 골, 또는 인위적으로 형성한 트렌치가 어느 정도 채워지면 플라즈마의 밀도를 높여서 증착 속도를 확보한다. In the insulating film deposition method according to the present invention, the insulating film is deposited while changing the density of the plasma generated inside the
플라즈마의 밀도는 샤워헤드(11)에 연결된 RF 전원(30)의 파워를 변화시키거나, 소스 가스와 반응 가스량을 변화시키거나, 반응기(10) 내부의 압력을 변화시키거나, 혹은 이들의 조합을 변화시킴으로써 변화시킬 수가 있다. The density of the plasma may change the power of the
예를 들어, RF 전원(30)의 파워를 계단식으로 증가시켜 플라즈마의 밀도를 도 2a에 도시한 바와 같이 계단식으로 변화시킬 수 있다. 그리고, RF 전원(30)의 파워를 선형식으로 증가시켜 플라즈마의 밀도를 도 2b에 도시한 바와 같이 선형식으로 변화시킬 수 있다. 그러나, RF 전원(30)의 증가 방식이 반드시 이러한 계단식과 선형식에 한정되는 것은 아니고, 임의의 형식으로 증가시켜 도 2c에 도시한 바와 같은 플라즈마 밀도를 얻을 수도 있다. For example, the power of the
절연막 증착을 위한 소스 가스와 반응 가스는 도 3a에 도시한 바와 같이 연속적으로 반응기(10)로 공급할 수 있다. 여기서 "온"은 가스 라인(22a, 22b)의 밸브 개방 상태를 의미한다. The source gas and the reactant gas for insulating film deposition may be continuously supplied to the
그러나, 초기의 갭 필 능력을 더욱 향상시키기 위해, 플라즈마의 밀도를 낮추어 증착시키는 동안에는 소스 가스와 반응 가스를 도 3b에 도시한 바와 같은 싸이클 방식으로 공급할 수가 있다. 여기서 "오프"는 가스 라인(22a, 22b)의 밸브 폐쇄 상태를 가리킨다. 예를 들어, 소스 가스를 먼저 소정 시간 공급(소스 가스 온)가스의 공급을 중단(소스 가스 오프)한다. 다음으로 반응 가스를 소정 시간 공급(반응 가스 온)한 다음 반응 가스의 공급을 중단(반응 가스 오프)한다. 이러한 싸이클을 1 회 이상 반복한다. 또한, 도 3c에 도시한 바와 같이, 소스 가스는 지속적으로 공급하면서 반응 가스만 펄스(pulse) 형식(온과 오프의 반복)으로 공급할 수도 있다. However, in order to further improve the initial gap fill capability, the source gas and the reactant gas can be supplied in a cycle manner as shown in FIG. 3B during the deposition at a lower density of the plasma. Here, "off" refers to the valve closed state of the
초기의 갭 필 능력을 더욱 향상시키기 위해, 플라즈마의 밀도를 낮추어 증착시키는 동안에는 웨이퍼(W)가 안착되는 부분, 즉 웨이퍼 블록(12)에 바이어스를 인가할 수 있으며, 바이어스의 파워를 연속적으로 변화시킬 수 있다.To further improve the initial gap fill capability, a bias may be applied to the portion where the wafer W is seated, that is, the
또한, 샤워헤드(11)와 웨이퍼 블록(12) 사이의 간격을 변화시키며 절연막을 증착할 수도 있는데, 초기에는 간격을 멀게 하여 절연막의 갭 필 능력을 증가시켜 증착시키고, 일정 시간이 지나면 간격을 좁혀서 증착 속도를 확보한다. In addition, the insulating film may be deposited by changing the gap between the
앞에서 언급한 바와 같이, 본 실시예에서 플라즈마는 소스 가스와 반응 가스를 반응기(10) 내부로 분사시키는 샤워헤드(11) 내부에서 형성한다. 더욱 바람직하게, 소스 가스와 반응 가스를 샤워헤드(11)에서는 분리하고 반응기(10) 내부에서 만나도록 한다. 더욱 바람직하게, 반응 가스는 샤워헤드(11) 내부에서 플라즈마가 형성되어 라디칼 형태로 반응기(10) 내로 분사하며, 소스 가스는 반응 가스와 분리시켜 플라즈마 생성없이 반응기(10) 내로 분사한다.As mentioned above, in this embodiment, the plasma is formed inside the
도 4는 이를 위한 샤워헤드(11)의 세부 구조를 도시한다.4 shows a detailed structure of the
도 4에 도시한 바와 같이, 샤워헤드(11)의 외부에 RF 전원(30)이 설치되고, RF 전원(30)은 샤워헤드(11) 내의 제1 플레이트(50)에 연결된다. 이러한 제1 플레이트(50)에 의해 샤워헤드(11)의 내부에서 플라즈마가 발생된다. 제1 플레이트(50)에는 가스 라인(도 1의 22b)을 통해 공급되는 반응 가스의 라디칼을 고르게 분사하기 위한 다수의 라디칼 분사관(55)이 형성되어 있다. 제1 플레이트(50)에는 다수의 라디칼 분사관(55)에 대응하는 다수의 플라즈마 발생홀(60)도 설치되어 있 다. 플라즈마 발생홀(60)에서 발생된 플라즈마는 일정 길이를 갖는 라디칼 분사관(55)을 따라 반응기(도 1의 10) 내로 공급된다. As shown in FIG. 4, the
제1 플레이트(50)의 하부에는 소정의 간격을 두고 제2 플레이트(65)가 설치되어 있다. 제2 플레이트(65)에는 제1 플레이트(50)에 형성된 다수의 라디칼 분사관(55)이 관통하는 다수의 관통홀(70)이 형성되어 있을 뿐만 아니라, 가스 라인(도 1의 22a)을 통해 공급되는 소스 가스를 고르게 분사하기 위한 다수의 소스 가스 분사홀(75)도 형성되어 있다. The
이렇게 샤워헤드(11)를 구성하면, 가스 라인(22b)을 통해 공급된 반응 가스는 샤워헤드(11) 내부에서 플라즈마가 형성되어 라디칼 형태로 라디칼 분사관(55)을 거쳐 반응기(10) 내로 분사할 수 있으며, 가스 라인(22a)을 통해 공급되는 소스 가스는 반응 가스와 분리되어 플라즈마 생성없이 소스 가스 분사홀(75)을 통해 반응기(10) 내로 분사할 수 있다. 이러한 샤워헤드(11)의 구성은 한국 공개 특허 제2005-0087405호에 개시되어 있으며, 본 명세서에 참조로써 원용되어 통합된다. When the
이렇게 플라즈마를 샤워헤드(11) 내부에서 형성하면 라디칼 형성이 쉽고 플라즈마에 의한 반응기(10) 및 웨이퍼(W)의 손상을 없앨 수 있다. 또한, 소스 가스와 반응 가스를 샤워헤드(11) 내에서는 분리하고 비로소 반응기(10) 안에서 만나도록 함으로써, 샤워헤드(11) 안에서 가스들이 섞여 파티클을 발생시키는 문제를 방지할 수 있다. When the plasma is formed inside the
이상 설명한 바와 같은 방법으로, 초기에는 플라즈마의 밀도를 낮추어 절연막을 증착하고, 어느 정도 시간이 지난 후에는 플라즈마의 밀도를 증가시켜 절연막 을 증착하면, 초기에는 갭 필 능력을 향상시킬 수 있고 나중에는 증착 속도를 확보할 수 있다. 따라서 본 발명에 의하면, 높은 갭 필 능력과 함께 높은 증착 속도를 가지며 안정된 절연막을 증착할 수 있다. As described above, by lowering the density of the plasma at the beginning of the deposition of the insulating film, and after a certain time by increasing the density of the plasma to deposit the insulating film, the gap fill capability can be improved initially and later deposition Speed can be secured. Therefore, according to the present invention, it is possible to deposit a stable insulating film with a high gap fill capability and a high deposition rate.
제2 실시예Second embodiment
도 5는 본 발명의 다른 실시예에 따른 증착 방법을 수행하기 위한 박막 증착 장치의 개략도이다. 도 5에서 도 1과 동일한 구성 요소는 동일한 참조부호를 부여하고 설명은 생략한다. 5 is a schematic diagram of a thin film deposition apparatus for performing a deposition method according to another embodiment of the present invention. In FIG. 5, the same components as in FIG. 1 are denoted by the same reference numerals, and description thereof is omitted.
본 실시예는 플라즈마가 샤워헤드(11') 내부가 아닌, 반응기(10) 내부에서 형성된다는 점을 제외하고는 제1 실시예와 동일하다. This embodiment is the same as the first embodiment except that the plasma is formed inside the
도 5를 참조하면, 박막 증착 장치(1')는 내부공간을 가지는 반응기(10)와, 상기 반응기(10)의 내부공간에 승강 가능하게 설치되며 웨이퍼(W)가 배치되는 웨이퍼 블럭(12)과, 상기 웨이퍼 블럭(12)에 배치된 웨이퍼(W)에 박막이 형성되도록 소스 가스와 반응 가스를 반응기(10) 내부로 분사하는 샤워헤드(11')를 구비한다. 박막 증착 장치(1')는 가스 라인(22)을 통해 반응기(10)로 소스 가스와 반응 가스를 공급하는 가스 공급 장치(20)도 포함한다. 샤워헤드(11')에는 RF 전원(30)이 연결되어 있어 웨이퍼 블록(12)과의 사이에서 플라즈마가 형성되도록 한다. 참조부호 "24"는 바이패스 라인으로서, 반응기(10) 내부를 비우는 펌프(P)에 연결된다. Referring to FIG. 5, the thin
이러한 박막 증착 장치(1')를 이용하여 절연막을 증착하는 방법도 플라즈마를 샤워헤드(11') 내부가 아닌, 반응기(10) 내부에서 형성하여 증착한다는 점을 제외하고는 제1 실시예와 동일하다. The method of depositing an insulating film using the thin film deposition apparatus 1 'is also the same as that of the first embodiment except that the plasma is formed and deposited inside the
플라즈마를 반응기(10) 내부에서 형성할 경우에는 반응기(10) 및 웨이퍼(W)의 손상이 염려가 될 수 있으나, 이러한 염려가 없는 경우, 또는 저감시킬 수 있는 경우라면 본 실시예에서와 같이 플라즈마를 반응기(10) 내부에서 발생시켜도 된다.When the plasma is formed inside the
그밖에, 본 실시예에서 언급하지 않은 나머지 사항은 앞의 제1 실시예의 것을 그대로 원용할 수 있다. In addition, the remaining matters not mentioned in this embodiment can be used as they are in the first embodiment.
제3 실시예Third embodiment
도 6a 내지 도 6d는 본 발명에 따른 절연막 증착 방법이 적용될 수 있는 STI 공정을 보여준다. 6A to 6D show an STI process to which an insulating film deposition method according to the present invention can be applied.
먼저 도 6a를 참조하면, 실리콘 웨이퍼(100) 상에 열산화막(104)과 질화막(108)을 순차적으로 형성하여 패드 절연막(110)을 형성한다. 이어서 패드 절연막(110) 상에 포토레지스트(112)를 도포한다. 반사방지를 위하여, 패드 절연막(110) 상에 포토레지스트(112)를 도포하기 전에 유기 ARC(Anti Reflection Coating)(미도시)를 더 도포할 수도 있다. First, referring to FIG. 6A, a pad insulating layer 110 is formed by sequentially forming a thermal oxide film 104 and a nitride film 108 on a
열산화막(104)은 웨이퍼(100)와 질화막(108) 사이의 열팽창 계수 차이에서 오는 응력(stress)에 의해 결함이 발생하는 것을 방지하기 위해 형성하는 것으로, 100-300Å 정도 두께로 형성한다. 질화막(108)은 웨이퍼(100)의 필드영역을 식각할 때에 식각 마스크로 쓰이는 것으로, 추후에 행해지는 CMP(Chemical Mechanical Polishing) 단계의 평탄화 정지막으로 사용되기도 하며, 평탄화 공정에 의한 손상이 액티브영역에 가해지지 않도록 충분히 두꺼운 두께로 형성하는 것이 바람직하다. 예를 들어, 실리콘 질화물을 1800-2200Å 가량 두께로 증착하여 형성한다(그 러나, 유기 ARC를 형성한다면 더 낮게 증착하여도 됨). 증착방법은 통상적인 방법, 예컨대 CVD, SACVD, LPCVD(Low Pressure CVD) 또는 PECVD(Plasma Enhanced CVD)에 의할 수 있으며, 증착 소스로는 SiH2Cl2와 NH3를 이용할 수 있다. The thermal oxide film 104 is formed to prevent defects from occurring due to stresses resulting from the difference in thermal expansion coefficient between the
다음에 도 6b를 참조하면, 필드영역을 정의하기 위해 노광 및 현상 공정을 수행하여 포토레지스트 패턴(112a)을 형성한다. 이후, 포토레지스트 패턴(112a)을 식각 마스크로 하여 필드영역의 웨이퍼 상부면이 노출될 때까지 건식 식각 방법으로 상기 패드 절연막(110)을 패터닝한다. 즉, 액티브영역의 질화막(108)과 열산화막(104)은 남기고 필드영역의 질화막(108)과 열산화막(104)은 식각으로 제거한다. 이로써, 패터닝된 패드 절연막(110a)은 액티브영역 위에 남겨진 질화막 패턴(108a)과 열산화막 패턴(104a)으로 이루어진다. 상기 질화막(108)을 식각할 때에는 CF4, CHF3, C2F6, C4F8, CH2F2, CH3F, CH4, C2H2, C4F6 등과 같은 가스 또는 이들의 혼합가스를 사용할 수 있다. Next, referring to FIG. 6B, the
도 6c는 포토레지스트 패턴(112a)을 제거한 다음, 노출된 웨이퍼(100)를 건식 식각하여 액티브영역을 한정하는 트렌치(116)를 형성한 상태를 도시한다. 포토레지스트 패턴(112a)은 통상적인 방법, 예컨대 산소 플라즈마를 사용하여 에싱한 다음 유기 스트립으로 제거할 수 있다. FIG. 6C illustrates a state in which the
여기서의 트렌치(116)는 소자 축소에 따라 높은 종횡비를 가지는 것이다. 트렌치(116) 내부에 본 발명에 따른 절연막 증착 방법을 이용하여 실리콘 산화막(120)을 증착한다. 트렌치(116) 식각 계면 안정을 위하여 얇은 열산화막(미도 시)을 먼저 성장시킨 다음에 실리콘 산화막(120)을 증착하기도 한다. 증착 초기에는 플라즈마 밀도를 낮추어 갭 필 능력을 우선적으로 향상시켜 실리콘 산화막(120)을 증착한다(넓은 간격 점선 표시 참조). 그런 다음에는 플라즈마 밀도를 증가시켜 트렌치(116)를 완전히 메우기 위하여 패터닝된 패드 절연막(110a) 위에도 소정 두께로 증착되도록 실리콘 산화막(120)을 증착한다(좁은 간격 점선 표시 참조), 후속적으로 이러한 실리콘 산화막(120)은 패터닝된 패드 절연막(110a) 중 질화막 패턴(108a)의 상부표면과 실질적으로 동일한 레벨로 평탄화된다(일점 쇄선 표시 참조). 예를 들어, 실리콘 산화막(120)은 CMP 또는 에치백(etch back)에 의하여 평탄화된다. 이러한 평탄화 공정에서는 질화막 패턴(108a)을 평탄화 정지막으로 사용한다. 예를 들어, CMP를 사용하여 실리콘 산화막(120)을 평탄화할 경우, 상기 질화막 패턴(108a)은 CMP 스토퍼로 기능한다. 따라서, CMP에서 사용되는 슬러리는 상기 질화막 패턴(108a)보다 실리콘 산화막(120)을 보다 빨리 식각할 수 있는 것, 예를 들어 세리아(CeO2) 계열의 연마제를 포함하는 슬러리를 선택하는 것이 양호한 결과를 가져온다.The
계속하여 도 6d를 참조하면, 패터닝된 패드 절연막(110a)까지 제거하여 웨이퍼(100) 표면과 거의 나란한 트렌치 소자분리막, 즉 STI(120a)을 형성한다. 패터닝된 패드 절연막(110a) 중 질화막 패턴(108a)은 인산 스트립을 적용하여 제거할 수 있고, 열산화막 패턴(104a)은 HF나 BOE(Buffered Oxide Etchant)를 이용하여 제거할 수 있다. 6D, the patterned
제4 실시예Fourth embodiment
도 7a 내지 도 7c는 본 발명에 따른 절연막 증착 방법이 적용될 수 있는 금속 배선을 형성하기 전의 절연막(pre-metal layer, 이하, '프리 메탈 레이어'라고 함) 공정을 보여준다. 7A to 7C illustrate a pre-metal layer (hereinafter, referred to as a pre-metal layer) process before forming a metal wire to which the insulating film deposition method according to the present invention can be applied.
도 7a는 웨이퍼(210) 상에 OCS(one cylinder storage) 커패시터(270)가 형성된 상태를 도시한다. 도 7a를 참조하면, DRAM의 셀 영역(C)에는 인접하는 두 개의 게이트(220)에 의하여 자기 정렬되는 콘택 패드(230)가 형성되어 있다. 콘택 패드(230)의 상면에는 콘택플러그(245)가 형성되어 있다. 참조부호 "225"와 "235"는 모두 절연막이다. 그리고, 콘택플러그(245) 상면에 접하여 실린더형 하부전극(255a)이 형성되어 있다. 하부전극(255a) 상에 유전막(260)과 상부전극(265)이 순차적으로 형성되고 주변 회로 영역(P) 쪽은 패터닝으로 제거되어 커패시터(270)가 형성된다. FIG. 7A illustrates a state in which one cylinder storage (OCS)
후속적으로 형성될 금속 배선과 커패시터(270)의 절연을 위해서는 커패시터(270) 위에 층간절연막을 형성해야 한다. 이러한 층간절연막이 프리 메탈 레이어이다.An interlayer insulating film must be formed on the
본 발명에 따른 절연막 증착 방법은 이러한 프리 메탈 레이어의 증착에도 이용될 수가 있다. 도 7b를 참조하면, 도 7a와 같은 구조물 위에 프리 메탈 레이어(275)를 형성한다. 프리 메탈 레이어(275)는 실리콘 산화막으로 형성할 수 있다. 증착 초기에는 플라즈마 밀도를 낮추어 갭 필 능력을 우선적으로 향상시켜 프리 메탈 레이어(275)를 증착한다. 그런 다음에는 플라즈마 밀도를 증가시켜 프리 메탈 레이어(275)를 증착한다. 주변 회로 영역(P)에 형성되는 프리 메탈 레이어(275)의 상면은 셀 영역(C)에 형성된 커패시터(270)의 상면보다 높도록 두텁게 형성할 필요가 있다. 플라즈마 밀도 증가에 따라 증착 속도가 확보되므로 오랜 시간을 소요하지 않고도 두텁게 증착할 수가 있다. The insulating film deposition method according to the present invention can also be used to deposit such a free metal layer. Referring to FIG. 7B, a
다음으로, 도 7c에 도시한 바와 같이, 프리 메탈 레이어(275)를 CMP로 평탄화시키고, 평탄화된 프리 메탈 레이어(275) 위에 금속을 도포하고 포토리소그라피 공정으로 금속 배선(290)을 형성한다. Next, as shown in FIG. 7C, the
제5 실시예Fifth Embodiment
도 8a 내지 도 8c는 본 발명에 따른 절연막 증착 방법이 적용될 수 있는 IMD 공정을 보여준다. 8A to 8C show an IMD process to which an insulating film deposition method according to the present invention can be applied.
반도체 소자는 보통 6-7층 이상의 금속 배선이 들어가며, 하층의 금속 배선과 상층의 금속 배선은 IMD막으로 절연하고, 필요 부위에는 비아(via)를 형성하여 하층과 상층의 금속 배선을 연결하고 있다. 본 발명에 따른 절연막 증착 방법은 이러한 IMD막의 증착에도 이용될 수가 있다. The semiconductor device usually contains 6-7 layers or more of metal wiring, the lower metal wiring and the upper metal wiring are insulated with an IMD film, and vias are formed in necessary portions to connect the lower and upper metal wiring. . The insulation film deposition method according to the present invention can also be used for deposition of such an IMD film.
도 8a는 하층의 금속 배선(310)이 하부 구조물(300) 상에 형성된 것을 도시한다. 소자의 집적화에 따라 동일 레벨(level)에서 금속 배선(310) 사이의 거리도 가까워져 그 사이에 생긴 골을 보이드없이 메우기 위한 방법이 필요해진다.8A illustrates that the
이에, 증착 초기에는 플라즈마 밀도를 낮추어 갭 필 능력을 우선적으로 향상시켜 도 8b에서와 같이 IMD막(320)을 증착한다. 그런 다음에는 플라즈마 밀도를 증가시켜 증착 속도를 높여서 IMD막(320)을 더 증착한다. 여기서의 IMD막(320)은 TEOS를 소스 가스로 하는 실리콘 산화막일 수도 있고, 실란, SiF4 가스와 O2 가스를 이용해서 형성하는 SiOF막일 수도 있다. IMD막(320)은 RC 딜레이를 해소하기 위해 저유전율의 막으로 형성할 필요가 있다. 이에 따른 적절한 소스 가스와 반응 가스 선택으로 본 발명에 따른 절연막 증착 방법을 이용하면 어떠한 종류의 저유전막이라도 증착이 가능하다.Accordingly, in the initial stage of deposition, the
그런 다음, 도 8c에서와 같이 IMD막(320)을 평탄화시키고, 필요에 따라서는 듀얼 다마신(dual damascene) 방법에 의해 배선 트렌치와 비아 트렌치를 형성하거나, 또는 싱글 다마신(single damascene) 방법에 의해 비아 트렌치를 형성한 후, 금속으로 메워 상층의 금속 배선(330)을 형성한다. 도면에서 하층의 금속 배선(310)과 상층의 금속 배선(330)은 비아(340)로 연결되어 있다. Then, as shown in FIG. 8C, the
이상, 본 발명의 상세한 설명을 하였으나, 본 발명의 범위에서 벗어나지 않은 한도 내에서 여러 가지 변형이 가능함은 본 발명이 속하는 기술분야에서 통상적 지식을 가진 자에게는 자명할 것이다. 본 발명은 청구항의 범주에 의해 정의될 뿐이다. Although the detailed description of the present invention has been made, it will be apparent to those skilled in the art that various modifications may be made without departing from the scope of the present invention. The invention is only defined by the scope of the claims.
본 발명에 따르면, 증착 초기에는 플라즈마의 밀도를 낮추어 절연막을 증착하고, 어느 정도 시간이 지난 후에는 플라즈마의 밀도를 증가시켜 절연막을 증착한다. 이에 따라, 초기에는 갭 필 능력을 향상시킬 수 있고, 나중에는 증착 속도를 확보할 수 있다. 따라서, 본 발명에 의하면, 높은 갭 필 능력과 함께 높은 증착 속도를 가지며 안정된 절연막을 증착할 수 있다. 또한, 본 발명은 반도체 공정에서 다양한 절연막의 증착에 이용할 수가 있는데, 예를 들면 STI 공정, 프리 메탈 레이어 또는 IMD막이 그 예이다. According to the present invention, in the initial stage of deposition, the density of the plasma is lowered to deposit the insulating film, and after a certain time, the density of the plasma is increased to deposit the insulating film. Accordingly, the gap fill capability can be improved initially, and the deposition rate can be secured later. Therefore, according to the present invention, it is possible to deposit a stable insulating film with high gap fill capability and high deposition rate. In addition, the present invention can be used to deposit various insulating films in a semiconductor process, for example, an STI process, a free metal layer or an IMD film.
Claims (19)
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR1020060051936A KR100790779B1 (en) | 2006-06-09 | 2006-06-09 | Method of depositing dielectric layer with increased gap-fill ability |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR1020060051936A KR100790779B1 (en) | 2006-06-09 | 2006-06-09 | Method of depositing dielectric layer with increased gap-fill ability |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20070117817A KR20070117817A (en) | 2007-12-13 |
KR100790779B1 true KR100790779B1 (en) | 2008-01-02 |
Family
ID=39142859
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020060051936A KR100790779B1 (en) | 2006-06-09 | 2006-06-09 | Method of depositing dielectric layer with increased gap-fill ability |
Country Status (1)
Country | Link |
---|---|
KR (1) | KR100790779B1 (en) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20110080458A (en) * | 2010-01-06 | 2011-07-13 | 주식회사 아토 | Apparatus depositing thin film and method of depositing thin film using ozone plasma |
Families Citing this family (187)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR101123829B1 (en) * | 2010-02-12 | 2012-03-20 | 국제엘렉트릭코리아 주식회사 | Substrate treating apparatus |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9464352B2 (en) * | 2014-05-02 | 2016-10-11 | Asm Ip Holding B.V. | Low-oxidation plasma-assisted process |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
KR102546317B1 (en) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | Gas supply unit and substrate processing apparatus including the same |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
KR20190009245A (en) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
JP7214724B2 (en) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | Storage device for storing wafer cassettes used in batch furnaces |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (en) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | Deposition method |
CN111630203A (en) * | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | Method for depositing gap filling layer by plasma auxiliary deposition |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
JP7124098B2 (en) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
KR102636427B1 (en) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing method and apparatus |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
KR102646467B1 (en) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR102596988B1 (en) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | Method of processing a substrate and a device manufactured by the same |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (en) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing system |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
TW202409324A (en) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | Cyclic deposition processes for forming metal-containing material |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (en) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | Method for deposition of a thin film |
TWI844567B (en) | 2018-10-01 | 2024-06-11 | 荷蘭商Asm Ip私人控股有限公司 | Substrate retaining apparatus, system including the apparatus, and method of using same |
KR102592699B1 (en) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same |
KR102546322B1 (en) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and substrate processing method |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (en) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | Substrate support unit and substrate processing apparatus including the same |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR102636428B1 (en) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | A method for cleaning a substrate processing apparatus |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (en) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | Method and system for forming device structures using selective deposition of gallium nitride - Patents.com |
TWI819180B (en) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
JP2020136678A (en) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | Method for filing concave part formed inside front surface of base material, and device |
TWI845607B (en) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
KR20200102357A (en) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | Apparatus and methods for plug fill deposition in 3-d nand applications |
TWI842826B (en) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing apparatus and method for processing substrate |
KR20200108242A (en) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200116033A (en) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | Door opener and substrate processing apparatus provided therewith |
KR20200116855A (en) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | Method of manufacturing semiconductor device |
KR20200123380A (en) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | Layer forming method and apparatus |
KR20200130121A (en) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | Chemical source vessel with dip tube |
KR20200130652A (en) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | Method of depositing material onto a surface and structure formed according to the method |
JP2020188254A (en) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | Wafer boat handling device, vertical batch furnace, and method |
JP2020188255A (en) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | Wafer boat handling device, vertical batch furnace, and method |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
KR20200141003A (en) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | Gas-phase reactor system including a gas detector |
KR20200143254A (en) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method |
KR20210005515A (en) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | Temperature control assembly for substrate processing apparatus and method of using same |
JP7499079B2 (en) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | Plasma device using coaxial waveguide and substrate processing method |
CN112216646A (en) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | Substrate supporting assembly and substrate processing device comprising same |
KR20210010307A (en) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
KR20210010820A (en) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Methods of forming silicon germanium structures |
KR20210010816A (en) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Radical assist ignition plasma system and method |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (en) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Method of Forming Topology-Controlled Amorphous Carbon Polymer Film |
CN112309843A (en) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | Selective deposition method for achieving high dopant doping |
CN112309900A (en) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
CN112309899A (en) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (en) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | Liquid level sensor for chemical source container |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (en) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | Production apparatus of mixed gas of film deposition raw material and film deposition apparatus |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024423A (en) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | Method for forming a structure with a hole |
KR20210024420A (en) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (en) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | Methods for selective deposition using a sacrificial capping layer |
KR20210029663A (en) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (en) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process |
KR20210042810A (en) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | Reactor system including a gas distribution assembly for use with activated species and method of using same |
TWI846953B (en) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing device |
KR20210043460A (en) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming a photoresist underlayer and structure including same |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (en) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | Method of topology-selective film formation of silicon oxide |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (en) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | Apparatus and methods for selectively etching films |
KR20210050453A (en) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (en) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | Structures with doped semiconductor layers and methods and systems for forming same |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (en) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure |
KR20210065848A (en) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112951697A (en) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
CN112885693A (en) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
CN112885692A (en) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
JP7527928B2 (en) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | Substrate processing apparatus and substrate processing method |
KR20210070898A (en) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
TW202125596A (en) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming vanadium nitride layer and structure including the vanadium nitride layer |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
TW202140135A (en) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | Gas supply assembly and valve plate assembly |
KR20210089079A (en) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | Channeled lift pin |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (en) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming thin film and method of modifying surface of thin film |
TW202130846A (en) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming structures including a vanadium or indium layer |
TW202146882A (en) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (en) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | System dedicated for parts cleaning |
KR20210116249A (en) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | lockout tagout assembly and system and method of using same |
KR20210116240A (en) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | Substrate handling device with adjustable joints |
CN113394086A (en) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | Method for producing a layer structure having a target topological profile |
KR20210124042A (en) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | Thin film forming method |
TW202146689A (en) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | Method for forming barrier layer and method for manufacturing semiconductor device |
TW202145344A (en) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | Apparatus and methods for selectively etching silcon oxide films |
KR20210127620A (en) | 2020-04-13 | 2021-10-22 | 에이에스엠 아이피 홀딩 비.브이. | method of forming a nitrogen-containing carbon film and system for performing the method |
KR20210128343A (en) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming chromium nitride layer and structure including the chromium nitride layer |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202146831A (en) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Vertical batch furnace assembly, and method for cooling vertical batch furnace |
KR20210132600A (en) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element |
JP2021172884A (en) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | Method of forming vanadium nitride-containing layer and structure comprising vanadium nitride-containing layer |
KR20210134226A (en) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | Solid source precursor vessel |
KR20210134869A (en) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Fast FOUP swapping with a FOUP handler |
TW202147543A (en) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Semiconductor processing system |
KR20210141379A (en) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | Laser alignment fixture for a reactor system |
KR20210143653A (en) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
KR20210145078A (en) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | Structures including multiple carbon layers and methods of forming and using same |
KR102702526B1 (en) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | Apparatus for depositing thin films using hydrogen peroxide |
TW202212620A (en) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | Apparatus for processing substrate, method of forming film, and method of controlling apparatus for processing substrate |
TW202218133A (en) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Method for forming a layer provided with silicon |
TW202217953A (en) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing method |
KR102707957B1 (en) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | Method for processing a substrate |
TW202219628A (en) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | Structures and methods for use in photolithography |
TW202204662A (en) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | Method and system for depositing molybdenum layers |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (en) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | Method and system for forming metal silicon oxide and metal silicon oxynitride |
TW202229601A (en) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming patterned structures, method of manipulating mechanical property, device structure, and substrate processing system |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (en) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | Deposition method and an apparatus for depositing a silicon-containing material |
CN114293174A (en) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | Gas supply unit and substrate processing apparatus including the same |
TW202229613A (en) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of depositing material on stepped structure |
KR20220053482A (en) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | Method of depositing vanadium metal, structure, device and a deposition assembly |
TW202223136A (en) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | Method for forming layer on substrate, and semiconductor processing system |
TW202235649A (en) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | Methods for filling a gap and related systems and devices |
TW202235675A (en) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | Injector, and substrate processing apparatus |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (en) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH07130731A (en) * | 1993-10-29 | 1995-05-19 | Nec Corp | Semiconductor device and its manufacturing method and apparatus |
JP2000021871A (en) | 1998-06-30 | 2000-01-21 | Tokyo Electron Ltd | Plasma treating method |
KR20020007224A (en) * | 2000-07-14 | 2002-01-26 | 조셉 제이. 스위니 | Method and apparatus for treating l0w k dielectric layers to reduce diffusion |
KR20030056828A (en) * | 2001-12-28 | 2003-07-04 | 엘지.필립스 엘시디 주식회사 | Method For Forming Insulating Layer And Method For Forming The Polysilicon Thin Film Transistor |
KR20050094477A (en) * | 2003-02-06 | 2005-09-27 | 동경 엘렉트론 주식회사 | Plasma processing method, semiconductor substrate and plasma processing system |
-
2006
- 2006-06-09 KR KR1020060051936A patent/KR100790779B1/en not_active IP Right Cessation
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH07130731A (en) * | 1993-10-29 | 1995-05-19 | Nec Corp | Semiconductor device and its manufacturing method and apparatus |
JP2000021871A (en) | 1998-06-30 | 2000-01-21 | Tokyo Electron Ltd | Plasma treating method |
KR20020007224A (en) * | 2000-07-14 | 2002-01-26 | 조셉 제이. 스위니 | Method and apparatus for treating l0w k dielectric layers to reduce diffusion |
KR20030056828A (en) * | 2001-12-28 | 2003-07-04 | 엘지.필립스 엘시디 주식회사 | Method For Forming Insulating Layer And Method For Forming The Polysilicon Thin Film Transistor |
KR20050094477A (en) * | 2003-02-06 | 2005-09-27 | 동경 엘렉트론 주식회사 | Plasma processing method, semiconductor substrate and plasma processing system |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20110080458A (en) * | 2010-01-06 | 2011-07-13 | 주식회사 아토 | Apparatus depositing thin film and method of depositing thin film using ozone plasma |
KR101600552B1 (en) * | 2010-01-06 | 2016-03-07 | 주식회사 원익아이피에스 | Apparatus depositing thin film and method of depositing thin film using ozone plasma |
Also Published As
Publication number | Publication date |
---|---|
KR20070117817A (en) | 2007-12-13 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR100790779B1 (en) | Method of depositing dielectric layer with increased gap-fill ability | |
US6635586B2 (en) | Method of forming a spin-on-glass insulation layer | |
US5665635A (en) | Method for forming field oxide film in semiconductor device | |
KR100898580B1 (en) | Method of forming isolation layer for semiconductor device | |
US7148155B1 (en) | Sequential deposition/anneal film densification method | |
US6130154A (en) | Semiconductor device and fabrication process thereof | |
US5849640A (en) | In-situ SOG etchback and deposition for IMD process | |
US20030203652A1 (en) | Method for forming a carbon doped oxide low-k insulating layer | |
JP2002141348A (en) | Method and equipment for forming film and method of manufacturing semiconductor device | |
JP2005340820A (en) | Low-carbon-doped silicon oxide film and damascene structure using it | |
JPH09172008A (en) | Method and device that form good boundary between sacvd oxide film and pecvd oxide film | |
KR20030007494A (en) | A method to enhance the adhesion of silicon nitride to low-k fluorinated amorphous carbon using a silicon carbide adhesion promoter layer | |
US6562734B2 (en) | Method of filling gaps on a semiconductor wafer | |
JP4633348B2 (en) | Method for forming laminated structure and method for integrating insulating film | |
US6277764B1 (en) | Interlayered dielectric layer of semiconductor device and method of manufacturing the same | |
JP3173426B2 (en) | Method for manufacturing silica insulating film and method for manufacturing semiconductor device | |
KR20100106127A (en) | Method of fabricating of semiconductor device | |
US6489252B2 (en) | Method of forming a spin-on-glass insulation layer | |
US5795820A (en) | Method for simplifying the manufacture of an interlayer dielectric stack | |
US20080160716A1 (en) | Method for fabricating an isolation layer in a semiconductor device | |
US7183173B2 (en) | Method for forming isolation film in semiconductor device | |
KR100937945B1 (en) | Method of manufacturing a semiconductor device | |
US20120220130A1 (en) | Method for fabricating semiconductor device | |
KR100773754B1 (en) | Method of depositing dielectric layer with increased gap-fill ability | |
KR100888186B1 (en) | Method of forming an insulating film |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
E90F | Notification of reason for final refusal | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant | ||
FPAY | Annual fee payment |
Payment date: 20121227 Year of fee payment: 6 |
|
FPAY | Annual fee payment |
Payment date: 20130904 Year of fee payment: 7 |
|
FPAY | Annual fee payment |
Payment date: 20140917 Year of fee payment: 8 |
|
FPAY | Annual fee payment |
Payment date: 20150909 Year of fee payment: 9 |
|
FPAY | Annual fee payment |
Payment date: 20160922 Year of fee payment: 10 |
|
LAPS | Lapse due to unpaid annual fee |