TWI846966B - Method of forming a photoresist underlayer and structure including same - Google Patents

Method of forming a photoresist underlayer and structure including same Download PDF

Info

Publication number
TWI846966B
TWI846966B TW109134743A TW109134743A TWI846966B TW I846966 B TWI846966 B TW I846966B TW 109134743 A TW109134743 A TW 109134743A TW 109134743 A TW109134743 A TW 109134743A TW I846966 B TWI846966 B TW I846966B
Authority
TW
Taiwan
Prior art keywords
bottom layer
plasma
photoresist
carbon
layer
Prior art date
Application number
TW109134743A
Other languages
Chinese (zh)
Other versions
TW202115273A (en
Inventor
伊芳 廖爾科夫
羅斯特 大衛 克特 德
喬安 湯姆恰克
麥可 尤金 吉芬斯
佩爾圖 希波拉
塔提安娜 依凡諾瓦
劉澤鋮
福憲 金
丹尼爾 漂米
Original Assignee
荷蘭商Asm Ip私人控股有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip私人控股有限公司 filed Critical 荷蘭商Asm Ip私人控股有限公司
Publication of TW202115273A publication Critical patent/TW202115273A/en
Application granted granted Critical
Publication of TWI846966B publication Critical patent/TWI846966B/en

Links

Abstract

Methods of forming structures including a photoresist underlayer and structures including the photoresist underlayer are disclosed. Exemplary methods include forming the photoresist underlayer that includes metal. Techniques for treating a surface of the photoresist underlayer and/or depositing an additional layer overlying the photoresist underlayer are also disclosed.

Description

形成光阻底層之方法及包括光阻底層之結構Method for forming photoresist bottom layer and structure including photoresist bottom layer

本揭露大致上係關於結構及形成結構之方法。更具體地,本揭露係關於包括光阻底層或使用光阻底層形成之結構以及形成此類結構之方法。The present disclosure generally relates to structures and methods of forming the structures. More specifically, the present disclosure relates to structures including or formed using photoresist layers and methods of forming such structures.

在電子裝置的製造期間,可藉由圖案化基材表面及使用例如氣相蝕刻製程從基材表面蝕刻材料而在基材表面上形成特徵的精細圖案。隨著基材上的裝置之密度增加,形成具有較小尺寸之特徵逐漸變為所欲。During the fabrication of electronic devices, fine patterns of features can be formed on a substrate surface by patterning the substrate surface and etching material from the substrate surface using, for example, a vapor phase etching process. As the density of devices on a substrate increases, it becomes increasingly desirable to form features having smaller dimensions.

光阻常用以在蝕刻之前圖案化基材表面。藉由施加一層光阻至基材表面、遮罩光阻表面、使光阻之未經遮罩的部分曝露至輻射(諸如紫外光)、以及移除一部分(例如,未經遮罩或經遮罩部分)的光阻,同時在基材表面上留下一部分光阻而在光阻中形成圖案。Photoresists are often used to pattern substrate surfaces prior to etching. A pattern is formed in the photoresist by applying a layer of photoresist to the substrate surface, masking the photoresist surface, exposing unmasked portions of the photoresist to radiation (such as ultraviolet light), and removing a portion of the photoresist (e.g., the unmasked or masked portion) while leaving a portion of the photoresist on the substrate surface.

近來,已開發使用極紫外(EUV)波長的技術,以顯影具有相對小圖案特徵(例如,10 nm或更小)的圖案。欲使用EUV在基材上形成特徵,一般將旋塗式玻璃(SOG)之光阻底層沉積至基材表面上,並接著沉積上覆SOG底層的EUV光阻層。底層大致上具有約10 nm或更大的厚度。Recently, techniques using extreme ultraviolet (EUV) wavelengths have been developed to develop patterns with relatively small features (e.g., 10 nm or less). To form features on a substrate using EUV, a photoresist base layer of spin-on-glass (SOG) is typically deposited onto the substrate surface, followed by a EUV photoresist layer overlying the SOG base layer. The base layer generally has a thickness of about 10 nm or more.

隨著裝置特徵的大小減小,底層可依所欲更薄以例如允許形成具有所欲之節距解析度及/或深寬比的蝕刻特徵。不幸地,在小於10 nm的厚度下可難以沉積SOG。進一步地,所沉積之SOG的基材內以及基材之間的厚度不均勻性可係非所欲地高,特別是在SOC層的目標厚度減少時。As the size of device features decreases, the bottom layer can be made thinner as desired, for example to allow the formation of etched features with a desired pitch resolution and/or aspect ratio. Unfortunately, it can be difficult to deposit SOG at a thickness less than 10 nm. Further, the thickness non-uniformity of the deposited SOG can be undesirably high within and between substrates, particularly as the target thickness of the SOC layer is reduced.

當試圖增加微影圖案化技術(尤其是採用EUV光阻的技術)之解析度時,所遭遇的另一個挑戰係關於光阻經曝露及顯影之後的EUV光阻輪廓。在曝露及顯影之後,光阻輪廓可看起來呈錐形。萬一光阻線在結構底部處的寬度小於在結構頂部處的線寬度,則線可能會塌陷。萬一走向相反(線在底部處比在頂部處寬),則線在襯底表面上將非常穩定,但圖案將難以轉移,且其將導致較高的線邊緣粗糙度/線寬度粗糙度值。Another challenge encountered when trying to increase the resolution of lithography patterning techniques, especially those using EUV resists, is regarding the EUV resist profile after the resist has been exposed and developed. After exposure and development, the resist profile can appear tapered. If the resist line is less wide at the bottom of the structure than at the top, the line may collapse. If it goes the other way (the line is wider at the bottom than at the top), the line will be very stable on the substrate surface, but the pattern will be difficult to transfer and it will result in higher line edge roughness/line width roughness values.

當試圖增加微影圖案化技術(尤其是涉及EUV光阻的技術)之解析度時,所遭遇的另一個挑戰係關於經曝露至涉及使用電漿之沉積及/或表面處理時之襯底層(例如碳硬遮罩)中的感生孔隙率。Another challenge encountered when attempting to increase the resolution of lithographic patterning techniques, particularly those involving EUV photoresists, is related to induced porosity in underlying layers (e.g., carbon hardmasks) exposed to deposition and/or surface treatments involving the use of plasma.

至少為了這些原因,所欲的是包括光阻底層(諸如適於與EUV併用的底層)之改善結構及形成此類結構之方法。具體所欲的是對EUV光阻具有良好黏附性、具有小於約5 nm的厚度、且對可用於蝕刻下伏層的蝕刻化學品具有抗性的底層。For at least these reasons, what are desired are improved structures including photoresist underlayers, such as underlayers suitable for use with EUV, and methods of forming such structures. Specifically desired are underlayers that have good adhesion to EUV photoresists, have a thickness of less than about 5 nm, and are resistant to etching chemistries that may be used to etch underlying layers.

下列之先前技術文件係由記錄組成:Dufond、Maxime E.等人 「Quantifying the Extent of Ligand Incorporation and the Effect on Properties of TiO2 Thin Films Grown by Atomic Layer Deposition Using an Alkoxide or an Alkylamide。」 Chemistry of Materials 32.4 (2020):第1393至1407頁。The following prior art document is recorded: Dufond, Maxime E. et al. "Quantifying the Extent of Ligand Incorporation and the Effect on Properties of TiO2 Thin Films Grown by Atomic Layer Deposition Using an Alkoxide or an Alkylamide." Chemistry of Materials 32.4 (2020): pp. 1393-1407.

本節提出之任何問題及解決方案討論僅為了提供本揭露背景之目的而包括在本揭露中,且不應視為承認在完成本發明時已知討論之任何或全部內容。Any discussion of problems and solutions presented in this section is included in the present disclosure for the purpose of providing a background for the present disclosure only, and should not be regarded as an admission that any or all of the contents of the discussion were known at the time of making the present invention.

本揭露之各種實施例係關於包括改善的光阻底層(有時稱為黏附層)之結構及形成層及結構之方法。雖然在下文更詳細地討論本揭露之各種實施例應對先前方法及結構之缺點的方式,大致上,本揭露之各種實施例提供可包括具有所欲性質(諸如所欲的蝕刻選擇性、圖案品質、及/或穩定性)之相對薄的均勻光阻底層之結構。進一步地,例示性光阻底層可使用一循環製程(諸如原子層沉積)形成,其允許精確地控制一基材表面上及從基材至基材兩者之該光阻底層的厚度。此外,如下文更詳細提出的,可調整或選擇前驅物、沉積條件、後沉積處理參數、及類似者,以形成具有所欲表面能的光阻底層以促進該光阻底層與上覆光阻之間的所欲黏附性。Various embodiments of the present disclosure relate to structures including improved photoresist base layers (sometimes referred to as adhesion layers) and methods of forming layers and structures. Although the manner in which various embodiments of the present disclosure address shortcomings of previous methods and structures is discussed in more detail below, in general, various embodiments of the present disclosure provide structures that may include relatively thin, uniform photoresist base layers having desired properties (such as desired etch selectivity, pattern quality, and/or stability). Further, exemplary photoresist base layers may be formed using a cyclic process (such as atomic layer deposition) that allows precise control of the thickness of the photoresist base layer on a substrate surface and from substrate to substrate. Furthermore, as set forth in more detail below, precursors, deposition conditions, post-deposition processing parameters, and the like may be adjusted or selected to form a photoresist base layer having a desired surface energy to promote a desired adhesion between the photoresist base layer and an overlying photoresist.

根據本揭露之例示性實施例,一種形成一結構之方法包括提供一基材、形成上覆於該基材表面之一光阻底層、及形成上覆於該光阻底層的一層光阻。該光阻底層可包括一金屬(諸如一過渡金屬)。例如,該光阻底層可係或包括一金屬氧化物、一金屬氮化物、及一金屬氮氧化物之一或多者。該光阻底層可使用電漿增強循環(例如原子層)沉積製程及熱循環沉積製程之一或多者形成。舉實例而言,該循環沉積製程可包括提供一或多個金屬前驅物至一反應室的一步驟;提供一氧化劑及一氮化劑之一或多者至該反應室的一步驟;及在一些情況下,提供一或多個碳前驅物至該反應室的一步驟。該光阻底層可具有小於10 nm或小於5 nm的一厚度。該光阻層可係或可包括正型或負型極紫外(EUV)微影光阻。According to an exemplary embodiment of the present disclosure, a method of forming a structure includes providing a substrate, forming a photoresist base layer overlying the substrate surface, and forming a layer of photoresist overlying the photoresist base layer. The photoresist base layer may include a metal (such as a transition metal). For example, the photoresist base layer may be or include one or more of a metal oxide, a metal nitride, and a metal oxynitride. The photoresist base layer may be formed using one or more of a plasma enhanced cyclic (e.g., atomic layer) deposition process and a thermal cycle deposition process. For example, the cyclic deposition process may include a step of providing one or more metal precursors to a reaction chamber; a step of providing one or more of an oxidizer and a nitrider to the reaction chamber; and in some cases, a step of providing one or more carbon precursors to the reaction chamber. The photoresist base layer may have a thickness of less than 10 nm or less than 5 nm. The photoresist layer may be or may include a positive or negative extreme ultraviolet (EUV) lithography photoresist.

根據本揭露之例示性態樣,該光阻底層包括碳。例如,該光阻底層可包括大於0.18 g/cm3 、或大於5 at%、或約5 at%至約30 at%的碳。在一些情況下,該光阻底層中的一碳濃度可隨該光阻底層的高度改變(例如,接近該光阻底層的一頂表面(例如,在頂部1至2 nm中)之一碳濃度可大於該光阻底層之該下部或塊材中的該碳濃度)。在這些情況下,該光阻底層之該頂表面中的一碳濃度可大於10 at%或約10 at%至約50 at%的碳。According to exemplary aspects of the present disclosure, the photoresist underlayer includes carbon. For example, the photoresist underlayer may include greater than 0.18 g/cm 3 , or greater than 5 at%, or about 5 at% to about 30 at% carbon. In some cases, a carbon concentration in the photoresist underlayer may vary with the height of the photoresist underlayer (e.g., a carbon concentration near a top surface of the photoresist underlayer (e.g., in the top 1 to 2 nm) may be greater than the carbon concentration in the lower portion or bulk of the photoresist underlayer). In these cases, a carbon concentration in the top surface of the photoresist underlayer may be greater than 10 at%, or about 10 at% to about 50 at% carbon.

根據本揭露之實施例的例示性態樣,該光阻底層可經選擇及/或配製以具有所欲性質(例如,所欲的表面能性質),以例如促進該光阻底層與一上覆光阻層之間的所欲黏附性。例如,如下文更詳細提出的,可採用各種前驅物、製程條件、處理、及/或塗層以得到所欲的光阻底層表面能性質。該光阻底層之表面能之一極性部分的例示性值係介於約5 mN/m至約25 mN/m之間或介於約20 mN/m至約40 mN/m之間。該光阻底層之表面能之一分散部分的例示性值係介於10 mN/m至約30 mN/m之間、或介於5 mN/m至約25 mN/m之間、或介於20 mN/m至約40 mN/m之間。According to exemplary aspects of embodiments of the present disclosure, the photoresist base layer can be selected and/or formulated to have desired properties (e.g., desired surface energy properties), for example, to promote desired adhesion between the photoresist base layer and an overlying photoresist layer. For example, as set forth in more detail below, various precursors, process conditions, treatments, and/or coatings can be employed to obtain desired photoresist base layer surface energy properties. Exemplary values for a polar portion of the surface energy of the photoresist base layer are between about 5 mN/m and about 25 mN/m or between about 20 mN/m and about 40 mN/m. Exemplary values of a distributed portion of the surface energy of the photoresist bottom layer are between 10 mN/m and about 30 mN/m, or between 5 mN/m and about 25 mN/m, or between 20 mN/m and about 40 mN/m.

根據本揭露之一些態樣,該等方法可包括形成上覆於該光阻底層之一含碳層的一步驟。該含碳層可用以得到一所欲的表面能或者可經處理以得到一所欲的表面能。例示性含碳層可包括矽、氧、及碳。額外或替代地,該含碳層可包括非晶碳。According to some aspects of the present disclosure, the methods may include a step of forming a carbon-containing layer overlying the photoresist base layer. The carbon-containing layer may be used to obtain a desired surface energy or may be treated to obtain a desired surface energy. Exemplary carbon-containing layers may include silicon, oxygen, and carbon. Additionally or alternatively, the carbon-containing layer may include amorphous carbon.

例示性方法可額外或替代地包括處理一表面(諸如該光阻底層之一表面)的一步驟。用於修改一光阻底層之一表面能的例示性處理包括使該光阻底層之一表面曝露至一或多個含碳劑。例示性含碳劑可包括一化學式,該化學式包括C、O、及可選的N。舉具體實例而言,該含碳劑可選自由下列之一或多者所組成之群組:酸酐(例如醋酸酐)、甲苯、二乙二醇、三乙二醇、乙醛、矽烷、及矽氧烷。使用一含碳劑在一表面上形成CH3封端分子可將該表面能之該極性部分降低至接近零,但無法可觀地改變該表面能的該分散部分。額外或替代地,該光阻底層的一表面或其上之一含碳層可以一含氟前驅物(諸如全氟癸基三甲氧基矽烷)進行處理,以例如降低該光阻底層之該表面能之一極性部分並減小該分散部分。亦可以表面官能化劑(諸如鹽化劑,諸如矽烷及矽氧烷)處理表面。合適的表面處理/含碳層之進一步的實例包括自組裝層(例如單層)。該自組裝層可使用前驅物形成,該等前驅物包含矽及碳之一或多者(諸如矽烷、矽氧烷、氟化矽烷、及/或氟化矽氧烷)。Exemplary methods may additionally or alternatively include a step of treating a surface, such as a surface of the photoresist base layer. Exemplary treatments for modifying a surface energy of a photoresist base layer include exposing a surface of the photoresist base layer to one or more carbon-containing agents. Exemplary carbon-containing agents may include a chemical formula including C, O, and optionally N. For specific examples, the carbon-containing agent may be selected from the group consisting of one or more of the following: anhydrides (e.g., acetic anhydride), toluene, diethylene glycol, triethylene glycol, acetaldehyde, silanes, and siloxanes. Using a carbon-containing agent to form CH3-terminated molecules on a surface may reduce the polar portion of the surface energy to near zero, but may not appreciably change the dispersed portion of the surface energy. Additionally or alternatively, a surface of the photoresist base layer or a carbon-containing layer thereon may be treated with a fluorine-containing precursor (e.g., perfluorodecyltrimethoxysilane) to, for example, reduce a polar portion of the surface energy of the photoresist base layer and reduce the dispersed portion. The surface may also be treated with a surface functionalizing agent (e.g., a halogenating agent, such as silanes and siloxanes). Further examples of suitable surface treatments/carbon-containing layers include self-assembled layers (e.g., monolayers). The self-assembled layer may be formed using precursors that include one or more of silicon and carbon (e.g., silanes, siloxanes, fluorinated silanes, and/or fluorinated siloxanes).

根據本揭露之額外的例示性實施例,提供一種包括一光阻底層之結構。該光阻底層可使用如本文所述之方法形成。該光阻底層可包括例如包含一金屬(諸如一金屬氧化物、一金屬氮化物、及一金屬氮氧化物之一或多者)的一層。如本文所述,例示性結構可包括一或多個含碳層(諸如一非晶碳層及/或一SiOC層)。一光阻底層可使用本文所述之技術進行處理或予以官能化。該光阻底層(單獨或連同一碳層及/或處理之任一者)可具有如本文所述之表面能性質。例示性結構亦可包括一層光阻(諸如負型或正型EUV光阻)。According to additional exemplary embodiments of the present disclosure, a structure is provided that includes a photoresist bottom layer. The photoresist bottom layer can be formed using methods as described herein. The photoresist bottom layer can include, for example, a layer that includes a metal (such as one or more of a metal oxide, a metal nitride, and a metal oxynitride). As described herein, exemplary structures can include one or more carbon-containing layers (such as an amorphous carbon layer and/or a SiOC layer). A photoresist bottom layer can be treated or functionalized using the techniques described herein. The photoresist bottom layer (alone or in combination with a carbon layer and/or any of the treatments) can have surface energy properties as described herein. Exemplary structures can also include a layer of photoresist (such as a negative or positive EUV photoresist).

進一步描述一種形成包含一光阻底層之一結構之方法,該方法包含下列步驟:提供一基材;形成上覆於該基材表面之一光阻底層;其中該光阻底層包含一金屬。A method of forming a structure including a photoresist layer is further described, the method comprising the following steps: providing a substrate; forming a photoresist layer overlying a surface of the substrate; wherein the photoresist layer comprises a metal.

在一些實施例中,該光阻底層包含一金屬氧化物、一金屬氮化物、及一金屬氮氧化物之一或多者。In some embodiments, the photoresist bottom layer includes one or more of a metal oxide, a metal nitride, and a metal oxynitride.

在一些實施例中,該金屬包含一或多個過渡金屬。In some embodiments, the metal comprises one or more transition metals.

在一些實施例中,該光阻底層之表面能之一極性部分的一值係介於約5 mN/m至約25 mN/m之間或介於約20 mN/m至約40 mN/m之間。In some embodiments, a value of a polar portion of the surface energy of the photoresist bottom layer is between about 5 mN/m and about 25 mN/m or between about 20 mN/m and about 40 mN/m.

在一些實施例中,該光阻底層之表面能之一分散部分的一值係介於約10 mN/m至約30 mN/m之間、或介於約5 mN/m至約25 mN/m之間、或介於約20 mN/m至約40 mN/m之間。In some embodiments, a value of a distributed portion of the surface energy of the photoresist bottom layer is between about 10 mN/m and about 30 mN/m, or between about 5 mN/m and about 25 mN/m, or between about 20 mN/m and about 40 mN/m.

在一些實施例中,該光阻底層進一步包含大於0.18 g/cm3或5%原子百分比的碳。In some embodiments, the photoresist underlayer further comprises greater than 0.18 g/cm3 or 5 atomic percent carbon.

在一些實施例中,該光阻底層係使用一循環沉積製程形成。In some embodiments, the photoresist bottom layer is formed using a cyclic deposition process.

在一些實施例中,該光阻底層係使用一原子層沉積製程形成。In some embodiments, the photoresist bottom layer is formed using an atomic layer deposition process.

在一些實施例中,該光阻底層係使用一循環化學氣相沉積製程形成。In some embodiments, the photoresist bottom layer is formed using a cyclic chemical vapor deposition process.

在一些實施例中,一碳前驅物係在該形成一光阻底層之步驟期間提供至該反應室。In some embodiments, a carbon precursor is provided to the reaction chamber during the step of forming a photoresist base layer.

在一些實施例中,該碳前驅物之一化學式包含C及O。In some embodiments, the carbon precursor has a chemical formula comprising C and O.

在一些實施例中,該碳前驅物包含一有機化合物、一羧酸酐、甲苯、二乙二醇、三乙二醇、乙醛、及有機矽化合物(諸如矽烷及矽氧烷)之一或多者。In some embodiments, the carbon precursor comprises one or more of an organic compound, a carboxylic anhydride, toluene, diethylene glycol, triethylene glycol, acetaldehyde, and an organic silicon compound (such as silane and siloxane).

在一些實施例中,該有機矽化合物係選自由下列組成之群組:N,N-二甲基胺基三甲基矽烷、十八烷基三甲氧基矽烷、三甲氧基苯基矽烷、(3,3,3-三氟丙基)三氯矽烷、及六甲基二矽氮烷。In some embodiments, the organic silicon compound is selected from the group consisting of N,N-dimethylaminotrimethylsilane, octadecyltrimethoxysilane, trimethoxyphenylsilane, (3,3,3-trifluoropropyl)trichlorosilane, and hexamethyldisilazane.

在一些實施例中,該光阻底層包含一金屬、氧、及碳,且一含金屬前驅物係在該形成一光阻底層之步驟期間提供至該反應室,其中該含金屬前驅物係一醇鹽或烷醯胺金屬前驅物。In some embodiments, the photoresist underlayer comprises a metal, oxygen, and carbon, and a metal-containing precursor is provided to the reaction chamber during the step of forming a photoresist underlayer, wherein the metal-containing precursor is an alkoxide or alkamide metal precursor.

在一些實施例中,該含金屬前驅物具有一通式M[R(Cx Hy )n ]4 ,其中M係選自Ti、Ta、Hf、Zn、及Zr;且R係選自OCH及N,其中x係1至2,其中y係3至6,且其中n係2至3。In some embodiments, the metal-containing precursor has a general formula M[R( CxHy ) n ] 4 , wherein M is selected from Ti, Ta, Hf, Zn, and Zr; and R is selected from OCH and N, wherein x is 1-2, wherein y is 3-6, and wherein n is 2-3.

在一些實施例中,M係Ti。In some embodiments, M is Ti.

在一些實施例中,該含金屬前驅物係異丙醇鈦(IV)。In some embodiments, the metal-containing precursor is titanium(IV) isopropoxide.

在一些實施例中,該光阻底層包含Hf,且該光阻底層係使用一前驅物沉積,該前驅物選自四(乙基甲基醯胺基)鉿、二甲基雙(環戊二烯基)鉿、及三級丁氧化鉿(IV)。In some embodiments, the photoresist layer comprises Hf and is deposited using a precursor selected from the group consisting of tetrakis(ethylmethylamido)arium, dimethylbis(cyclopentadienyl)arium, and tertiary arium(IV)butoxide.

在一些實施例中,該光阻底層包含Ta,且該光阻底層係使用一前驅物沉積,該前驅物係選自五(二甲基胺基)鉭、乙氧基鉭(V)、三(二乙基胺基)(三級-丁亞胺基)鉭、三級丁基亞胺基三(乙基甲基胺基)鉭、(二甲胺基)乙氧基四乙氧基化鉭、及三級丁基亞胺基三(二乙醯胺基)鉭。In some embodiments, the photoresist underlayer comprises Ta, and the photoresist underlayer is deposited using a precursor selected from penta(dimethylamino)tantalum, ethoxytantalum(V), tris(diethylamino)(tertiary-butylimino)tantalum, tertiary-butylimino tris(ethylmethylamino)tantalum, (dimethylamino)ethoxytetraethoxytantalum, and tertiary-butylimino tris(diethylamido)tantalum.

在一些實施例中,該光阻底層係使用一熱ALD或一熱CVD方法並使用一反應物形成,該反應物係選自H2 O、O3 、及H2 O2In some embodiments, the bottom photoresist layer is formed using a thermal ALD or a thermal CVD process using a reactant selected from H 2 O, O 3 , and H 2 O 2 .

在一些實施例中,該反應物包含H2 O及O3In some embodiments, the reactants include H 2 O and O 3 .

在一些實施例中,該光阻底層係使用一電漿ALD或一電漿增強脈衝CVD方法形成,該等方法採用一電漿,該電漿係選自由H2 、H2 /He、H2 /Ar、Ar、及O2 電漿所組成之列表。In some embodiments, the photoresist bottom layer is formed using a plasma ALD or a plasma enhanced pulsed CVD method, which employs a plasma selected from the list consisting of H2 , H2 /He, H2 /Ar, Ar, and O2 plasma.

在一些實施例中,該方法進一步包含一表面處理步驟。In some embodiments, the method further comprises a surface treatment step.

在一些實施例中,該表面處理步驟包含使該光阻底層曝露至一或多個含碳化合物。In some embodiments, the surface treatment step includes exposing the photoresist base layer to one or more carbon-containing compounds.

在一些實施例中,該一或多個含碳化合物係選自由下列所組成之群組:一羧酸酐、甲苯、二乙二醇、三乙二醇、乙醛、及有機矽化合物(諸如矽烷及矽氧烷)。In some embodiments, the one or more carbon-containing compounds are selected from the group consisting of monocarboxylic anhydride, toluene, diethylene glycol, triethylene glycol, acetaldehyde, and organic silicon compounds (such as silane and siloxane).

在一些實施例中,該光阻底層的一厚度係小於5 nm。In some embodiments, a thickness of the photoresist bottom layer is less than 5 nm.

在一些實施例中,該方法進一步包含形成上覆於該光阻底層之一含碳層的一步驟。In some embodiments, the method further comprises a step of forming a carbon-containing layer overlying the photoresist base layer.

在一些實施例中,該含碳層包含矽、氧、及碳。In some embodiments, the carbon-containing layer comprises silicon, oxygen, and carbon.

在一些實施例中,該含碳層包含非晶碳。In some embodiments, the carbon-containing layer comprises amorphous carbon.

在一些實施例中,該方法進一步包含形成上覆於該光阻底層之一自組裝層的一步驟。In some embodiments, the method further comprises a step of forming a self-assembly layer overlying the photoresist base layer.

在一些實施例中,該自組裝層係由包含矽及碳之一或多者的一材料形成。In some embodiments, the self-assembled layer is formed of a material comprising one or more of silicon and carbon.

在一些實施例中,該材料包含一或多個Si-C鍵。In some embodiments, the material comprises one or more Si-C bonds.

在一些實施例中,該材料係選自包含矽烷及矽氧烷之群組。In some embodiments, the material is selected from the group consisting of silanes and siloxanes.

在一些實施例中,該材料係選自包含氟化矽烷及氟化矽氧烷之群組。In some embodiments, the material is selected from the group consisting of fluorinated silanes and fluorinated siloxanes.

在一些實施例中,該材料係選自包含下列之群組:二甲基胺基三甲基矽烷(DMA-TMS)、六甲基二矽氮烷(HMDS)、(3-溴丙基)三甲氧基矽烷、(3-碘丙基)三甲氧基矽烷、3-(三甲氧基矽基)丙基丙烯酸酯、三甲氧基苯基矽烷、三甲氧基(3,3,3-三氟丙基)矽烷、1H,1H,2H,2H-全氟辛基三乙氧基矽烷、ti氯(苯基)矽烷、及三甲氧基(1H,1H,2H,2H-十七氟癸基)矽烷(FTMS)。In some embodiments, the material is selected from the group consisting of dimethylaminotrimethylsilane (DMA-TMS), hexamethyldisilazane (HMDS), (3-bromopropyl)trimethoxysilane, (3-iodopropyl)trimethoxysilane, 3-(trimethoxysilyl)propyl acrylate, trimethoxyphenylsilane, trimethoxy(3,3,3-trifluoropropyl)silane, 1H,1H,2H,2H-perfluorooctyltriethoxysilane, tichloro(phenyl)silane, and trimethoxy(1H,1H,2H,2H-heptadecafluorodecyl)silane (FTMS).

在一些實施例中,該底層係在至少70 °C到至多300 °C的一溫度下形成。In some embodiments, the base layer is formed at a temperature of at least 70°C to at most 300°C.

在一些實施例中,該底層係在至少70 °C到至多200 °C的一溫度下形成。In some embodiments, the base layer is formed at a temperature of at least 70°C to at most 200°C.

在一些實施例中,該底層係在至少200 °C到至多300 °C的一溫度下形成。In some embodiments, the base layer is formed at a temperature of at least 200°C to at most 300°C.

在一些實施例中,形成該底層包含形成一下部底層部分及一上部底層部分。In some embodiments, forming the bottom layer includes forming a lower bottom layer portion and an upper bottom layer portion.

在一些實施例中,該下部底層部分係使用一金屬鹵化物前驅物形成。In some embodiments, the lower substrate portion is formed using a metal halide precursor.

在一些實施例中,該下部底層部分係使用一含金屬前驅物形成,該含金屬前驅物具有一通式M[R(Cx Hy )n ]4 ,其中M係選自Ti、Ta、Hf、Zn、及Zr,其中R係選自OCH及N,其中x係1至2,其中y係3至6,且其中n係2至3。In some embodiments, the lower bottom layer portion is formed using a metal-containing precursor having a general formula M[R( CxHy ) n ] 4 , wherein M is selected from Ti, Ta, Hf, Zn, and Zr, wherein R is selected from OCH and N, wherein x is 1-2, wherein y is 3-6, and wherein n is 2-3.

在一些實施例中,該上部底層部分係使用一含金屬前驅物形成,該含金屬前驅物具有一通式M[R(Cx Hy )n ]4 ,其中M係選自Ti、Ta、Hf、Zn、及Zr,其中R係選自OCH及N,其中x係1至2,其中y係3至6,且其中n係2至3。In some embodiments, the upper bottom layer portion is formed using a metal-containing precursor having a general formula M[R( CxHy ) n ] 4 , wherein M is selected from Ti, Ta, Hf, Zn, and Zr, wherein R is selected from OCH and N, wherein x is 1-2, wherein y is 3-6, and wherein n is 2-3.

在一些實施例中,該上部底層部分係使用電漿增強ALD或電漿增強化學氣相沉積形成,其中使用一稀有氣體作為一電漿氣體。In some embodiments, the upper bottom layer portion is formed using plasma enhanced ALD or plasma enhanced chemical vapor deposition, wherein a noble gas is used as a plasma gas.

在一些實施例中,該稀有氣體包含Ar。In some embodiments, the noble gas comprises Ar.

在一些實施例中,該上部底層部分包含矽、氧化物、及碳,該上部底層部分具有從至少0.1 nm到至多2.0 nm的一厚度,且該上部底層部分係使用一有機矽前驅物及一含氧反應物形成,該含氧反應物係選自O2 、H2 O、O3 、及H2 O2In some embodiments, the upper bottom layer portion comprises silicon, oxide, and carbon, the upper bottom layer portion has a thickness from at least 0.1 nm to at most 2.0 nm, and the upper bottom layer portion is formed using an organic silicon precursor and an oxygen-containing reactant selected from O2 , H2O , O3 , and H2O2 .

在一些實施例中,該方法包含使該底層曝露至一表面處理的一步驟,該表面處理包含使該底層曝露至一有機矽化合物的一步驟,該有機矽化合物係選自由下列所組成之列表:雙(三丙基矽基)胺、雙(三乙基矽基)胺、雙(三甲基矽基)胺、(二甲基胺基)三甲基矽烷、(二乙基胺基)三甲基矽烷、(二乙基胺基)三乙基矽烷、及(二甲基胺基)三乙基矽烷。In some embodiments, the method includes exposing the base layer to a surface treatment step, the surface treatment comprising exposing the base layer to an organic silicon compound selected from the list consisting of bis(tripropylsilyl)amine, bis(triethylsilyl)amine, bis(trimethylsilyl)amine, (dimethylamino)trimethylsilane, (diethylamino)trimethylsilane, (diethylamino)triethylsilane, and (dimethylamino)triethylsilane.

在一些實施例中,該方法進一步包含使該底層曝露至一電漿的一步驟。In some embodiments, the method further comprises a step of exposing the base layer to a plasma.

在一些實施例中,形成該底層包含一電漿增強原子層沉積(PEALD)製程,其包含一或多個循環,該等循環包含:提供一基材至一反應室;使該基材曝露至一或多個前驅物;從該反應室沖洗過量的前驅物;使該基材曝露至一稀有氣體電漿,該稀有氣體電漿包含反應性物種;及從該反應室沖洗過量的反應性物種。In some embodiments, forming the bottom layer comprises a plasma enhanced atomic layer deposition (PEALD) process comprising one or more cycles comprising: providing a substrate to a reaction chamber; exposing the substrate to one or more precursors; flushing excess precursors from the reaction chamber; exposing the substrate to a noble gas plasma comprising reactive species; and flushing excess reactive species from the reaction chamber.

在一些實施例中,該下部底層部分具有一層狀結構,其包含交替的含矽層狀組織及含金屬層狀組織。In some embodiments, the lower bottom layer portion has a layered structure comprising alternating silicon-containing layered structures and metal-containing layered structures.

在一些實施例中,該下部底層部分包含一金屬矽化物。In some embodiments, the lower bottom layer portion includes a metal silicide.

在一些實施例中,形成該底層包含執行複數個子循環x及子循環y,其中子循環x及子循環y係交替地實行,其中子循環x包含在一反應室中使該基材曝露至一矽前驅物;從該反應室沖洗過量的矽前驅物;使該基材遭受一電漿;及從該反應室沖洗過量的反應性物種;且其中子循環y包含使該基材曝露至一金屬前驅物;從該反應室沖洗過量的金屬前驅物;使該基材曝露至一電漿;及從該反應室沖洗過量的反應性物種。In some embodiments, forming the bottom layer comprises performing a plurality of sub-cycles x and sub-cycles y, wherein sub-cycles x and sub-cycles y are performed alternately, wherein sub-cycle x comprises exposing the substrate to a silicon precursor in a reaction chamber; flushing excess silicon precursor from the reaction chamber; subjecting the substrate to a plasma; and flushing excess reactive species from the reaction chamber; and wherein sub-cycle y comprises exposing the substrate to a metal precursor; flushing excess metal precursor from the reaction chamber; exposing the substrate to a plasma; and flushing excess reactive species from the reaction chamber.

在一些實施例中,形成該下部底層部分包含執行複數個子循環x及子循環y,且子循環x及子循環y係交替地實行,且子循環x包含在一反應室中使該基材曝露至一矽前驅物;從該反應室沖洗過量的矽前驅物;使該基材遭受一電漿;及從該反應室沖洗過量的反應性物種;且子循環y包含使該基材曝露至一金屬前驅物;從該反應室沖洗過量的金屬前驅物;使該基材曝露至一電漿;及從該反應室沖洗過量的反應性物種。In some embodiments, forming the lower bottom layer portion includes performing a plurality of sub-cycles x and sub-cycles y, and sub-cycles x and sub-cycles y are performed alternately, and sub-cycle x includes exposing the substrate to a silicon precursor in a reaction chamber; flushing excess silicon precursor from the reaction chamber; subjecting the substrate to a plasma; and flushing excess reactive species from the reaction chamber; and sub-cycle y includes exposing the substrate to a metal precursor; flushing excess metal precursor from the reaction chamber; exposing the substrate to a plasma; and flushing excess reactive species from the reaction chamber.

在一些實施例中,子循環x)及/或子循環y)包含使用一H2 電漿。In some embodiments, sub-cycle x) and/or sub-cycle y) comprises using a H 2 plasma.

在一些實施例中,子循環x)及/或子循環y)包含使用一O2 電漿。In some embodiments, sub-cycle x) and/or sub-cycle y) comprises using an O 2 plasma.

在一些實施例中,子循環x)及/或子循環y)包含使用一稀有氣體電漿。In some embodiments, sub-cycle x) and/or sub-cycle y) includes using a noble gas plasma.

在一些實施例中,子循環x)包含使用一O2 電漿,且子循環y)包含使用一H2 電漿。In some embodiments, sub-cycle x) comprises using an O 2 plasma and sub-cycle y) comprises using an H 2 plasma.

在一些實施例中,子循環x)包含使用一H2 電漿,且子循環y)包含使用一O2 電漿。In some embodiments, sub-cycle x) comprises using an H 2 plasma and sub-cycle y) comprises using an O 2 plasma.

在一些實施例中,子循環x)包含使用一稀有氣體電漿,且子循環y)包含使用一O2 電漿。In some embodiments, sub-cycle x) includes using a noble gas plasma and sub-cycle y) includes using an O 2 plasma.

在一些實施例中,子循環x)包含使用一O2 電漿,且子循環y)包含使用一稀有氣體電漿。In some embodiments, sub-cycle x) includes using an O 2 plasma and sub-cycle y) includes using a noble gas plasma.

在一些實施例中,子循環x)包含使用一稀有氣體電漿,且子循環y)包含使用一H2 電漿。In some embodiments, sub-cycle x) includes using a noble gas plasma and sub-cycle y) includes using a H 2 plasma.

在一些實施例中,子循環x)包含使用一H2 電漿,且子循環y)包含使用一稀有氣體電漿。In some embodiments, sub-cycle x) includes using a H 2 plasma and sub-cycle y) includes using a noble gas plasma.

在一些實施例中,子循環x)及/或子循環y)包含使用一N2 電漿、NH3 電漿、或N2 /H2 電漿。In some embodiments, sub-cycle x) and/or sub-cycle y) comprises using a N 2 plasma, NH 3 plasma, or N 2 /H 2 plasma.

在一些實施例中,該金屬前驅物具有一通式M[R(Cx Hy )n ]4 ,其中M係選自Ti、Ta、Hf、Zn、及Zr,其中R係選自OCH及N,其中x係1至2,其中y係3至6,且其中n係2至3。In some embodiments, the metal precursor has a general formula M[R( CxHy ) n ] 4 , wherein M is selected from Ti, Ta, Hf, Zn, and Zr, wherein R is selected from OCH and N, wherein x is 1-2, wherein y is 3-6, and wherein n is 2-3.

在一些實施例中,該矽前驅物係選自胺基矽烷、烷基矽烷、烷氧基矽烷、及矽鹵化物。In some embodiments, the silane precursor is selected from aminosilanes, alkylsilanes, alkoxysilanes, and silane halides.

在一些實施例中,該方法進一步包含形成上覆於該光阻底層之一光阻層的一步驟。In some embodiments, the method further comprises a step of forming a photoresist layer overlying the photoresist base layer.

本文進一步描述一種根據本文所述之方法形成之結構。Further described herein is a structure formed according to the methods described herein.

進一步描述一種配置以用於執行如本文所述之方法之系統。Further described is a system configured for performing the method as described herein.

在一些實施例中,該系統包含一第一反應室及一第二反應室,其中該第一反應室及該第二反應室兩者係配置以用於沉積一下部底層部分,其中該第二反應室係配置以用於沉積一上部底層部分,其中該第一反應室在一第一操作溫度下操作,其中該第二反應室在一第二操作溫度下操作,且其中該第一操作溫度高於該第二操作溫度。In some embodiments, the system includes a first reaction chamber and a second reaction chamber, wherein both the first reaction chamber and the second reaction chamber are configured for depositing a lower bottom layer portion, wherein the second reaction chamber is configured for depositing an upper bottom layer portion, wherein the first reaction chamber operates at a first operating temperature, wherein the second reaction chamber operates at a second operating temperature, and wherein the first operating temperature is higher than the second operating temperature.

在一些實施例中,該系統包含一第三反應室,其中該第三反應室係配置以用於使該底層遭受一熱或一電漿增強後處理。In some embodiments, the system includes a third reaction chamber, wherein the third reaction chamber is configured to subject the bottom layer to a thermal or a plasma enhanced post-treatment.

在一些實施例中,該第二反應室係進一步配置以用於使該底層遭受一熱或一電漿增強後處理。In some embodiments, the second reaction chamber is further configured to subject the bottom layer to a thermal or a plasma enhanced post-treatment.

在一些實施例中,該系統包含一反應室,其包含一噴淋頭氣體分配總成。In some embodiments, the system includes a reaction chamber including a showerhead gas distribution assembly.

在一些實施例中,該第一反應室及/或該第二反應室包含一噴淋頭氣體分配總成。In some embodiments, the first reaction chamber and/or the second reaction chamber comprises a showerhead gas distribution assembly.

在一些實施例中,該第三反應室包含一噴淋頭氣體分配總成。In some embodiments, the third reaction chamber includes a showerhead gas distribution assembly.

所屬技術領域中具有通常知識者將從已參照隨附圖式之此些以及其他實施例的下列詳細描述輕易明白這些及其他實施例;本發明並未受限於任何已揭示的(多個)特定實施例。These and other embodiments will become readily apparent to those skilled in the art from the following detailed description of these and other embodiments, which has been prepared with reference to the accompanying drawings; the present invention is not limited to any particular embodiment(s) disclosed.

雖然在下文揭示特定實施例及實例,將瞭解本發明延伸超出其具體揭示的實施例及/或用途以及其明顯的修改及等同物。因此,意欲使所揭示之本發明的範疇不應受下文所述之具體揭示實施例的限制。Although specific embodiments and examples are disclosed below, it will be understood that the invention extends beyond the specifically disclosed embodiments and/or uses thereof and obvious modifications and equivalents thereof. Therefore, it is intended that the scope of the invention disclosed should not be limited to the specific disclosed embodiments described below.

本揭露大致上係關於形成包括光阻底層的結構之方法及包括光阻底層之結構。如下文更詳細描述,例示性方法可用以形成提供所欲性質之具有光阻底層的結構,該等所欲性質係諸如所欲厚度(例如,小於10或小於5 nm)、相對低的表面粗糙度、至光阻的良好黏附性、所欲的蝕刻選擇性、所欲的厚度均勻性(在基材(例如晶圓)內以及在基材之間均是)、高圖案品質(缺陷數目少及高圖案保真度)、低線寬粗糙度(LWR)、EUV微影處理期間(例如任何後曝光烘烤(PEB)期間)的穩定度、光阻顯影、基材重工、合理的EUV敏感度、及與整合的相容性(亦即,在底層的沉積條件下,其他下方層不應受損,例如不會過高的沉積溫度)。進一步地,如下文所提出的,本揭露之例示性實施例可用以調諧表面性質(諸如光阻底層之表面能的極性部分及分散部分的值),以例如促進光阻底層與光阻層之間的黏附性。The present disclosure generally relates to methods of forming structures including photoresist bottom layers and structures including photoresist bottom layers. As described in more detail below, exemplary methods may be used to form structures having a photoresist base layer that provide desired properties, such as a desired thickness (e.g., less than 10 or less than 5 nm), relatively low surface roughness, good adhesion to the photoresist, desired etch selectivity, desired thickness uniformity (both within a substrate (e.g., a wafer) and between substrates), high pattern quality (low defect counts and high pattern fidelity), low line width roughness (LWR), stability during EUV lithography processing (e.g., during any post-exposure bake (PEB)), photoresist development, substrate rework, reasonable EUV sensitivity, and compatibility with integration (i.e., other underlying layers should not be damaged under the deposition conditions of the base layer, e.g., not too high a deposition temperature). Further, as discussed below, exemplary embodiments of the present disclosure may be used to tune surface properties (e.g., the values of the polar and dispersive portions of the surface energy of a photoresist base layer) to, for example, promote adhesion between the photoresist base layer and the photoresist layer.

如本文中所使用,用語「基材(substrate)」可指包括一或多個層及/或一或多個層可沉積於其上的任何(多個)下伏材料。基材可包括塊材(諸如矽(例如單晶矽))、其他IV族材料(諸如鍺)、或化合物半導體材料(諸如GaAs),並可包括上覆或下伏於塊材的一或多層。例如,基材可包括上覆於塊材之若干層的圖案化堆疊。圖案化堆疊可根據應用而改變。進一步地,基材可額外或替代地包括各種特徵,諸如形成在基材之一層的至少一部分之內或之上的凹部、線、及類似者。As used herein, the term "substrate" may refer to any underlying material(s) including one or more layers and/or one or more layers may be deposited thereon. A substrate may include a bulk material such as silicon (e.g., single crystal silicon), other Group IV materials such as germanium, or compound semiconductor materials such as GaAs, and may include one or more layers overlying or underlying the bulk material. For example, a substrate may include a patterned stack of layers overlying the bulk material. The patterned stack may vary depending on the application. Further, the substrate may additionally or alternatively include various features such as recesses, lines, and the like formed in or on at least a portion of a layer of the substrate.

在一些實施例中,「膜(film)」係指在垂直於厚度方向的方向上延伸的層。在一些實施例中,「層(layer)」係指形成於表面上之具有特定厚度之材料或者膜或非膜結構之同義詞。膜或層可由具有特定特性之離散單一膜或層或者由多個膜或層構成,且相鄰膜或層之間的邊界可明確或可不明確,並可或可不基於物理、化學、及/或任何其他特性、形成製程或序列、及/或相鄰膜或層之功能或用途而建立。進一步地,層或膜可係連續或不連續的。In some embodiments, "film" refers to a layer extending in a direction perpendicular to the thickness direction. In some embodiments, "layer" refers to a synonym for a material or a film or non-film structure with a specific thickness formed on a surface. A film or layer may be composed of a discrete single film or layer with specific properties or a plurality of films or layers, and the boundaries between adjacent films or layers may or may not be clear and may or may not be established based on physical, chemical, and/or any other properties, formation processes or sequences, and/or functions or uses of adjacent films or layers. Further, a layer or film may be continuous or discontinuous.

在本揭露中,「氣體(gas)」可包括為常溫及常壓下之氣體、汽化固體、及/或汽化液體之材料,並可取決於上下文由單一氣體或氣體混合物構成。除了製程氣體以外的氣體(亦即,非通過氣體分配總成(諸如噴淋頭)、其他氣體分配裝置、或類似者所引入的氣體)可用於例如密封反應空間,且可包括諸如稀有氣體的密封氣體。In the present disclosure, "gas" may include materials that are gases at normal temperature and pressure, vaporized solids, and/or vaporized liquids, and may consist of a single gas or a mixture of gases, depending on the context. Gases other than process gases (i.e., gases not introduced through a gas distribution assembly (such as a showerhead), other gas distribution devices, or the like) may be used, for example, to seal reaction spaces, and may include sealing gases such as noble gases.

在一些情況下(諸如在材料沉積之背景下),用語「前驅物(precursor)」可指參與生成另一化合物之化學反應的化合物,且具體係指構成膜基質或膜之主要架構的化合物,而用語「反應物(reactant)」可指在一些情況下有別於前驅物之化合物,其使前驅物活化、對前驅物進行改質、或催化前驅物之反應;反應物可提供元素(諸如,O、N、C)至膜基質,並成為膜基質之一部分。在一些情況下,用語前驅物(precursor)及反應物(reactant)可互換地使用。用語「惰性氣體(inert gas)」係指當例如施加RF或微波功率時,在可察覺的程度上不參與化學反應的氣體及/或激發前驅物的氣體,但不像反應物,該惰性氣體無法在可察覺的程度上變為膜基質之一部分。In some cases (such as in the context of material deposition), the term "precursor" may refer to a compound that participates in a chemical reaction to form another compound, and specifically refers to a compound that constitutes the membrane matrix or the main structure of the membrane, while the term "reactant" may refer to a compound that is different from the precursor in some cases, which activates the precursor, modifies the precursor, or catalyzes the reaction of the precursor; the reactant can provide elements (such as O, N, C) to the membrane matrix and become a part of the membrane matrix. In some cases, the terms precursor and reactant can be used interchangeably. The term "inert gas" refers to a gas that does not participate in chemical reactions to an appreciable extent and/or excite precursors when, for example, RF or microwave power is applied, but unlike the reactants, the inert gas does not become part of the membrane matrix to an appreciable extent.

用語「循環沉積製程(cyclic deposition process/cyclical deposition process)」可指將前驅物(及/或反應物)循序引入反應室中以在基材上方沉積層,並包括處理技術,諸如原子層沉積(ALD)、循環化學氣相沉積(循環CVD)、及包括ALD組分及循環CVD組分之混合循環沉積製程。The term "cyclic deposition process" or "cyclical deposition process" may refer to the sequential introduction of precursors (and/or reactants) into a reaction chamber to deposit a layer above a substrate, and includes processing techniques such as atomic layer deposition (ALD), cyclic chemical vapor deposition (cyclic CVD), and hybrid cyclic deposition processes including ALD and cyclic CVD components.

用語「原子層沉積(atomic layer deposition)」可指氣相沉積製程,其中沉積循環(一般係複數個接續的沉積循環)係在製程室中實施。當使用(多個)前驅物/(多個)反應性氣體及(多個)沖洗(例如惰性載體)氣體的交替脈衝執行時,如本文中所使用之用語原子層沉積(atomic layer deposition)亦意指包括由相關用語指定的製程,諸如化學氣相原子層沉積、原子層磊晶(ALE)、分子束磊晶(MBE)、氣體源MBE、或有機金屬MBE、及化學束磊晶。The term "atomic layer deposition" may refer to a vapor phase deposition process in which a deposition cycle (typically a plurality of consecutive deposition cycles) is performed in a process chamber. When performed using alternating pulses of precursor(s)/reactive(s) gas and purge(s) (e.g., inert carrier) gas, the term atomic layer deposition as used herein is also meant to include processes designated by related terms such as chemical vapor phase atomic layer deposition, atomic layer epitaxy (ALE), molecular beam epitaxy (MBE), gas source MBE, or organometallic MBE, and chemical beam epitaxy.

通常,對ALD製程而言,在各循環期間,前驅物係引入反應室且經化學吸附至沉積表面(例如,可包括來自先前ALD循環之先前經沉積材料或其他材料的基材表面),形成不易與額外前驅物起反應(亦即,自限式反應)的約一材料單層或次單層。其後,在一些情況下,可隨後將反應物(例如,另一前驅物或反應氣體)引入至製程室中,以用於在沉積表面上將經化學吸附之前驅物轉化為所欲材料。反應物能夠進一步與前驅物起反應。可在一或多個循環期間(例如在各循環之各步驟期間)利用沖洗步驟來從製程室移除任何過量前驅物及/或從反應室移除任何過量反應物及/或反應副產物。Typically, for an ALD process, during each cycle, a precursor is introduced into the chamber and chemisorbed onto a deposition surface (e.g., a substrate surface that may include previously deposited material from a previous ALD cycle or other material), forming about a monolayer or sub-monolayer of material that is not readily reactive with additional precursors (i.e., a self-limiting reaction). Thereafter, in some cases, a reactant (e.g., another precursor or a reactive gas) may be subsequently introduced into the chamber for converting the chemisorbed precursor into a desired material on the deposition surface. The reactant is capable of further reacting with the precursor. A purging step may be utilized during one or more cycles (e.g., during each step of each cycle) to remove any excess precursor from the process chamber and/or to remove any excess reactant and/or reaction byproducts from the reaction chamber.

在本揭露中,變數之任兩個數字可構成變數之可工作範圍,且所指示之任何範圍可包括或排除端點。此外,所指示的變數之任何數值(不管該等數值是否以「約」來指示)可指精確值或近似值並包括等效值,且在一些實施例中可指平均值、中值、代表值、多數值等。進一步地,在本揭露中,於一些實施例中,用語「包括(including)」、「由……構成(constituted by)」、及「具有(having)」可獨立地指「一般或廣泛地包含(typically or broadly comprising)」、「包含(comprising)」、「基本上由……組成(consisting essentially of)」、或「由……組成(consisting of)」。根據本揭露之態樣,用語之任何已定義的意義不一定排除該等用語的尋常及慣例意義。In the present disclosure, any two numbers of a variable may constitute the working range of the variable, and any range indicated may include or exclude the endpoints. In addition, any numerical values of the indicated variables (regardless of whether such numerical values are indicated with "about") may refer to exact values or approximate values and include equivalent values, and in some embodiments may refer to average values, medians, representative values, majority values, etc. Further, in the present disclosure, in some embodiments, the terms "including", "constituted by", and "having" may independently refer to "typically or broadly comprising", "comprising", "consisting essentially of", or "consisting of". According to the aspects of the present disclosure, any defined meanings of the terms do not necessarily exclude the usual and customary meanings of the terms.

現轉向圖式,第1圖繪示根據本揭露之例示性實施例之方法100。方法100包括下列步驟:提供基材(步驟102)、形成光阻底層(步驟104)、及沉積光阻層(步驟106)。方法100亦可包括形成含碳層(步驟108)及/或執行表面處理(步驟110)之一或多者。Now turning to the drawings, FIG. 1 shows a method 100 according to an exemplary embodiment of the present disclosure. The method 100 includes the following steps: providing a substrate (step 102), forming a photoresist bottom layer (step 104), and depositing a photoresist layer (step 106). The method 100 may also include one or more of forming a carbon-containing layer (step 108) and/or performing a surface treatment (step 110).

步驟102包括提供基材(諸如本文所述之基材)。基材可包括欲蝕刻之一或多個層,包括一或多個材料層。舉實例而言,基材可包括欲蝕刻之經沉積的氧化物、原生氧化物、或非晶碳層。基材可包括下伏於欲蝕刻之(多個)材料層的若干層。Step 102 includes providing a substrate (such as described herein). The substrate may include one or more layers to be etched, including one or more material layers. For example, the substrate may include a deposited oxide, native oxide, or amorphous carbon layer to be etched. The substrate may include several layers underlying the material layer(s) to be etched.

在步驟104期間,光阻底層係形成於基材表面上。根據方法100之例示性態樣,光阻底層係使用循環沉積製程(諸如ALD製程)形成。循環沉積製程可包括使用活化物種(例如,由一或多個前驅物、反應物、及/或惰性氣體所形成者),該等活化物種係使用直接電漿及遠端電漿之一或多者形成。替代地,步驟104可包括熱循環沉積製程。使用循環沉積製程可係所欲的,因為其等允許形成具有所欲厚度(例如,小於10 nm或小於或約等於5 nm)、具有改善的厚度均勻性(在基材內及基材之間均是)之光阻底層。使用電漿增強製程可係所欲的,因為電漿增強製程允許在相對低溫下沉積光阻底層材料。During step 104, a photoresist base layer is formed on the substrate surface. According to an exemplary aspect of method 100, the photoresist base layer is formed using a cyclic deposition process (such as an ALD process). The cyclic deposition process may include the use of activated species (e.g., formed from one or more precursors, reactants, and/or inert gases) formed using one or more of a direct plasma and a remote plasma. Alternatively, step 104 may include a thermal cyclic deposition process. The use of cyclic deposition processes may be desirable because they allow the formation of a photoresist base layer having a desired thickness (e.g., less than 10 nm or less than or approximately equal to 5 nm) with improved thickness uniformity (both within the substrate and between substrates). Use of a plasma enhanced process may be desirable because it allows deposition of the photoresist base material at relatively low temperatures.

根據本揭露之實例,步驟104期間之反應室內的溫度可小於500 °C、小於400 °C、小於300 °C、或介於約100 °C與約500 °C之間、或介於約150 °C與約300 °C之間。步驟104期間之反應室內的壓力可係約1 Torr至約100 Torr、約3 Torr至約50 Torr、或約5 Torr至約20 Torr。According to an example of the present disclosure, the temperature in the reaction chamber during step 104 may be less than 500° C., less than 400° C., less than 300° C., or between about 100° C. and about 500° C., or between about 150° C. and about 300° C. The pressure in the reaction chamber during step 104 may be about 1 Torr to about 100 Torr, about 3 Torr to about 50 Torr, or about 5 Torr to about 20 Torr.

根據本揭露之例示性實施例,步驟104包括形成或沉積金屬氧化物、金屬氮化物、及金屬氮氧化物之一或多者。在一些情況下,光阻底層可額外地包括碳。可隨著光阻底層之沉積將碳併入光阻底層中及/或可施加碳處理至光阻底層之表面。額外或替代地,可將含碳層或其他層沉積至光阻底層之表面上。According to exemplary embodiments of the present disclosure, step 104 includes forming or depositing one or more of a metal oxide, a metal nitride, and a metal oxynitride. In some cases, the photoresist underlayer may additionally include carbon. The carbon may be incorporated into the photoresist underlayer as it is deposited and/or a carbon treatment may be applied to the surface of the photoresist underlayer. Additionally or alternatively, a carbon-containing layer or other layer may be deposited on the surface of the photoresist underlayer.

可使用包括碳之一或多個前驅物將碳併入光阻底層中。例如,諸如ALD製程之循環製程可包括(A)將包含金屬之第一前驅物脈衝至反應室中,(B)將包含氧化劑及/或氮化劑之第二前驅物或反應物脈衝至反應室中,及(C)將碳前驅物脈衝至反應室中。脈衝之各者可藉由沖洗步驟來分開。進一步地,在繼續進行至下一步驟之前,可重複各脈衝步驟或脈衝步驟之組合(例如脈衝步驟(A)及(B))若干次以調諧光阻底層中的碳量。例如,(AB):C之比率範圍可係約1:1至約1:10。除非另有註明,可以任何順序執行步驟(A)、(B)、及(C),並可重複步驟之各種組合。然而,在一些情況下,可係所欲的是初始藉由執行步驟(A)及(B)之一或多個循環來形成金屬氧化物、氮化物、或氮氧化物,並接著執行步驟(C)的一或多個循環。可執行步驟(A)、(B)、及(C)的各種組合以在光阻底層的整個厚度中得到所欲的濃度梯度。在一些情況下,可省略步驟(C)。Carbon may be incorporated into the photoresist base layer using one or more precursors including carbon. For example, a cyclic process such as an ALD process may include (A) pulsing a first precursor comprising a metal into a reaction chamber, (B) pulsing a second precursor or reactant comprising an oxidizer and/or a nitrider into the reaction chamber, and (C) pulsing a carbon precursor into the reaction chamber. Each of the pulses may be separated by a rinse step. Further, each pulse step or combination of pulse steps (e.g., pulse steps (A) and (B)) may be repeated several times to tune the amount of carbon in the photoresist base layer before proceeding to the next step. For example, the ratio of (AB):C may range from about 1:1 to about 1:10. Unless otherwise noted, steps (A), (B), and (C) may be performed in any order, and various combinations of steps may be repeated. However, in some cases, it may be desirable to initially form the metal oxide, nitride, or oxynitride by performing one or more cycles of steps (A) and (B), and then perform one or more cycles of step (C). Various combinations of steps (A), (B), and (C) may be performed to obtain a desired concentration gradient throughout the thickness of the photoresist base layer. In some cases, step (C) may be omitted.

根據本揭露之例示性態樣,包含金屬之第一前驅物可包括過渡金屬(諸如鉿、鈦、鋁、鋯、鋅、及類似者)。包含金屬之第一前驅物亦可包括碳(例如,直接或間接鍵結至金屬原子的一或多個有機基)。舉具體實例而言,包含金屬之第一前驅物可包括金屬鹵化物、或金屬有機化合物、或有機金屬化合物(諸如四(二甲基胺基)鈦(TDMAT)、異丙醇鈦(TTIP)、氯化鈦(TiCl)、四(乙基甲基胺基)鉿(TEMAHf)、氯化鉿(HfCl)、三甲鋁(TMA)、三乙鋁(TEA)、其他金屬鹵化物、或其他含金屬化合物之一或多者)。According to exemplary aspects of the present disclosure, the first precursor comprising a metal may include a transition metal (such as einsteinium, titanium, aluminum, zirconium, zinc, and the like). The first precursor comprising a metal may also include carbon (e.g., directly or indirectly bonded to one or more organic groups of the metal atom). For specific examples, the first precursor comprising a metal may include a metal halide, or a metal organic compound, or an organometallic compound (such as tetrakis(dimethylamino)titanium (TDMAT), titanium isopropoxide (TTIP), titanium chloride (TiCl), tetrakis(ethylmethylamino)einium (TEMAHf), einsteinium chloride (HfCl), trimethylaluminum (TMA), triethylaluminum (TEA), other metal halides, or one or more of other metal-containing compounds).

根據本揭露之例示性實施例,光阻底層包含金屬、氧、及碳。合適地,此類光阻底層可藉由使用含金屬前驅物來形成,該含金屬前驅物係在形成光阻底層的步驟期間提供至反應室。合適地,前驅物包含醇鹽或烷醯胺金屬前驅物。例如,含金屬前驅物可具有通式M[R(Cx Hy )n ]4 ,其中M係選自Ti、Ta、Hf、Zn、及Zr,其中R係選自OCH及N,其中x係1至2,其中y係3至6,且其中n係2至3。具體地,具有通式Ti[R(Cx Hy )n ]4 之含鈦前驅物可係特別合適者。在本發明不受理論或任何具體操作模式約束的情況下,咸信可將前驅物配體併入底層(諸如含碳氧化鈦的底層)中,其可改善光阻的黏附性。因此,此類底層不一定需要在含金屬層與後續沉積的光阻之間存在黏附層或膠合層。According to exemplary embodiments of the present disclosure, the photoresist underlayer comprises metal, oxygen, and carbon. Suitably, such photoresist underlayer may be formed by using a metal-containing precursor, which is provided to the reaction chamber during the step of forming the photoresist underlayer. Suitably, the precursor comprises an alkoxide or alkamide metal precursor. For example, the metal-containing precursor may have the general formula M[R( CxHy ) n ] 4 , wherein M is selected from Ti, Ta, Hf, Zn, and Zr, wherein R is selected from OCH and N, wherein x is 1 to 2, wherein y is 3 to 6, and wherein n is 2 to 3. Specifically, a titanium-containing precursor having the general formula Ti[R( CxHy ) n ] 4 may be particularly suitable. Without being bound by theory or any particular mode of operation, it is believed that the precursor ligand can be incorporated into an underlayer (such as an underlayer containing titanium oxycarbide) which can improve the adhesion of the photoresist. Therefore, such an underlayer does not necessarily require the presence of an adhesion layer or glue layer between the metal-containing layer and the subsequently deposited photoresist.

如第6圖所示,相較於使用具有通式TiX4 (其中X係諸如Cl、I、或F的鹵素)之前驅物生長底層時的情況,使用使用具有通式Ti[R(Cx Hy )n ]4 之前驅物生長之底層允許經由EUV微影得到較佳解析度。As shown in FIG. 6 , using a pre-grown underlayer having the general formula Ti[R(C x H y ) n ] 4 allows for better resolution via EUV lithography compared to when a pre-grown underlayer having the general formula TiX 4 (where X is a halogen such as Cl, I, or F) is used.

氧化劑及/或氮化劑可包括反應物,該等反應物包括氧及氮之一或多者。在一些情況下,反應物可包括氮及氧兩者。並且,在一些情況下,單一脈衝中可包括二或更多個氧化劑及/或氮化劑。例示性氧化劑及氮化劑包括氧(O2 )、水(H2 O)、臭氧(O3 )、過氧化氫(H2 O2 )、NH3 、二氮烯(N2 H2 )、及類似者。The oxidizing agent and/or nitriding agent may include reactants that include one or more of oxygen and nitrogen. In some cases, the reactants may include both nitrogen and oxygen. Also, in some cases, two or more oxidizing agents and/or nitriding agents may be included in a single pulse. Exemplary oxidizing agents and nitriding agents include oxygen (O 2 ), water (H 2 O), ozone (O 3 ), hydrogen peroxide (H 2 O 2 ), NH 3 , diazene (N 2 H 2 ), and the like.

本文所述之底層可例如使用熱ALD或熱CVD方法沉積。在此情況下,可選擇氧化劑作為反應物。例如,氧化劑可選自H2 O、O3 、及H2 O2 。替代地,本文所述之底層可使用電漿ALD或電漿脈衝CVD沉積。合適的電漿包括H2 、H2 /He、H2 /Ar、Ar、或O2 電漿。兩種方法可合適地提供用於沉積具有低不均勻性的薄(≤ 5 nm) TiOx CyThe bottom layer described herein can be deposited, for example, using a thermal ALD or thermal CVD method. In this case, an oxidant can be selected as a reactant. For example, the oxidant can be selected from H 2 O, O 3 , and H 2 O 2. Alternatively, the bottom layer described herein can be deposited using plasma ALD or plasma pulsed CVD. Suitable plasmas include H 2 , H 2 /He, H 2 /Ar, Ar, or O 2 plasma. Both methods can provide suitable for depositing thin (≤ 5 nm) TiO x C y with low inhomogeneity.

碳前驅物可包括任何合適的有機化合物(諸如包含碳及氧的化合物)。在一些情況下,碳前驅物亦可包括氮。可選擇碳前驅物以與例如金屬氧化物之-OH封端表面及/或金屬氮化物之-NH2封端表面起反應。合適的碳前驅物之實例包括有機化合物(諸如酸酐(例如醋酸酐)、甲苯、二乙二醇、三乙二醇、乙醛)及有機矽化合物(諸如矽烷及矽氧烷)之一或多者。例示性有機矽化合物包括(n,n-二甲基胺基)三甲基矽烷、十八烷基三甲氧基矽烷、六甲基二矽氮烷、三甲氧基(3,3,3-三氟丙基)矽烷、三甲氧基苯基矽烷、(3,3,3-三氟丙基)三氯矽烷、及六甲基二矽氮烷。The carbon precursor may include any suitable organic compound, such as a compound comprising carbon and oxygen. In some cases, the carbon precursor may also include nitrogen. The carbon precursor may be selected to react with, for example, -OH terminated surfaces of metal oxides and/or -NH2 terminated surfaces of metal nitrides. Examples of suitable carbon precursors include one or more of organic compounds such as anhydrides (e.g., acetic anhydride), toluene, diethylene glycol, triethylene glycol, acetaldehyde, and organosilicon compounds such as silanes and siloxanes. Exemplary organic silicon compounds include (n,n-dimethylamino)trimethylsilane, octadecyltrimethoxysilane, hexamethyldisilazane, trimethoxy(3,3,3-trifluoropropyl)silane, trimethoxyphenylsilane, (3,3,3-trifluoropropyl)trichlorosilane, and hexamethyldisilazane.

大致上,增加碳前驅物脈衝的比率及/或數目可增加光阻底層中的碳量。光阻底層中之較高的碳含量可影響(例如降低)光阻底層之表面能的極性部分。In general, increasing the ratio and/or number of carbon precursor pulses can increase the amount of carbon in the photoresist base layer. A higher carbon content in the photoresist base layer can affect (e.g., reduce) the polar portion of the surface energy of the photoresist base layer.

步驟104期間所形成之光阻底層的厚度可係小於10 nm或小於5 nm。光阻底層的碳含量可係大於3 at%的碳、大於5 at%的碳、大於10 at%的碳、或係約5至約30at%的碳。碳含量在光阻底層的整個厚度中可係實質上恆定。或者,碳含量在光阻底層的整個厚度中可漸變。例如,步驟104可結束於一或多個步驟(C)將碳前驅物脈衝至反應室中;及/或在光阻底層之頂表面附近(例如頂部1至2 nm處),相對於步驟(A)及(B)包括較高比率的步驟(C)。The thickness of the photoresist base layer formed during step 104 may be less than 10 nm or less than 5 nm. The carbon content of the photoresist base layer may be greater than 3 at% carbon, greater than 5 at% carbon, greater than 10 at% carbon, or about 5 to about 30 at% carbon. The carbon content may be substantially constant throughout the thickness of the photoresist base layer. Alternatively, the carbon content may vary gradually throughout the thickness of the photoresist base layer. For example, step 104 may end with one or more steps (C) pulsing a carbon precursor into the reaction chamber; and/or including a higher ratio of step (C) relative to steps (A) and (B) near the top surface of the photoresist base layer (e.g., top 1 to 2 nm).

如第1圖所繪示,方法100可包括形成含碳層之步驟108。代替或除了將碳併入步驟104期間所形成之光阻底層以外,可沉積含碳層。含碳層可係或可包括例如非晶碳層、矽碳氧(SiOC)層、或類似者。1, method 100 may include forming a carbon-containing layer 108. The carbon-containing layer may be deposited instead of or in addition to incorporating carbon into the photoresist base layer formed during step 104. The carbon-containing layer may be or include, for example, an amorphous carbon layer, a silicon carbon oxycarbon (SiOC) layer, or the like.

如本文中所使用,除非另有說明,SiOC並非意欲限制、侷限、或界定膜中之Si、O、C、及/或任何其他元素之任一者的鍵結或化學狀態(例如氧化狀態)。進一步地,在一些實施例中,除了Si、O、及/或C以外,SiOC薄膜可包含一或多個元素(諸如H或N)。在一些實施例中,SiOC膜可包含Si-C鍵及/或Si-O鍵。在一些實施例中,SiOC膜可包含Si-C鍵及Si-O鍵,且可不包含Si-N鍵。在一些實施例中,除了Si-C及/或Si-O鍵以外,SiOC膜可包含Si-H鍵。在一些實施例中,SiOC膜可包含多於Si-C鍵的Si-O鍵,例如,Si-O鍵對Si-C鍵之比率可從約1:10至約10:1。在一些實施例中,SiOC膜可包含以原子計從約0%至約50%的碳。在一些實施例中,SiOC膜可包含以原子計從約0.1%至約40%、從約0.5%至約30%、從約1%至約30%、或從約5%至約20%的碳。在一些實施例中,SiOC膜可包含以原子計從約0%至約70%的氧。在一些具體例中,SiOC膜可包含以原子計約10%至約70%、約15%至約50%、或約20%至約40%氧。在一些實施例中,SiOC膜可包含以原子計約0%至約50%的矽。在一些實施例中,SiOC膜可包含以原子計從約10%至約50%、從約15%至約40%、或從約20%至約35%的矽。在一些實施例中,SiOC膜可包含以原子計從約0.1%至約40%、從約0.5%至約30%、從約1%至約30%、或從約5%至約20%的氫。在一些實施例中,SiOC膜可不包含氮。在一些其他實施例中,SiOC膜可包含以原子計(at%)從約0%至約40%的氮。舉具體實例而言,SiOC膜可係或包括包含SiOCH(諸如SiOCNH)的一層。As used herein, unless otherwise specified, SiOC is not intended to limit, restrict, or define the bonding or chemical state (e.g., oxidation state) of any of Si, O, C, and/or any other elements in the film. Further, in some embodiments, the SiOC film may include one or more elements (such as H or N) in addition to Si, O, and/or C. In some embodiments, the SiOC film may include Si-C bonds and/or Si-O bonds. In some embodiments, the SiOC film may include Si-C bonds and Si-O bonds, and may not include Si-N bonds. In some embodiments, the SiOC film may include Si-H bonds in addition to Si-C and/or Si-O bonds. In some embodiments, the SiOC film may include more Si-O bonds than Si-C bonds, for example, the ratio of Si-O bonds to Si-C bonds may be from about 1:10 to about 10:1. In some embodiments, the SiOC film may include from about 0% to about 50% carbon by atom. In some embodiments, the SiOC film may include from about 0.1% to about 40%, from about 0.5% to about 30%, from about 1% to about 30%, or from about 5% to about 20% carbon by atom. In some embodiments, the SiOC film may include from about 0% to about 70% oxygen by atom. In some specific examples, the SiOC film may include from about 10% to about 70%, from about 15% to about 50%, or from about 20% to about 40% oxygen by atom. In some embodiments, the SiOC film may include from about 0% to about 50% silicon by atom. In some embodiments, the SiOC film may include from about 10% to about 50%, from about 15% to about 40%, or from about 20% to about 35% silicon by atom. In some embodiments, the SiOC film may include from about 0.1% to about 40%, from about 0.5% to about 30%, from about 1% to about 30%, or from about 5% to about 20% hydrogen by atom. In some embodiments, the SiOC film may not include nitrogen. In some other embodiments, the SiOC film may include from about 0% to about 40% nitrogen by atom (at%). For a specific example, the SiOC film may be or include a layer including SiOCH (such as SiOCNH).

步驟108可包括循環沉積製程(諸如ALD製程)。例如,步驟108可包括將碳前驅物脈衝至反應室,允許碳前驅物與基材表面起反應;以及沖洗任何未起反應的前驅物及/或副產物。步驟108亦可包括提供一或多個反應物(諸如氧化劑)至反應室以及沖洗。步驟108可係熱製程或電漿增強(直接及/或遠端)製程。適於步驟108之例示性碳前驅物包括本文所提及之碳前驅物之任何者。Step 108 may include a cyclic deposition process (such as an ALD process). For example, step 108 may include pulsing a carbon precursor into a reaction chamber, allowing the carbon precursor to react with the substrate surface; and purging any unreacted precursor and/or byproducts. Step 108 may also include providing one or more reactants (such as an oxidant) to the reaction chamber and purging. Step 108 may be a thermal process or a plasma enhanced (direct and/or remote) process. Exemplary carbon precursors suitable for step 108 include any of the carbon precursors mentioned herein.

當含碳層包括SiOC時,步驟108可包括提供前驅物,該前驅物包含氧、矽、氫、及至少一個有機基。例如,該前驅物可包括至少一個矽-氧鍵。額外或替代地,該前驅物可包括至少一個矽-R鍵,其中R係選自例如由烷基(例如甲基)、烯基、炔基、芳基、烷氧基(例如,-OCH3 、-OCH2 CH3 )、鹵素、及氫組成之群組的一或多者。在一些情況下,該前驅物包括至少兩個有機基。舉具體實例而言,該前驅物可包括二甲基二甲氧基矽烷、二甲氧基四甲基二矽氧烷、八甲基環四矽氧烷、四甲基環四矽氧烷(TMCTS)、三甲基矽烷(3MS)、及二乙氧基甲基矽烷(DEMS)之一或多者。反應物可選自由Ar、He、O2 、CO2 、CO、H2 、N2 O、H2 O、N2 、及NH3 組成之群組的一或多者。在形成光阻底層之步驟期間,反應空間內的溫度可係例如介於約20 °C與約200 °C之間或介於約150 °C與約350 °C之間。步驟108期間之反應室內的壓力可係約1 Torr至約100 Torr、約3 Torr至約50 Torr、或約5 Torr至約20 Torr。When the carbon-containing layer comprises SiOC, step 108 may include providing a precursor comprising oxygen, silicon, hydrogen, and at least one organic group. For example, the precursor may include at least one silicon-oxygen bond. Additionally or alternatively, the precursor may include at least one silicon-R bond, wherein R is selected from, for example, one or more of the group consisting of alkyl (e.g., methyl), alkenyl, alkynyl, aryl, alkoxy (e.g., -OCH 3 , -OCH 2 CH 3 ), halogen, and hydrogen. In some cases, the precursor includes at least two organic groups. For example, the precursor may include one or more of dimethyldimethoxysilane, dimethoxytetramethyldisiloxane, octamethylcyclotetrasiloxane, tetramethylcyclotetrasiloxane (TMCTS), trimethylsilane (3MS), and diethoxymethylsilane (DEMS). The reactant may be selected from one or more of the group consisting of Ar, He, O 2 , CO 2 , CO, H 2 , N 2 O, H 2 O, N 2 , and NH 3. During the step of forming the photoresist bottom layer, the temperature in the reaction space may be, for example, between about 20° C. and about 200 ° C. or between about 150° C. and about 350° C. The pressure in the reaction chamber during step 108 may be about 1 Torr to about 100 Torr, about 3 Torr to about 50 Torr, or about 5 Torr to about 20 Torr.

當含碳層包括非晶碳時,步驟108可包括經由熱ALD、電漿增強(PE) ALD、或PE CVD沉積。When the carbon-containing layer includes amorphous carbon, step 108 may include deposition via thermal ALD, plasma enhanced (PE) ALD, or PE CVD.

含碳層的厚度可係約2至約3 nm或約1至約2 nm。含碳層中的碳濃度範圍可從約50%至100%,含碳層中的氧濃度範圍可從約0%至約30%,且含碳層中的矽濃度範圍可從約0%至約30%。The thickness of the carbon-containing layer may be about 2 to about 3 nm or about 1 to about 2 nm. The carbon concentration in the carbon-containing layer may range from about 50% to 100%, the oxygen concentration in the carbon-containing layer may range from about 0% to about 30%, and the silicon concentration in the carbon-containing layer may range from about 0% to about 30%.

如進一步於第1圖所繪示的,方法100可包括表面處理(或官能化)步驟110。代替或除了隨著光阻底層之形成而將碳併入光阻底層中以及形成含碳層(步驟108)之任一者或兩者以外,可執行表面處理步驟110。1, method 100 may include a surface treatment (or functionalization) step 110. Surface treatment step 110 may be performed instead of or in addition to either or both of incorporating carbon into the photoresist underlayer as the photoresist underlayer is formed and forming the carbon-containing layer (step 108).

在一些情況下,步驟110包括以反應物(諸如鹵化物氣體,諸如氟或氯)處理,以例如改變光阻底層之表面能的分散及/或極性部分。反應物可係熱活化或使用電漿(例如直接或遠端電漿)活化。In some cases, step 110 includes treating with a reactant (e.g., a halogenated gas such as fluorine or chlorine) to, for example, change the dispersive and/or polar portion of the surface energy of the photoresist layer. The reactant may be activated thermally or using a plasma (e.g., direct or remote plasma).

在一些情況下,步驟110可包括使用一或多個含碳劑處理。例示性含碳劑包括本文所提及之碳前驅物之任何者。In some cases, step 110 may include treatment with one or more carbon-containing agents. Exemplary carbon-containing agents include any of the carbon precursors mentioned herein.

根據本揭露之進一步實例,步驟110期間可使用矽烷化劑來處理基材表面。例示性矽烷化劑可包括矽及一或多個有機基。例如,合適的矽烷化劑可包括鍵結至一或多個有機(例如甲基、氧甲基、或類似者)基的矽及/或包括鍵結至氮(例如鍵結至一或多個矽原子之氮原子)的矽。矽烷化劑可包括具有可水解錨基(諸如甲氧基/乙氧基/Cl、F、或類似者)的矽烷化合物。舉具體實例而言,步驟110可包括使基材表面(例如步驟104期間所形成之光阻底層之表面)曝露至矽烷化劑,該矽烷化劑係選自由下列組成之群組:二甲基胺基三甲基矽烷(DMA-TMS)、六甲基二矽氮烷(HMDS)、(3-溴丙基)三甲氧基矽烷、(3-碘丙基)三甲氧基矽烷、3-(三甲氧基矽基)丙基丙烯酸酯、三甲氧基苯基矽烷、三甲氧基(3,3,3-三氟丙基)矽烷、1H,1H,2H,2H-全氟辛基三乙氧基矽烷、ti氯苯基矽烷、及三甲氧基(1H ,1H ,2H ,2H -十七氟癸基)矽烷(FTMS)。According to a further embodiment of the present disclosure, a silanizing agent may be used to treat the substrate surface during step 110. Exemplary silanizing agents may include silicon and one or more organic groups. For example, suitable silanizing agents may include silicon bonded to one or more organic (e.g., methyl, oxymethyl, or the like) groups and/or silicon bonded to nitrogen (e.g., nitrogen atoms bonded to one or more silicon atoms). The silanizing agent may include a silane compound having a hydrolyzable anchor group (e.g., methoxy/ethoxy/Cl, F, or the like). For example, step 110 may include exposing the substrate surface (e.g., the surface of the photoresist bottom layer formed during step 104) to a silanizing agent selected from the group consisting of dimethylaminotrimethylsilane (DMA-TMS), hexamethyldisilazane (HMDS), (3-bromopropyl)trimethoxysilane, (3-iodopropyl)trimethoxysilane, 3-(trimethoxysilyl)propyl acrylate, trimethoxyphenylsilane, trimethoxy(3,3,3-trifluoropropyl)silane, 1H,1H,2H,2H-perfluorooctyltriethoxysilane, ti-chlorophenylsilane, and trimethoxy( 1H , 1H , 2H , 2H -heptadecafluorodecyl)silane (FTMS).

自組裝(例如單)層亦可用以處理或官能化光阻底層之表面。在這些情況下,步驟110可包括使基材表面(例如步驟104期間所形成之光阻底層之表面)曝露至合適的前驅物。適於在步驟110期間形成自組裝單層的例示性前驅物包括有機及有機矽化合物(諸如包括矽及碳之一或多者(例如Si-C鍵)的化合物)(例如,矽烷及矽氧烷),諸如選自由下列組成之群組的化合物:全氟癸基三甲氧基矽烷(PFDTMS)、四甲氧基矽烷(TMOS)、三甲氧基(十八基)矽烷(TMODS)、三甲氧基(3,3,3-三氟丙基)矽烷、三乙氧基苯基矽烷、三氯(苯基)矽烷、本文所述之其他含矽及碳的化合物、及類似者。The self-assembled (e.g., single) layer can also be used to treat or functionalize the surface of a photoresist bottom layer. In these cases, step 110 may include exposing the substrate surface (e.g., the surface of the photoresist bottom layer formed during step 104) to a suitable precursor. Exemplary precursors suitable for forming a self-assembled monolayer during step 110 include organic and organosilicon compounds (e.g., compounds including one or more of silicon and carbon (e.g., Si-C bonds)) (e.g., silanes and siloxanes), such as compounds selected from the group consisting of perfluorodecyltrimethoxysilane (PFDTMS), tetramethoxysilane (TMOS), trimethoxy(octadecyl)silane (TMODS), trimethoxy(3,3,3-trifluoropropyl)silane, triethoxyphenylsilane, trichloro(phenyl)silane, other silicon- and carbon-containing compounds described herein, and the like.

步驟110期間之反應室內的溫度可係小於500 °C、小於400 °C、小於300 °C、或介於約100 °C與約500 °C之間、或介於約150 °C與約300 °C之間。步驟110期間之反應室內的壓力可係約1 Torr至約100 Torr、約3 Torr至約50 Torr、或約5 Torr至約20 Torr、或從約1 Torr至約4 Torr、或從約2 Torr至約6 Torr。The temperature in the reaction chamber during step 110 may be less than 500° C., less than 400° C., less than 300° C., or between about 100° C. and about 500° C., or between about 150° C. and about 300° C. The pressure in the reaction chamber during step 110 may be about 1 Torr to about 100 Torr, about 3 Torr to about 50 Torr, or about 5 Torr to about 20 Torr, or from about 1 Torr to about 4 Torr, or from about 2 Torr to about 6 Torr.

在步驟106期間,沉積上覆於光阻底層之光阻層。光阻可使用例如旋塗技術沉積。光阻層可係或包括正型或負型極紫外(EUV)微影光阻。During step 106, a photoresist layer is deposited overlying the photoresist base layer. The photoresist may be deposited using, for example, a spin-on technique. The photoresist layer may be or include a positive or negative extreme ultraviolet (EUV) lithography photoresist.

第2圖繪示根據本揭露之例示性實施例之結構200。結構200可使用例如方法100形成。FIG. 2 shows a structure 200 according to an exemplary embodiment of the present disclosure. The structure 200 can be formed using the method 100, for example.

如所繪示,結構200包括基材202、材料層204、光阻底層206、及光阻層208。如所繪示,結構200可選地可包括含碳層210及/或經處理表面212之一或多者。As shown, structure 200 includes substrate 202, material layer 204, photoresist underlayer 206, and photoresist layer 208. As shown, structure 200 may optionally include one or more of carbon-containing layer 210 and/or treated surface 212.

基材202可包括如上文所述之基材。舉實例而言,基材202可包括半導體基材(諸如塊材,諸如矽(例如單晶矽))、其他IV族半導體材料、III-V族半導體材料、及/或II-VI族半導體材料,並可包括上覆於塊材的一或多個層(例如圖案化堆疊)。進一步地,如上文所提及,基材202可包括各種形貌,諸如形成在基材之一層的至少一部分之內或之上的凹部、線、及類似者。The substrate 202 may include a substrate as described above. For example, the substrate 202 may include a semiconductor substrate (such as a bulk material, such as silicon (e.g., single crystal silicon)), other Group IV semiconductor materials, Group III-V semiconductor materials, and/or Group II-VI semiconductor materials, and may include one or more layers (e.g., a patterned stack) overlying the bulk material. Further, as mentioned above, the substrate 202 may include various topography, such as recesses, lines, and the like formed in or on at least a portion of a layer of the substrate.

材料層204可使用如本文所述之光阻底層及光阻層予以圖案化及蝕刻。適於材料層204之例示性材料包括例如氧化物(諸如原生氧化物或場氧化物)。其他例示性材料層204的材料包括非晶碳、氮化物、其他氧化物、矽、及附加膜(例如自組裝單層(例如六甲基二矽氮烷(HMDS))。Material layer 204 may be patterned and etched using a photoresist base layer and a photoresist layer as described herein. Exemplary materials suitable for material layer 204 include, for example, oxides such as native oxides or field oxides. Other exemplary materials for material layer 204 include amorphous carbon, nitrides, other oxides, silicon, and additional films such as self-assembled monolayers such as hexamethyldisilazane (HMDS).

光阻底層206可包括根據本文所述之方法(例如方法100)形成之光阻底層及/或具有如本文所述之性質。例示性光阻底層包括金屬氧化物、金屬氮化物、及金屬氮氧化物之一或多者。在一些情況下,光阻底層206可包括添加碳,如上文所提及。例如,光阻底層206可具有如本文所提及之碳濃度及/或碳濃度梯度。The photoresist base layer 206 may include a photoresist base layer formed according to the methods described herein (e.g., method 100) and/or have properties as described herein. Exemplary photoresist base layers include one or more of metal oxides, metal nitrides, and metal oxynitrides. In some cases, the photoresist base layer 206 may include added carbon, as mentioned above. For example, the photoresist base layer 206 may have a carbon concentration and/or a carbon concentration gradient as mentioned herein.

光阻底層206的厚度可取決於材料層204的組成、材料層204的厚度、光阻類型、及類似者。根據本揭露之實例,光阻底層206具有小於10 nm或小於或約5 nm的厚度。若光阻底層206太厚,蝕刻步驟之後可餘留殘餘的底層材料。若光阻底層206太薄,光阻底層206可無法在蝕刻製程期間提供所欲的圖案轉移。光阻底層206的表面(或其上的含碳層)可或可不以處理步驟(例如步驟110)進行處理以提供所欲的表面終端,以例如促進與光阻層208之黏附性。The thickness of the photoresist base layer 206 may depend on the composition of the material layer 204, the thickness of the material layer 204, the photoresist type, and the like. According to examples of the present disclosure, the photoresist base layer 206 has a thickness of less than 10 nm or less than or about 5 nm. If the photoresist base layer 206 is too thick, residual base material may remain after the etching step. If the photoresist base layer 206 is too thin, the photoresist base layer 206 may not provide a desired pattern transfer during the etching process. The surface of the photoresist base layer 206 (or the carbon-containing layer thereon) may or may not be treated with a treatment step (e.g., step 110) to provide a desired surface termination, for example, to promote adhesion with the photoresist layer 208.

光阻底層206依所欲展現良好黏附性及如本文所述之其他性質。欲在光阻層208與光阻底層206之間提供所欲的黏附性,光阻底層206可具有或經調諧為具有所欲的表面化學性質,例如,經量化為表面能,該表面能進一步經分類成表面能極性部分及表面能分散部分。光阻底層206之表面能極性部分及表面能分散部分可藉由測量液體(諸如水或CH2 I2 )的接觸角來計算,並使用Owens、Wendt、Rabel、及Kaelble (OWRK)方法判定表面能極性部分及分散部分。可針對光阻層208測量及計算相同性質。The photoresist base layer 206 desirably exhibits good adhesion and other properties as described herein. To provide the desired adhesion between the photoresist layer 208 and the photoresist base layer 206, the photoresist base layer 206 may have or be tuned to have desired surface chemical properties, for example, quantified as surface energy, which is further classified into a surface energy polar portion and a surface energy dispersive portion. The surface energy polar portion and surface energy dispersive portion of the photoresist base layer 206 can be calculated by measuring the contact angle of a liquid (such as water or CH2I2 ) and using the Owens, Wendt, Rabel, and Kaelble (OWRK) method to determine the surface energy polar portion and the dispersive portion. The same properties can be measured and calculated for the photoresist layer 208.

根據本揭露之各種實例,光阻底層之表面能極性部分的值係介於約5 mN/m至約25 mN/m之間或介於約20 mN/m至約40 mN/m之間。根據進一步的實例,光阻底層之表面能分散部分的值係介於約10 mN/m至約30 mN/m之間、或介於約5 mN/m至約25 mN/m之間、或介於約20 mN/m至約40 mN/m之間。例如,當光阻層208包含負型光阻時,光阻底層之表面能極性部分的值可介於約20 mN/m與約40 mN/m之間,及/或光阻底層之表面能分散部分可介於約10 mN/m至約30 mN/m之間或介於約20 mN/m至約40 mN/m之間。當光阻層208包括正型光阻時,光阻底層之表面能極性部分的值可介於約5 mN/m至約25 mN/m之間,及/或光阻底層之表面能分散部分的值可介於約10 mN/m至約30 mN/m之間。According to various embodiments of the present disclosure, the polar portion of the surface energy of the photoresist bottom layer has a value between about 5 mN/m and about 25 mN/m, or between about 20 mN/m and about 40 mN/m. According to further embodiments, the dispersive portion of the surface energy of the photoresist bottom layer has a value between about 10 mN/m and about 30 mN/m, or between about 5 mN/m and about 25 mN/m, or between about 20 mN/m and about 40 mN/m. For example, when the photoresist layer 208 comprises a negative photoresist, the value of the polar portion of the surface energy of the photoresist bottom layer may be between about 20 mN/m and about 40 mN/m, and/or the value of the dispersive portion of the surface energy of the photoresist bottom layer may be between about 10 mN/m and about 30 mN/m or between about 20 mN/m and about 40 mN/m. When the photoresist layer 208 comprises a positive photoresist, the value of the polar portion of the surface energy of the photoresist bottom layer may be between about 5 mN/m and about 25 mN/m, and/or the value of the dispersive portion of the surface energy of the photoresist bottom layer may be between about 10 mN/m and about 30 mN/m.

含碳層210可包括含碳層(諸如本文所述之含碳層)。例示性含碳層包括非晶碳及/或SiOC層。層210的厚度可小於5 nm或小於2 nm。舉實例而言,含碳層210可係約1至約1.5 nm厚。Carbon-containing layer 210 may include a carbon-containing layer (such as a carbon-containing layer described herein). Exemplary carbon-containing layers include amorphous carbon and/or SiOC layers. Layer 210 may have a thickness of less than 5 nm or less than 2 nm. For example, carbon-containing layer 210 may be about 1 to about 1.5 nm thick.

經處理(或官能化)表面212可包括經曝露至處理製程(諸如處理步驟110)的任何表面。舉實例而言,如本文所述,經處理表面可包括矽烷化表面及/或包含自組裝層的表面。The treated (or functionalized) surface 212 may include any surface that has been exposed to a treatment process, such as treatment step 110. For example, the treated surface may include a silanized surface and/or a surface comprising a self-assembled layer, as described herein.

第3圖繪示根據本揭露之進一步的例示性實施例之結構300。除了結構300不包括含碳層或光阻層以外,結構300類似於結構200。FIG3 shows a structure 300 according to a further exemplary embodiment of the present disclosure. Structure 300 is similar to structure 200 except that structure 300 does not include a carbon-containing layer or a photoresist layer.

結構300包括基材302、材料層304、及光阻底層306。基材302可係相同或可類似於基材202。同樣地,材料層304可係相同或可類似於材料層204。光阻底層306可係相同或可類似於光阻底層206。然而,在結構300不包括含碳層的情況下,光阻底層306可包括相對高的碳量(例如,大於5 at%或約5 at%至約30 at%的碳)。結構300可係所欲的,因為結構300可使用相對少的製程步驟形成。進一步地,可輕易調諧光阻底層306中的碳濃度(例如,操縱碳沉積步驟相對於其他沉積步驟的比率)。Structure 300 includes substrate 302, material layer 304, and photoresist underlayer 306. Substrate 302 may be the same as or similar to substrate 202. Likewise, material layer 304 may be the same as or similar to material layer 204. Photoresist underlayer 306 may be the same as or similar to photoresist underlayer 206. However, in the case where structure 300 does not include a carbon-containing layer, photoresist underlayer 306 may include a relatively high amount of carbon (e.g., greater than 5 at% or about 5 at% to about 30 at% carbon). Structure 300 may be desirable because structure 300 may be formed using relatively few process steps. Further, the carbon concentration in the photoresist base layer 306 can be easily tuned (eg, by manipulating the ratio of the carbon deposition step relative to other deposition steps).

第4圖繪示根據本揭露之進一步的例示性實施例之結構400。除了結構400不包括光阻層且在一些情況下可不包括經處理表面以外,結構400係類似於結構200。FIG4 shows a structure 400 according to a further exemplary embodiment of the present disclosure. Structure 400 is similar to structure 200 except that structure 400 does not include a photoresist layer and in some cases may not include a treated surface.

結構400包括基材402、材料層404、光阻底層406、及含碳層408。基材402可係相同或可類似於基材202。同樣地,材料層404可係相同或可類似於材料層204。光阻底層406可係相同或可類似於光阻底層206。然而,由於結構400包括含碳層408,光阻底層406可包括相對低的碳量(例如小於5 at%或小於10 at %)。含碳層408可包括本文所述之任何含碳層,且可選地可經處理。結構400對一些應用而言可係所欲的,因為光阻底層406可係或可包括典型的金屬氧化物、氮化物、或氮氧化物層(例如,無添加碳)。換言之,可不使用將碳併入光阻底層406中的額外步驟。Structure 400 includes substrate 402, material layer 404, photoresist underlayer 406, and carbon-containing layer 408. Substrate 402 may be the same as or similar to substrate 202. Likewise, material layer 404 may be the same as or similar to material layer 204. Photoresist underlayer 406 may be the same as or similar to photoresist underlayer 206. However, because structure 400 includes carbon-containing layer 408, photoresist underlayer 406 may include a relatively low amount of carbon (e.g., less than 5 at% or less than 10 at%). Carbon-containing layer 408 may include any carbon-containing layer described herein, and may optionally be treated. Structure 400 may be desirable for some applications because photoresist bottom layer 406 may be or may include a typical metal oxide, nitride, or oxynitride layer (e.g., without added carbon). In other words, an additional step of incorporating carbon into photoresist bottom layer 406 may not be used.

第5圖繪示根據本揭露之進一步的例示性實施例之結構500。除了結構500不包括光阻層且不包括含碳層210以外,結構500係類似於結構200。FIG5 shows a structure 500 according to a further exemplary embodiment of the present disclosure. The structure 500 is similar to the structure 200 except that the structure 500 does not include a photoresist layer and does not include the carbon-containing layer 210.

結構500包括基材502、材料層504、光阻底層506、及經處理表面508。基材502可係相同或可類似於基材202。材料層504可係相同或可類似於材料層204。底層506可係相同或可類似於光阻底層206。然而,由於結構500不包括含碳層,底層506可包括相對高的碳量(例如,大於5 at%、從約5 at%至約30 at%)。如本文所述,經處理表面508可包括來自矽烷化劑及/或自組裝前驅物之一或多者的材料。結構500可係所欲的,因為表面處理允許非常薄的自限制材料用於經處理表面508。並且,廣泛多樣的表面處理劑可用以形成經處理表面508。Structure 500 includes substrate 502, material layer 504, photoresist bottom layer 506, and treated surface 508. Substrate 502 may be the same as or similar to substrate 202. Material layer 504 may be the same as or similar to material layer 204. Bottom layer 506 may be the same as or similar to photoresist bottom layer 206. However, because structure 500 does not include a carbon-containing layer, bottom layer 506 may include a relatively high amount of carbon (e.g., greater than 5 at%, from about 5 at% to about 30 at%). As described herein, treated surface 508 may include material from one or more of a silanizer and/or a self-assembly precursor. Structure 500 may be desirable because the surface treatment allows very thin, self-limiting materials to be used for treated surface 508. Furthermore, a wide variety of surface treatment agents may be used to form the treated surface 508.

在一些情況下,光阻底層或其上的層或處理表面可曝露至包括電漿形成之後沉積處理步驟。在這些情況下,電漿處理步驟可包括使光阻底層曝露至使用直接及/或遠端電漿活化的物種。電漿處理步驟可包括從氣體形成活化物種,該氣體包括H2 、O2 、CO2 、CO、N2 O、NF3 、及Cx Hy Fz 之一或多者,其中x>=1、y>=0、且z>=0。此類處理可用以例如進一步將表面能之分散部分及/或極性部分調諧至所欲的值。In some cases, the photoresist base layer or a layer or treated surface thereon may be exposed to a post-deposition treatment step including plasma formation. In these cases, the plasma treatment step may include exposing the photoresist base layer to species activated using direct and/or remote plasma. The plasma treatment step may include forming the activated species from a gas including one or more of H2 , O2 , CO2 , CO, N2O , NF3 , and CxHyFz , where x>=1, y > =0, and z>=0. Such treatment may be used, for example, to further tune the dispersive and/or polar portions of the surface energy to desired values.

雖然未繪示,根據本揭露之方法可包括判定光阻底層及/或光阻層之表面能性質。例如,方法可包括判定光阻層及光阻底層之一或多者的表面能分散部分及極性部分之一或多者。方法可進一步包括基於光阻層之表面能分散部分及極性部分之一或多者選擇光阻底層材料;及/或基於光阻底層之表面能分散部分及極性部分之更多者調諧(例如,使用如本文所述之技術)。Although not shown, methods according to the present disclosure may include determining the surface energy properties of the photoresist base layer and/or the photoresist layer. For example, the method may include determining one or more of the dispersive portion and the polar portion of the surface energy of one or more of the photoresist layer and the photoresist base layer. The method may further include selecting the photoresist base layer material based on one or more of the dispersive portion and the polar portion of the surface energy of the photoresist layer; and/or tuning based on more of the dispersive portion and the polar portion of the surface energy of the photoresist base layer (e.g., using techniques as described herein).

現參照第7圖進一步描述配置以用於執行如本文所述之方法之系統(700)。該系統包含至少一反應室,其配置以用於沉積如本文所述之底層。該系統可包含第一反應室(711)及第二反應室(712),其兩者可配置以用於沉積如本文所述之底層或其之一部分。合適地,第一反應室(711)進行操作的操作溫度高於第二反應室(712)的操作溫度。此一多室方法可有利於最大化含金屬底層的抗蝕性。具體地,以較高溫度操作的第一反應室(711)可用以沉積具有高抗蝕性之純而緻密的金屬氧化物,且以較低溫度操作的第二反應室(712)可合適地用以沉積對光阻具有良好黏附性的金屬氧化物。在本發明不受任何具體理論或操作模式約束的情況下,咸信此係導因於相對於較高的沉積溫度,在較低的沉積溫度下增加併入底層中的前驅物配體。A system (700) configured for performing the method as described herein is now further described with reference to FIG. 7. The system comprises at least one reaction chamber configured for depositing a bottom layer as described herein. The system may comprise a first reaction chamber (711) and a second reaction chamber (712), both of which may be configured for depositing a bottom layer as described herein or a portion thereof. Suitably, the first reaction chamber (711) operates at an operating temperature higher than the operating temperature of the second reaction chamber (712). Such a multi-chamber approach may be advantageous in maximizing the corrosion resistance of the metal-containing bottom layer. Specifically, the first reaction chamber (711) operated at a higher temperature can be used to deposit a pure and dense metal oxide with high corrosion resistance, and the second reaction chamber (712) operated at a lower temperature can be suitably used to deposit a metal oxide with good adhesion to the photoresist. Without being bound by any specific theory or mode of operation, it is believed that this is due to the increased incorporation of precursor ligands into the bottom layer at a lower deposition temperature relative to a higher deposition temperature.

可選地,第二反應室(712)係進一步配置以用於使該底層遭受熱或電漿增強後處理。替代地,系統(700)可包含第三反應室(713),可在其中實行熱或電漿增強後處理。後處理可例如採用H2 、H2 /He、H2 /Ar、Ar、及/或O2 電漿。Optionally, the second reaction chamber (712) is further configured to subject the bottom layer to a thermal or plasma enhanced post-treatment. Alternatively, the system (700) may include a third reaction chamber (713) in which a thermal or plasma enhanced post-treatment may be performed. The post-treatment may employ, for example, H2 , H2 /He, H2 /Ar, Ar, and/or O2 plasma.

在一特定的實例實施例中,底層係使用熱ALD製程沉積。異丙醇鈦(IV)可用作前驅物,且H2 O及O3 的混合物可用作共反應物。前驅物及反應物係以交替脈衝循環地提供至反應室。合適的製程溫度包括70 °C至300 °C的範圍。低於200°C的製程溫度有利地改善至光阻的黏附性,咸信在本發明不受理論或具體操作模式約束的情況下,其係關於併入前驅物配體及/或異丙醇副產物。高於200°C的製程溫度有利地提供增加的抗蝕性。In a specific example embodiment, the bottom layer is deposited using a thermal ALD process. Titanium (IV) isopropoxide may be used as a precursor, and a mixture of H2O and O3 may be used as a co-reactant. The precursor and reactant are provided to the reaction chamber in an alternating pulse cycle. Suitable process temperatures include a range of 70°C to 300°C. Process temperatures below 200°C advantageously improve adhesion to the photoresist, which is believed to be related to the incorporation of precursor ligands and/or isopropanol byproducts without being bound by theory or a specific mode of operation of the present invention. Process temperatures above 200°C advantageously provide increased corrosion resistance.

在一特定的實例實施例中,底層係使用另一熱ALD製程沉積。四(二甲基胺基)鈦可用作前驅物,且H2 O及O3 的混合物可用作共反應物。前驅物及反應物係以交替脈衝循環地提供至反應室。合適的製程溫度包括70 °C至300 °C的範圍,並可得出具有優越性質之含TiO2 的膜。低於200°C的製程溫度有利地改善至光阻的黏附性,咸信在本發明不受理論或具體操作模式約束的情況下,其係關於將前驅物配體併入底層中。高於200°C的製程溫度有利地提供增加的抗蝕性。In a specific example embodiment, the bottom layer is deposited using another thermal ALD process. Tetrakis(dimethylamino)titanium can be used as a precursor, and a mixture of H2O and O3 can be used as a co-reactant. The precursor and reactant are provided to the reaction chamber in an alternating pulse cycle. Suitable process temperatures include a range of 70°C to 300°C, and can produce TiO2 -containing films with superior properties. Process temperatures below 200°C advantageously improve adhesion to the photoresist, which is believed to be related to the incorporation of the precursor ligand into the bottom layer without being bound by theory or a specific mode of operation of the present invention. Process temperatures above 200°C advantageously provide increased corrosion resistance.

第8圖顯示使用本方法之特定實施例形成之結構(800),該等方法包含在基材(810)上形成下部底層部分(811)及上部底層部分(812)。基材(810)可包含矽晶圓及可選的一或多個圖案化特徵及/或材料層。例如,基材(810)可包含下伏於光阻底層之層的一或多者,如本文所含之其他圖式之任一者中所示者。FIG. 8 shows a structure (800) formed using a particular embodiment of the present methods, which include forming a lower bottom layer portion (811) and an upper bottom layer portion (812) on a substrate (810). The substrate (810) may include a silicon wafer and optionally one or more patterned features and/or material layers. For example, the substrate (810) may include one or more layers underlying a photoresist bottom layer, as shown in any of the other figures contained herein.

在一些實施例中,下部底層部分包含金屬氧化物(例如氧化鈦),且上部底層部分包含碳。在此類實施例中,下部底層部分可具有例如從至少1.0到至多5.0 nm或從至少2.0到至多3.0 nm的厚度。同樣地,上部底層部分可具有例如0.1 nm到至多2.0 nm或從至少0.5 nm到至多1.0 nm的厚度。In some embodiments, the lower bottom layer portion comprises a metal oxide (e.g., titanium oxide) and the upper bottom layer portion comprises carbon. In such embodiments, the lower bottom layer portion may have a thickness of, for example, from at least 1.0 to at most 5.0 nm or from at least 2.0 to at most 3.0 nm. Similarly, the upper bottom layer portion may have a thickness of, for example, from 0.1 nm to at most 2.0 nm or from at least 0.5 nm to at most 1.0 nm.

下部底層部分可使用金屬鹵化物前驅物(例如,Hf、Ti、Ta、Zn、或Zr之氯化物、氟化物、溴化物、或碘化物)形成。上部底層部分可使用含金屬前驅物形成,該含金屬前驅物具有通式M[R(Cx Hy )n ]4 ,其中M係選自Ti、Ta、Hf、Zn、及Zr,其中R係選自OCH及N,其中x係1至2,其中y係3至6,且其中n係2至3。此一雙層底層結構可係特別有利的,因為其可結合下部底層部分之良好的抗蝕性與上部底層部分所提供之合適的表面性質。The lower bottom layer portion may be formed using a metal halide precursor (e.g., chloride, fluoride, bromide, or iodide of Hf, Ti, Ta, Zn , or Zr). The upper bottom layer portion may be formed using a metal-containing precursor having the general formula M[R( CxHy ) n ] 4 , wherein M is selected from Ti, Ta, Hf, Zn, and Zr, wherein R is selected from OCH and N, wherein x is 1 to 2, wherein y is 3 to 6, and wherein n is 2 to 3. Such a double-layer bottom layer structure may be particularly advantageous because it may combine the good corrosion resistance of the lower bottom layer portion with the suitable surface properties provided by the upper bottom layer portion.

額外或替代地,上部底層部分可包含矽、氧化物、及碳。在此類實施例中,上部底層部分可具有例如從至少0.1 nm到至多2.0 nm的厚度(例如,0.2 nm、0.4 nm、0.6 nm、0.8 nm、1.0 nm、或1.5 nm的厚度)。此一底層可例如經由ALD或CVD方法形成,該方法係使用例如有機矽前驅物及氧反應物(諸如O2 、H2 O、O3 、或H2 O2 )之熱或電漿增強方法。Additionally or alternatively, the upper bottom layer portion may include silicon, oxide, and carbon. In such embodiments, the upper bottom layer portion may have a thickness of, for example, from at least 0.1 nm to at most 2.0 nm (e.g., a thickness of 0.2 nm, 0.4 nm, 0.6 nm, 0.8 nm, 1.0 nm, or 1.5 nm). Such a bottom layer may be formed, for example, by an ALD or CVD process using, for example, a thermal or plasma enhanced process with an organic silicon precursor and an oxygen reactant such as O 2 , H 2 O, O 3 , or H 2 O 2 .

施加稀有氣體電漿(諸如Ar電漿)以用於沉積上部底層部分係特別有利的。在本發明不受任何理論或具體操作模式約束的情況下,咸信此係由於由此形成的層中存在前驅物配體所導致,其可得出優越的黏附性質。The application of a noble gas plasma (such as Ar plasma) for the deposition of the upper bottom layer portion is particularly advantageous. Without wishing to be bound by any theory or specific mode of operation, it is believed that this is due to the presence of precursor ligands in the layer thus formed, which results in superior adhesion properties.

上部底層部分可使用電漿增強原子層沉積(PEALD)或脈衝電漿增強化學氣相沉積(脈衝PECVD)之任一者形成。在PEALD模式下,前驅物可引入含有基材之反應室中,與表面反應性位點起反應,並接著化學吸附在基材表面上。在一第二步驟中,可從反應室部分或全部地沖洗過量的前驅物以避免、最小化、或減少CVD反應。在一第三步驟中,基材可曝露至稀有氣體電漿(例如Ar、He、Ne、Kr、或Xe電漿)。在第四步驟中,電漿功率係持續切斷預定的時間量,以從反應室沖去反應性物種的至少一部分。此製程可重複任何次數以便得到上部底層部分。有利地,此一上部底層部分含有具有高碳含量(例如,至少10原子%到至多70原子%、或至少20原子%到至多60原子%、或至少30原子%到至多50原子%、或約40原子%之碳含量)的含金屬層(例如金屬氧化物)。上部底層部分可合適地充當黏附層或膠合至後續經沉積之光阻層。The upper bottom layer portion can be formed using either plasma enhanced atomic layer deposition (PEALD) or pulsed plasma enhanced chemical vapor deposition (pulsed PECVD). In the PEALD mode, a precursor can be introduced into a reaction chamber containing a substrate, react with surface reactive sites, and then chemically adsorbed on the substrate surface. In a second step, excess precursor can be partially or completely flushed from the reaction chamber to avoid, minimize, or reduce CVD reactions. In a third step, the substrate can be exposed to a rare gas plasma (e.g., Ar, He, Ne, Kr, or Xe plasma). In a fourth step, the plasma power is continuously turned off for a predetermined amount of time to flush at least a portion of the reactive species from the reaction chamber. This process can be repeated any number of times to obtain an upper bottom layer portion. Advantageously, such an upper bottom layer portion contains a metal-containing layer (e.g., a metal oxide) having a high carbon content (e.g., a carbon content of at least 10 atomic % to at most 70 atomic %, or at least 20 atomic % to at most 60 atomic %, or at least 30 atomic % to at most 50 atomic %, or about 40 atomic %). The upper bottom layer portion can suitably serve as an adhesion layer or glue to a subsequently deposited photoresist layer.

替代地,在一些實施例中,上部底層部分可使用脈衝電漿增強化學氣相沉積(脈衝PECVD)沉積。在用於沉積上部底層部分之一例示性脈衝PECVD製程中,基材可曝露至稀有氣體電漿(諸如Ar、He、Ne、Kr、或Xe電漿)。之後,基材可曝露至金屬前驅物,而電漿保持開啟使得前驅物通過電漿的動作解離。因此,含碳金屬層(例如含碳金屬氧化物層)可形成在基材上。之後,停止供應金屬前驅物。可選地,電漿保持持續開啟預定的時間量(例如,1.0秒至60秒、或2.0秒至30秒、或4.0秒至15秒、或6秒至12秒)。在本發明不受理論或具體操作模式約束的情況下,咸信在金屬前驅物流已停止之後保持電漿開啟可有利地修改經沉積層的表面性質。藉由上述脈衝PECVD程序,可形成上部底層部分(例如,富含C的MOx黏附層)。當與合適的EUV光阻併用時,其提供改善的製程窗以抵抗圖案塌陷及下部線緣粗糙(LER)及線寬粗糙(LWR)。Alternatively, in some embodiments, the upper bottom layer portion may be deposited using pulsed plasma enhanced chemical vapor deposition (pulsed PECVD). In one exemplary pulsed PECVD process for depositing the upper bottom layer portion, the substrate may be exposed to a rare gas plasma (e.g., Ar, He, Ne, Kr, or Xe plasma). Thereafter, the substrate may be exposed to a metal precursor while the plasma remains on such that the precursor dissociates through the action of the plasma. Thus, a carbon-containing metal layer (e.g., a carbon-containing metal oxide layer) may be formed on the substrate. Thereafter, the supply of the metal precursor is stopped. Optionally, the plasma remains on for a predetermined amount of time (e.g., 1.0 seconds to 60 seconds, or 2.0 seconds to 30 seconds, or 4.0 seconds to 15 seconds, or 6 seconds to 12 seconds). Without being bound by theory or a specific mode of operation, it is believed that keeping the plasma on after the metal precursor flow has stopped can advantageously modify the surface properties of the deposited layer. By the pulsed PECVD process described above, an upper bottom layer portion (e.g., a C-rich MOx adhesion layer) can be formed. When used in conjunction with a suitable EUV photoresist, it provides an improved process window to resist pattern collapse and lower line edge roughness (LER) and line width roughness (LWR).

須注意,用於經由脈衝PECVD或PEALD沉積上部底層部分之合適的前驅物包括本文提及之金屬前驅物。It is noted that suitable precursors for depositing the upper substrate portion via pulsed PECVD or PEALD include the metal precursors mentioned herein.

在一些實施例中,底層可遭受表面處理(例如導致表面終端的表面處理)。表面處理可施加至一體式底層及包含下部底層部分及上部底層部分之底層兩者。此一表面處理可排除對上部底層部分的需求,或者其可用以處理上部底層部分的表面。In some embodiments, the substrate may be subjected to a surface treatment (e.g., a surface treatment resulting in a surface termination). The surface treatment may be applied to both a one-piece substrate and a substrate comprising a lower substrate portion and an upper substrate portion. Such a surface treatment may eliminate the need for an upper substrate portion, or it may be used to treat the surface of the upper substrate portion.

例示性表面處理使用有機矽化合物(諸如矽烷胺)。例示性有機矽化合物包括烷胺基矽基胺及/或烷基矽基胺(諸如雙(三丙矽基)胺、雙(三乙基矽基)胺、雙(三甲基矽基)胺、(二甲基胺基)三甲基矽烷、(二乙基胺基)三甲基矽烷、(二乙基胺基)三乙基矽烷、及(二甲基胺基)三乙基矽烷)。額外或替代地,表面處理可包含使用氟烷基取代矽烷。此類含氟分子可用以降低底層之表面能分散部分。額外或替代地,表面處理可包含使用鹵素取代矽烷及/或烷氧基矽烷(諸如甲氧基矽烷或乙氧基矽烷)。額外或替代地,表面處理可包含使用選自由下列組成之列表的化合物:(3-溴丙基)三甲氧基矽烷、(3-碘丙基)三甲氧基矽烷、3-(三甲氧基矽基)丙烯酸丙酯、三甲氧基苯基矽烷、三甲氧基(3,3,3-三氟丙基)矽烷、及1H,1H,2H,2H-全氟辛基三乙氧基矽烷。Exemplary surface treatments use organic silicon compounds such as silane amines. Exemplary organic silicon compounds include alkylaminosilylamines and/or alkylsilylamines such as bis(tripropylsilyl)amine, bis(triethylsilyl)amine, bis(trimethylsilyl)amine, (dimethylamino)trimethylsilane, (diethylamino)trimethylsilane, (diethylamino)triethylsilane, and (dimethylamino)triethylsilane. Additionally or alternatively, the surface treatment may include the use of fluoroalkyl substituted silanes. Such fluorine-containing molecules can be used to reduce the surface energy of the underlying layer to disperse the portion. Additionally or alternatively, the surface treatment may include the use of halogen substituted silanes and/or alkoxysilanes such as methoxysilane or ethoxysilane. Additionally or alternatively, the surface treatment may comprise using a compound selected from the list consisting of (3-bromopropyl)trimethoxysilane, (3-iodopropyl)trimethoxysilane, 3-(trimethoxysilyl)propyl acrylate, trimethoxyphenylsilane, trimethoxy(3,3,3-trifluoropropyl)silane, and 1H,1H,2H,2H-perfluorooctyltriethoxysilane.

額外或替代地,表面處理可包含使底層遭受稀有氣體電漿(例如Ar、He、Ne、Kr、或Xe電漿)。Additionally or alternatively, the surface treatment may include subjecting the underlying layer to a noble gas plasma (e.g., Ar, He, Ne, Kr, or Xe plasma).

此類表面處理允許合適地控制底層的表面能以用於改善光阻黏附性。This type of surface treatment allows for proper control of the surface energy of the underlying layer for improved photoresist adhesion.

可額外或替代於本文提及之其他表面處理執行之另一可行的表面處理包括使底層遭受電漿(諸如,H電漿、Ar/H電漿(亦即,包含Ar及H的電漿)、或He/H電漿(亦即,包含He及H的電漿))。使底層遭受此類電漿可導致CH3及/或CH2官能基形成於底層表面上。額外或替代地,底層可遭受含鹵素電漿(諸如含氟電漿,諸如CF4 電漿),其可導致底層之氟封端表面的形成。額外或替代地,底層可遭受含氯電漿(諸如Cl2 電漿),其可導致底層之氯封端表面的形成。Another possible surface treatment that may be performed in addition to or instead of other surface treatments mentioned herein includes subjecting the bottom layer to a plasma (e.g., H plasma, Ar/H plasma (i.e., a plasma comprising Ar and H), or He/H plasma (i.e., a plasma comprising He and H)). Subjecting the bottom layer to such a plasma may result in the formation of CH3 and/or CH2 functional groups on the bottom layer surface. Additionally or alternatively, the bottom layer may be subjected to a halogen-containing plasma (e.g., a fluorine-containing plasma, such as a CF4 plasma), which may result in the formation of a fluorine-terminated surface of the bottom layer. Additionally or alternatively, the bottom layer may be subjected to a chlorine-containing plasma (e.g., a Cl2 plasma), which may result in the formation of a chlorine-terminated surface of the bottom layer.

因此,底層的表面性質可經由i)沉積製程(ALD/CVD)之變動及/或ii)後處理(諸如基於電漿之後處理)而修改。在本發明不受理論或任何具體操作模式約束的情況下,咸信底層中的碳濃度主要影響表面極性,而具有各種元素(諸如來自電漿之H、F、Cl)的終端影響表面能的分散組分。Thus, the surface properties of the underlying layer can be modified by i) variations in the deposition process (ALD/CVD) and/or ii) post-treatment (e.g., plasma-based post-treatment). Without being bound by theory or any specific mode of operation, it is believed that the carbon concentration in the underlying layer primarily affects the surface polarity, while the presence of various elements (e.g., H, F, Cl from the plasma) ultimately affects the surface energy.

第9圖顯示用於經由電漿增強化學氣相沉積所沉積的底層、下部底層部分、及/或上部底層部分之例示性製程流程。在製程中,反應室中的基材係曝露至一或多個前驅物。之後,可從反應室沖洗過量的前驅物。其後,基材可遭受電漿。例如,為了製造具有良好黏附性質的上部底層部分,可使用稀有氣體電漿(例如He、Ne、Ar、Kr電漿)。在電漿步驟之後,從反應室以合適方式沖洗過量的反應性物種(諸如離子及自由基)。前述步驟形成循環。這些循環可重複任何次數以便沉積具有所欲厚度的底層或其之一部分。FIG. 9 shows an exemplary process flow for a bottom layer, a lower bottom layer portion, and/or an upper bottom layer portion deposited by plasma enhanced chemical vapor deposition. During the process, a substrate in a reaction chamber is exposed to one or more precursors. Afterwards, excess precursors can be flushed from the reaction chamber. Thereafter, the substrate can be subjected to a plasma. For example, in order to produce an upper bottom layer portion with good adhesion properties, a rare gas plasma (e.g., He, Ne, Ar, Kr plasma) can be used. After the plasma step, excess reactive species (such as ions and free radicals) are flushed from the reaction chamber in a suitable manner. The aforementioned steps form a cycle. These cycles may be repeated any number of times to deposit a base layer or portion thereof having the desired thickness.

在一例示性製程中,下部底層部分及上部底層部分兩者均使用電漿增強化學氣相沉積製程形成。用於形成包含氧化鈦之下部底層部分的例示性、非限制性製程條件包括0.4秒的前驅物饋送時間、0.7秒的前驅物沖洗時間,前驅物係經由Ar載體氣體攜載,Ar載體氣體之流量率係1 slm,額外地,提供作為電漿氣體之1 slm的氬連續流,將O2 用作反應物,O2 的流量率係2 slm,電漿功率係100 W,電漿開啟時間係0.2秒,後電漿沖洗時間係0.1秒,電極間隙係12 mm,壓力係400 Pa,基座溫度係190°C,且下部底層部分係在31個循環中形成,得出2.45 nm的厚度。用於形成包含氧化鈦之上部底層部分的例示性、非限制性製程條件包括:0.4秒的前驅物饋送時間、0.7秒的前驅物沖洗時間,前驅物係經由Ar載體氣體攜載,Ar載體氣體之流量率係1 slm,額外地,提供作為電漿氣體之1 slm的氬連續流,電漿功率係50 W,電漿開啟時間係2.2秒,後電漿沖洗時間係0.1秒,電極間隙係7.5 mm,壓力係900 Pa,基座溫度係190°C,且上部底層部分係在15個循環中形成,得出0.5 nm的厚度。針對在包含碳硬遮罩的基材上之底層形成給定此實例。此一下部底層部分及上部底層部分的組合允許得到具有良好抗蝕性及至光阻之良好黏附性的底層。此外,上部底層部分可保護受底層覆蓋的層(諸如含碳層,例如,類鑽石碳層、非晶碳層、或類似者)。額外地,應用上部底層部分可有利地改善下部底層部分的密度。In an exemplary process, both the lower bottom layer portion and the upper bottom layer portion are formed using a plasma enhanced chemical vapor deposition process. Exemplary, non-limiting process conditions for forming a lower bottom layer portion comprising titanium oxide include a precursor feed time of 0.4 seconds, a precursor purge time of 0.7 seconds, the precursor is carried by an Ar carrier gas, the flow rate of the Ar carrier gas is 1 slm, additionally, a continuous flow of argon of 1 slm is provided as a plasma gas, O 2 is used as a reactant, the flow rate of O 2 is 2 slm, the plasma power is 100 W, the plasma on time is 0.2 seconds, the post plasma purge time is 0.1 seconds, the electrode gap is 12 mm, the pressure is 400 Pa, the susceptor temperature is 190° C., and the lower bottom layer portion is formed in 31 cycles, resulting in a 2.45 nm thickness. Exemplary, non-limiting process conditions for forming the upper bottom layer portion comprising titanium oxide include: a precursor feed time of 0.4 seconds, a precursor flush time of 0.7 seconds, the precursor is carried by an Ar carrier gas, the flow rate of the Ar carrier gas is 1 slm, additionally, a continuous flow of argon of 1 slm is provided as a plasma gas, the plasma power is 50 W, the plasma on time is 2.2 seconds, the post plasma flush time is 0.1 seconds, the electrode gap is 7.5 mm, the pressure is 900 Pa, the susceptor temperature is 190°C, and the upper bottom layer portion is formed in 15 cycles, resulting in a thickness of 0.5 nm. This example is given for the formation of an underlayer on a substrate comprising a carbon hard mask. Such a combination of a lower underlayer portion and an upper underlayer portion allows obtaining an underlayer having good corrosion resistance and good adhesion to the photoresist. Furthermore, the upper underlayer portion can protect the layers covered by the underlayer (such as carbonaceous layers, e.g., diamond-like carbon layers, amorphous carbon layers, or the like). Additionally, the use of the upper underlayer portion can advantageously improve the density of the lower underlayer portion.

應瞭解,下部底層部分及/或上部底層部分可使用不同於上述之例示性製程條件的沉積條件加以沉積。例如,下部底層部分及/或上部底層部分可在從至少70°C到至多300°C的溫度下沉積。作為另一實例,下部底層部分及/或上部底層部分可在至少100 Pa到至多800 Pa的壓力下或在至少200 Pa到至多600 Pa的壓力下沉積。It should be understood that the lower bottom layer portion and/or the upper bottom layer portion may be deposited using deposition conditions different from the exemplary process conditions described above. For example, the lower bottom layer portion and/or the upper bottom layer portion may be deposited at a temperature from at least 70° C. to at most 300° C. As another example, the lower bottom layer portion and/or the upper bottom layer portion may be deposited at a pressure of at least 100 Pa to at most 800 Pa, or at a pressure of at least 200 Pa to at most 600 Pa.

在一些實施例中,一體式或由下部底層部分及上部底層部分組成之底層係使用相對於電漿增強原子層沉積之熱原子層沉積加以沉積。在此類實施例中,可係特別有利的是在已沉積底層之後,使該底層遭受電漿(例如稀有氣體電漿)。此類後處理可有利地使底層緻密化。In some embodiments, the base layer, either in one piece or consisting of a lower base layer portion and an upper base layer portion, is deposited using thermal atomic layer deposition as opposed to plasma enhanced atomic layer deposition. In such embodiments, it may be particularly advantageous to subject the base layer to a plasma (e.g., a noble gas plasma) after the base layer has been deposited. Such post-treatment may advantageously densify the base layer.

第10圖顯示包含設置於基材(1010)上的下部底層部分及上部底層部分(1020)之結構(1000)的又另一例示性實施例。上部底層部分(1020)在本文中係於別處詳細描述。下部底層部分具有層狀結構,其包含交替的氧化矽層狀組織(1011)及金屬氧化物層狀組織(1012)。FIG. 10 shows yet another exemplary embodiment of a structure (1000) comprising a lower bottom layer portion and an upper bottom layer portion (1020) disposed on a substrate (1010). The upper bottom layer portion (1020) is described in detail elsewhere herein. The lower bottom layer portion has a layered structure comprising alternating silicon oxide layered structures (1011) and metal oxide layered structures (1012).

第11圖顯示包含設置於基材(810)上的下部底層部分(1111)及上部底層部分(1112)之結構(1100)的尚有另一例示性實施例。下部底層部分(1111)包含金屬矽酸鹽。上部底層部分(1112)在本文中係於別處詳細描述。FIG. 11 shows yet another exemplary embodiment of a structure (1100) comprising a lower bottom layer portion (1111) and an upper bottom layer portion (1112) disposed on a substrate (810). The lower bottom layer portion (1111) comprises a metal silicate. The upper bottom layer portion (1112) is described in detail elsewhere herein.

第12圖顯示用於形成如本文所述之底層之方法的例示性實施例之流程圖,以三個製程流程a、b、及c繪示。製程流程a、b、及c之各者包含子循環x及y,其等組合形成超循環z。超循環z可重複多次,以便得到具有所欲厚度(例如,大約數nm厚度)的底層。同樣地,鑒於子循環x在第12圖中顯示為在子循環y之前,且雖然如所示之此順序係一種可行方案,子循環y及子循環x的順序在一些實施例中可相反。應進一步瞭解,子循環x及y可在一個相同的反應室中執行。替代地,其等可在包含於較大系統中之不同的反應室中執行。FIG. 12 shows a flow chart of an exemplary embodiment of a method for forming a bottom layer as described herein, illustrated with three process flows a, b, and c. Each of process flows a, b, and c includes sub-cycles x and y, which are combined to form a super-cycle z. Super-cycle z can be repeated multiple times to obtain a bottom layer with a desired thickness (e.g., a thickness of about several nm). Similarly, given that sub-cycle x is shown in FIG. 12 as preceding sub-cycle y, and although this order as shown is one possible solution, the order of sub-cycle y and sub-cycle x can be reversed in some embodiments. It should be further understood that sub-cycles x and y can be performed in the same reaction chamber. Alternatively, they may be performed in different reaction chambers contained in a larger system.

當構成的層狀組織無明顯的混合發生時,製程流程a)、b)、或c)之任一者可導致以交替的含矽層狀組織及含金屬層狀組織製成之層壓板的形成,如第10圖所示。替代地,當確實發生混合(例如,經由擴散)時,製程流程a)、b)、或c)之任一者可導致包含矽、金屬、及可選的一或多個另外元素的層。這麼一來此層本身可用作底層。替代地,其可用作下部底層部分,與如本文所述之上部底層部分聯合。此類層係高度起效的,並可用以最小化或甚至消除EUV光阻圖案化期間的突腳問題。When no significant mixing of the formed layer structures occurs, any of process flows a), b), or c) can result in the formation of a laminate made of alternating silicon-containing layer structures and metal-containing layer structures, as shown in Figure 10. Alternatively, when mixing does occur (e.g., via diffusion), any of process flows a), b), or c) can result in a layer comprising silicon, metal, and optionally one or more additional elements. This layer can then be used as a bottom layer by itself. Alternatively, it can be used as a lower bottom layer portion, combined with an upper bottom layer portion as described herein. Such layers are highly effective and can be used to minimize or even eliminate the problem of protruding feet during EUV photoresist patterning.

製程流程a)、b)、及c)各包含兩個子循環,子循環x)及子循環y)。子循環x)包含在反應室中使基材曝露至矽前驅物;從反應室沖洗過量的矽前驅物;使基材遭受電漿;及從反應室沖洗過量的反應性物種。因此,子循環x)可合適地形成氧化矽層狀組織。子循環y)包含使基材曝露至金屬前驅物;從反應室沖洗過量的金屬前驅物;使基材曝露至電漿;及從反應室沖洗過量的反應性物種。Process flows a), b), and c) each include two sub-cycles, sub-cycle x) and sub-cycle y). Sub-cycle x) includes exposing the substrate to a silicon precursor in a reaction chamber; flushing excess silicon precursor from the reaction chamber; subjecting the substrate to plasma; and flushing excess reactive species from the reaction chamber. Therefore, sub-cycle x) can appropriately form a silicon oxide layered structure. Sub-cycle y) includes exposing the substrate to a metal precursor; flushing excess metal precursor from the reaction chamber; exposing the substrate to plasma; and flushing excess reactive species from the reaction chamber.

如第12圖所示,製程流程a)、b)、及c)的不同之處在於用在子循環x)及y)中的電漿。具體地,在製程流程a)中,子循環x)及y)兩者中均使用O2 電漿。在製程流程b)中,子循環x)及y)兩者中均使用H2 電漿。在製程流程c)中,子循環x)及y)兩者中均使用諸如Ar電漿之稀有氣體電漿。當然,其他組合亦是可行的,例如,在一些實施例中,子循環x)包含使用O2 電漿,且子循環y)包含使用H2 電漿。替代地,在一些實施例中,子循環x)包含使用稀有氣體電漿,且子循環y)包含使用O2 電漿。替代地,在一些實施例中,子循環x)包含使用O2 電漿,且子循環y)包含使用稀有氣體電漿。替代地,在一些實施例中,子循環x)包含使用稀有氣體電漿,且子循環y)包含使用H2 電漿。替代地,在一些實施例中,子循環x)包含使用H2 電漿,且子循環y)包含使用稀有氣體電漿。As shown in FIG. 12 , the differences between process flows a), b), and c) lie in the plasma used in sub-cycles x) and y). Specifically, in process flow a), O 2 plasma is used in both sub-cycles x) and y). In process flow b), H 2 plasma is used in both sub-cycles x) and y). In process flow c), a rare gas plasma such as Ar plasma is used in both sub-cycles x) and y). Of course, other combinations are also possible, for example, in some embodiments, sub-cycle x) includes the use of O 2 plasma, and sub-cycle y) includes the use of H 2 plasma. Alternatively, in some embodiments, subcycle x) comprises the use of a noble gas plasma, and subcycle y) comprises the use of an O 2 plasma. Alternatively, in some embodiments, subcycle x) comprises the use of an O 2 plasma, and subcycle y) comprises the use of a noble gas plasma. Alternatively, in some embodiments, subcycle x) comprises the use of a noble gas plasma, and subcycle y) comprises the use of an H 2 plasma. Alternatively, in some embodiments, subcycle x) comprises the use of an H 2 plasma, and subcycle y) comprises the use of a noble gas plasma.

替代地,子循環x)及/或y)中亦可使用N2 電漿、NH3 電漿、或N2 /H2 電漿(亦即,以基本上由N2 及H2 組成之電漿氣體饋送的電漿)。因此,取決於所用的前驅物,在子循環x)中可沉積SiN、或SiON、或SiCN,且在子循環y)中可沉積金屬氮化物、金屬氮氧化物、及/或金屬碳氮化物。如同之前,此可導致底層或其之一部分的任一者,其包含分開的層狀組織或者包含前述化合物的混合物,取決於沉積期間或沉積之後的互混量。因此,在一些實施例中,子循環x)包含使用N2 、NH3 、或N2 /H2 電漿,且子循環y)包含使用H2 電漿。替代地,在一些實施例中,子循環x)包含使用H2 電漿,且子循環y)包含使用N2 、NH3 、或N2 /H2電漿。替代地,在一些實施例中,子循環x)包含使用O2 電漿,且子循環y)包含使用N2 、NH3 、或N2 /H2 電漿。替代地,在一些實施例中,子循環x)包含使用N2 、NH3 、或N2 /H2 電漿,且子循環y)包含使用O2 電漿。替代地,在一些實施例中,子循環x)包含使用稀有氣體電漿,且子循環y)包含使用N2 、NH3 、或N2 /H2 電漿。替代地,在一些實施例中,子循環x)包含使用N2 、NH3 、或N2 /H2 電漿,且子循環y)包含使用稀有氣體電漿。替代地,在一些實施例中,子循環x)包含使用N2 電漿,且子循環y)包含使用N2 、NH3 、或N2 /H2 電漿。替代地,在一些實施例中,子循環x)包含使用N2 、NH3 、或N2 /H2 電漿,且子循環y)包含使用N2 電漿。替代地,在一些實施例中,子循環x)包含使用NH3 電漿,且子循環y)包含使用N2 、NH3 、或N2 /H2 電漿。替代地,在一些實施例中,子循環x)包含使用N2 、NH3 、或N2 /H2 電漿,且子循環y)包含使用NH3 電漿。替代地,在一些實施例中,子循環x)包含使用N2 /H2 電漿,且子循環y)包含使用N2 、NH3 、或N2 /H2 電漿。替代地,在一些實施例中,子循環x)包含使用N2 、NH3 、或N2 /H2 電漿,且子循環y)包含使用N2 /H2 電漿。Alternatively, N plasma, NH plasma, or N/ H plasma (i.e., a plasma fed with a plasma gas consisting essentially of N and H ) can also be used in subcycles x) and/or y). Thus, depending on the precursors used, SiN, or SiON, or SiCN can be deposited in subcycle x), and metal nitrides , metal oxynitrides, and/or metal carbonitrides can be deposited in subcycle y). As before, this can result in either a bottom layer or a portion thereof comprising a separate layered structure or a mixture of the aforementioned compounds, depending on the amount of intermixing during or after deposition. Thus, in some embodiments, subcycle x) comprises the use of N 2 , NH 3 , or N 2 / H 2 plasma, and subcycle y) comprises the use of H 2 plasma. Alternatively, in some embodiments, subcycle x) comprises the use of H 2 plasma, and subcycle y) comprises the use of N 2 , NH 3 , or N 2 / H 2 plasma. Alternatively, in some embodiments, subcycle x) comprises the use of O 2 plasma, and subcycle y) comprises the use of N 2 , NH 3 , or N 2 / H 2 plasma. Alternatively, in some embodiments, subcycle x) comprises the use of N 2 , NH 3 , or N 2 / H 2 plasma, and subcycle y) comprises the use of O 2 plasma. Alternatively, in some embodiments, subcycle x) comprises the use of a noble gas plasma, and subcycle y) comprises the use of N 2 , NH 3 , or N 2 /H 2 plasma. Alternatively, in some embodiments, subcycle x) comprises the use of N 2 , NH 3 , or N 2 /H 2 plasma, and subcycle y) comprises the use of a noble gas plasma. Alternatively, in some embodiments, subcycle x) comprises the use of N 2 plasma, and subcycle y) comprises the use of N 2 , NH 3 , or N 2 /H 2 plasma. Alternatively, in some embodiments, subcycle x) comprises the use of N 2 , NH 3 , or N 2 /H 2 plasma, and subcycle y) comprises the use of N 2 plasma. Alternatively, in some embodiments, subcycle x) comprises the use of NH 3 plasma, and subcycle y) comprises the use of N 2 , NH 3 , or N 2 /H 2 plasma. Alternatively, in some embodiments, subcycle x) comprises the use of N 2 , NH 3 , or N 2 /H 2 plasma, and subcycle y) comprises the use of NH 3 plasma. Alternatively, in some embodiments, subcycle x) comprises the use of N 2 /H 2 plasma, and subcycle y) comprises the use of N 2 , NH 3 , or N 2 /H 2 plasma. Alternatively, in some embodiments, sub-cycle x) comprises using N 2 , NH 3 , or N 2 /H 2 plasma, and sub-cycle y) comprises using N 2 /H 2 plasma.

矽前驅物可係例如有機矽烷(諸如胺基矽烷、烷基矽烷、或烷氧基矽烷)。替代地,矽前驅物可包含矽鹵化物(諸如氯矽烷或碘矽烷)。例示性矽前驅物包括雙二乙基胺基矽烷(BDEAS)、雙異丙基胺基矽烷(DIPAS)、N-甲基-氮雜-2,2,4-三甲基矽環戊烷、六甲基二矽氮烷(HMDS)、3-胺丙基三甲氧基矽烷(APTMS)、及3-甲氧丙基三甲氧矽烷(MPTMS)、二甲基二甲氧基矽烷(DMDMOS)、二甲氧基四甲基二矽氧烷(DMOTMDS)、及八甲基環四矽氧烷(OMCTS)、二碘矽烷、及二氯矽烷。實驗結果指示,使用氧電漿且處於300°C的溫度下,N-甲基-氮雜-2,2,4-三甲基矽環戊烷得出0.06 nm/循環的生長率。此外,使用氧電漿及100°C的溫度,HMDS得出0.025 nm/循環的生長率,APTMS得出0.063 nm/循環的生長率,且MPTMS得出0.039 nm/循環的生長率。因此,子循環x)可在至少50°C到至多400°C的溫度下(例如,在至少70°C到至多300°C的溫度下或在至少100°C到至多200°C的溫度下)實行。The silane precursor may be, for example, an organic silane (such as an aminosilane, an alkylsilane, or an alkoxysilane). Alternatively, the silane precursor may include a silane halide (such as a chlorosilane or an iodosilane). Exemplary silane precursors include bisdiethylaminosilane (BDEAS), bisisopropylaminosilane (DIPAS), N-methyl-aza-2,2,4-trimethylsilacyclopentane, hexamethyldisilazane (HMDS), 3-aminopropyltrimethoxysilane (APTMS), and 3-methoxypropyltrimethoxysilane (MPTMS), dimethyldimethoxysilane (DMDMOS), dimethoxytetramethyldisiloxane (DMOTMDS), and octamethylcyclotetrasiloxane (OMCTS), diiodosilane, and dichlorosilane. Experimental results indicate that N-methyl-aza-2,2,4-trimethylsilacyclopentane gave a growth rate of 0.06 nm/cycle using oxygen plasma and at a temperature of 300°C. In addition, HMDS gave a growth rate of 0.025 nm/cycle, APTMS gave a growth rate of 0.063 nm/cycle, and MPTMS gave a growth rate of 0.039 nm/cycle using oxygen plasma and a temperature of 100°C. Thus, subcycle x) can be performed at a temperature of at least 50°C to at most 400°C (e.g., at a temperature of at least 70°C to at most 300°C or at a temperature of at least 100°C to at most 200°C).

子循環y可利用例如金屬鹵化物前驅物(例如,Hf、Ti、Ta、Zn、或Zr的氯化物、氟化物、溴化物、或碘化物)。替代地,子循環y)可利用含金屬前驅物,其具有通式M[R(Cx Hy )n ]4 ,其中M係選自Ti、Ta、Hf、Zn、及Zr,其中R係選自OCH及N,其中x係1至2,其中y係3至6,且其中n係2至3。用於在子循環y中使用的例示性金屬前驅物包括金屬醇鹽及金屬醯胺。例如當金屬為鈦時,合適的前驅物包括四異丙醇鈦(TTIP)及四(二甲醯胺基)鈦(TDMAT)。例如,TTIP及TDMAT可用以使用O2 電漿沉積TiO2 ,其中在190°C下的生長分別係每循環0.4及0.6 Å/循環。Subcycle y may utilize, for example, a metal halide precursor (e.g., chloride, fluoride, bromide, or iodide of Hf, Ti, Ta, Zn , or Zr). Alternatively, subcycle y) may utilize a metal-containing precursor having the general formula M[R( CxHy ) n ] 4 , wherein M is selected from Ti, Ta, Hf, Zn, and Zr, wherein R is selected from OCH and N, wherein x is 1 to 2, wherein y is 3 to 6, and wherein n is 2 to 3. Exemplary metal precursors for use in subcycle y include metal alkoxides and metal amides. For example, when the metal is titanium, suitable precursors include titanium tetraisopropoxide (TTIP) and titanium tetrakis(dimethylamido) (TDMAT). For example, TTIP and TDMAT can be used to deposit TiO 2 using O 2 plasma with growth rates of 0.4 and 0.6 Å/cycle, respectively, at 190°C.

上文所述之本揭露之實例實施例並未限制本發明的範疇,因為這些實施例僅為本發明之實施例之實例。任何等效實施例係意欲屬於本發明之範疇內。實際上,除本文所示及所述之實施例以外,在所屬技術領域中具有通常知識者當可從本說明書明白本揭露之各種修改(諸如,所述元件之替代可用組合)。此類修改及具體例亦意欲屬於所附申請專利範圍之範疇內。The exemplary embodiments of the present disclosure described above do not limit the scope of the present invention, as these embodiments are merely examples of embodiments of the present invention. Any equivalent embodiments are intended to be within the scope of the present invention. In fact, in addition to the embodiments shown and described herein, a person with ordinary knowledge in the art will be able to understand various modifications of the present disclosure (e.g., alternative combinations of the components described) from this specification. Such modifications and specific examples are also intended to be within the scope of the attached patent application.

100:方法 102,104,106,108,110:步驟 200,300,400,500,800,1000,1100:結構 202,302,402,502,810:基材 204,304,404,504:材料層 206,306,406,506:光阻底層 208:光阻層 210,408:含碳層 212,508:經處理表面 700:系統 711:第一反應室 712:第二反應室 713:第三反應室 811,1111:下部底層部分 812,1112:上部底層部分 1011:氧化矽層狀組織 1012:金屬氧化物層狀組織 1020:包含下部底層部分及上部底層部分 a,b,c:製程流程 x,y:子循環 z:超循環100: Method 102,104,106,108,110: Steps 200,300,400,500,800,1000,1100: Structure 202,302,402,502,810: Substrate 204,304,404,504: Material layer 206,306,406,506: Photoresist base layer 208: Photoresist layer 210,408: Carbon-containing layer 212, 508: treated surface 700: system 711: first reaction chamber 712: second reaction chamber 713: third reaction chamber 811,1111: lower bottom layer 812,1112: upper bottom layer 1011: silicon oxide layer 1012: metal oxide layer 1020: including lower bottom layer and upper bottom layer a,b,c: process flow x,y: sub-cycle z: super-cycle

當結合下列說明圖式考慮時,可藉由參照實施方式及申請專利範圍而得到對本揭露之例示性實施例的更完整了解。 第1圖繪示根據本揭露之例示性實施例之形成結構之方法。 第2圖繪示根據本揭露之例示性實施例之結構。 第3圖繪示根據本揭露之例示性實施例之結構。 第4圖繪示根據本揭露之例示性實施例之另一結構。 第5圖繪示根據本揭露之例示性實施例之又另一結構。 第6圖顯示實驗結果,該等結果指示相較於使用具有通式TiX4 (其中X係諸如Cl、I、或F的鹵素)之前驅物生長底層時的情況,使用具有通式Ti[R(Cx Hy )n ]4 之前驅物生長底層允許經由EUV微影得到較佳解析度。 第7圖顯示配置以用於執行如本文所述之方法之系統(700)。 第8圖顯示使用本方法之特定實施例形成之結構(800)。 第9圖顯示用於沉積底層之例示性製程流程。 圖10顯示包含下部底層部分及上部底層部分(1020)之結構(1000)的一例示性實施例。 第11圖顯示包含下部底層部分(1111)及上部底層部分(1112)之結構(1100)的一實施例。 第12圖顯示用於形成如本文所述之底層之方法的例示性實施例的流程圖。 將理解,圖式中之元件係為了簡單及清楚起見而繪示且不一定按比例繪製。例如,圖式中的一些元件之尺寸可相對於其他元件誇大,以幫助提升對本揭露所繪示之實施例的瞭解。A more complete understanding of the exemplary embodiments of the present disclosure may be obtained by referring to the embodiments and claims when considered in conjunction with the following illustrative drawings. FIG. 1 illustrates a method of forming a structure according to an exemplary embodiment of the present disclosure. FIG. 2 illustrates a structure according to an exemplary embodiment of the present disclosure. FIG. 3 illustrates a structure according to an exemplary embodiment of the present disclosure. FIG. 4 illustrates another structure according to an exemplary embodiment of the present disclosure. FIG. 5 illustrates yet another structure according to an exemplary embodiment of the present disclosure. FIG. 6 shows experimental results indicating that using a pre-drive grown bottom layer having the general formula Ti[R( CxHy ) n ] 4 allows for better resolution via EUV lithography compared to when using a pre-drive grown bottom layer having the general formula TiX4 (where X is a halogen such as Cl, I, or F). FIG. 7 shows a system (700) configured for performing the method as described herein. FIG. 8 shows a structure (800) formed using a specific embodiment of the method. FIG. 9 shows an exemplary process flow for depositing a bottom layer. FIG. 10 shows an exemplary embodiment of a structure (1000) comprising a lower bottom layer portion and an upper bottom layer portion (1020). FIG. 11 shows an embodiment of a structure (1100) comprising a lower substrate portion (1111) and an upper substrate portion (1112). FIG. 12 shows a flow chart of an exemplary embodiment of a method for forming a substrate as described herein. It will be understood that the elements in the drawings are depicted for simplicity and clarity and are not necessarily drawn to scale. For example, the size of some elements in the drawings may be exaggerated relative to other elements to help enhance understanding of the embodiments depicted in the present disclosure.

100:方法 100:Methods

102,104,106,108,110:步驟 102,104,106,108,110: Steps

Claims (15)

一種形成包含光阻底層之結構之方法,該方法包含下列步驟:提供一基材;使用一循環沉積製程形成上覆於該基材之一表面之一光阻底層;其中該光阻底層包含一金屬之氧化物、氮化物、及氮氧化物之一或多者;其中該金屬包含一或多個過渡金屬;其中形成該光阻底層包括形成一下部底層部分以及一上部底層部分;且其中該下部底層部分具有一層狀結構,其包含交替的含矽層狀組織及含金屬層狀組織,其中形成該下部底層部分包含執行複數個子循環x及子循環y,其中該子循環x及該子循環y係交替地實行,其中該子循環x包含在一反應室中使該基材曝露至一矽前驅物;從該反應室沖洗過量的該矽前驅物;使該基材遭受電漿;及從該反應室沖洗過量的反應性物種;且其中該子循環y包含使該基材曝露至金屬前驅物;從該反應室沖洗過量的該金屬前驅物;使該基材曝露至電漿;及從該反應室沖洗過量的該反應性物種。 A method for forming a structure including a photoresist bottom layer, the method comprising the following steps: providing a substrate; forming a photoresist bottom layer overlying a surface of the substrate using a cyclic deposition process; wherein the photoresist bottom layer comprises one or more of an oxide, a nitride, and an oxynitride of a metal; wherein the metal comprises one or more transition metals; wherein forming the photoresist bottom layer comprises forming a lower bottom layer portion and an upper bottom layer portion; and wherein the lower bottom layer portion has a layered structure comprising alternating silicon-containing layered structures and metal-containing layered structures, wherein forming The lower bottom layer portion includes performing a plurality of sub-cycles x and sub-cycles y, wherein the sub-cycles x and the sub-cycles y are performed alternately, wherein the sub-cycle x includes exposing the substrate to a silicon precursor in a reaction chamber; flushing excess of the silicon precursor from the reaction chamber; subjecting the substrate to plasma; and flushing excess reactive species from the reaction chamber; and wherein the sub-cycle y includes exposing the substrate to a metal precursor; flushing excess of the metal precursor from the reaction chamber; exposing the substrate to plasma; and flushing excess of the reactive species from the reaction chamber. 如請求項1所述之方法,其中該光阻底層包含該金屬、氧、及碳,且其中該金屬前驅物係一醇鹽或烷醯胺金屬前驅物。 The method as described in claim 1, wherein the photoresist bottom layer comprises the metal, oxygen, and carbon, and wherein the metal precursor is an alkoxide or alkamide metal precursor. 如請求項2所述之方法,其中該金屬前驅物具有一通式M[R(CxHy)n]4,其中M係選自Ti、Ta、Hf、Zn、及Zr,其中R係選自OCH及N,其中x係1或2,其中y係3至6,且其中n係2或3。 The method of claim 2, wherein the metal precursor has a general formula M[R(C x H y ) n ] 4 , wherein M is selected from Ti, Ta, Hf, Zn, and Zr, wherein R is selected from OCH and N, wherein x is 1 or 2, wherein y is 3 to 6, and wherein n is 2 or 3. 如請求項3所述之方法,其中M係Ti。 The method as described in claim 3, wherein M is Ti. 如請求項4所述之方法,其中該金屬前驅物係異丙醇鈦(IV)。 The method as described in claim 4, wherein the metal precursor is titanium (IV) isopropoxide. 如請求項3所述之方法,其中一碳前驅物係在該形成該光阻底層之步驟期間進一步提供至該反應室,其中該碳前驅物包含一羧酸酐、甲苯、二乙二醇、三乙二醇、乙醛、及一有機矽化合物之一或多者。 The method as described in claim 3, wherein a carbon precursor is further provided to the reaction chamber during the step of forming the photoresist bottom layer, wherein the carbon precursor comprises one or more of a carboxylic anhydride, toluene, diethylene glycol, triethylene glycol, acetaldehyde, and an organic silicon compound. 如請求項3所述之方法,其中該光阻底層係使用一反應物形成,該反應物係選自H2O、O3、及H2O2The method of claim 3, wherein the photoresist bottom layer is formed using a reactant selected from H 2 O, O 3 , and H 2 O 2 . 如請求項1所述之方法,其中該光阻底層係使用利用一電漿的電漿ALD或電漿增強脈衝CVD方法形成,該電漿係選自由下列組成之列表:H2電漿、Ar電漿、O2電漿、包含H2及He的電漿、及包含H2及Ar的電漿。 A method as described in claim 1, wherein the photoresist bottom layer is formed using a plasma ALD or plasma enhanced pulsed CVD method using a plasma, and the plasma is selected from the list consisting of: H2 plasma, Ar plasma, O2 plasma, plasma containing H2 and He, and plasma containing H2 and Ar. 如請求項3所述之方法,其進一步包含一表面處理步驟。 The method as described in claim 3 further comprises a surface treatment step. 如請求項9所述之方法,其中該表面處理步驟包含使該光阻底層曝露至一或多個含碳化合物,該一或多個含碳化合物係選 自由下列組成之群組:羧酸酐、甲苯、二乙二醇、三乙二醇、乙醛、及有機矽化合物。 The method as described in claim 9, wherein the surface treatment step comprises exposing the photoresist bottom layer to one or more carbon-containing compounds, wherein the one or more carbon-containing compounds are selected from the group consisting of: carboxylic anhydride, toluene, diethylene glycol, triethylene glycol, acetaldehyde, and organic silicon compounds. 如請求項3所述之方法,其進一步包含一形成上覆於該光阻底層之一含碳層之步驟,該含碳層包含矽、氧、及碳。 The method as described in claim 3 further comprises a step of forming a carbon-containing layer overlying the photoresist bottom layer, wherein the carbon-containing layer comprises silicon, oxygen, and carbon. 如請求項3所述之方法,其進一步包含一形成上覆於該光阻底層之一自組裝層之步驟,其中該自組裝層係由一材料形成,該材料係選自由下列組成之群組:(n,n-二甲基胺基)三甲基矽烷、六甲基二矽氮烷(hexamethyldisilazane)、(3-溴丙基)三甲氧基矽烷((3-bromopropyl)trimethoxysilane)、(3-碘丙基)三甲氧基矽烷((3-iodopropyl)trimethoxysilane)、3-(三甲氧基矽基)丙基丙烯酸酯(3-(trimethoxysilyl)propyl acrylate)、三甲氧基苯基矽烷(trimethoxyphenyl silane)、三甲氧基(3,3,3-三氟丙基)矽烷(trimethoxy(3,3,3-trifluoropropyl)silane)、1H,1H,2H,2H-全氟辛基三乙氧基矽烷(1H,1H,2H,2H-perfluorooctyltriethoxysilane)、及三氯苯基矽烷(trichloro(phenyl)silane)、及三甲氧基(1H,1H,2H,2H-十七氟癸基)矽烷(trimethoxy(1H,1H,2H,2H-heptadecafluorodecyl)silane)。 The method as described in claim 3 further comprises a step of forming a self-assembly layer overlying the photoresist bottom layer, wherein the self-assembly layer is formed of a material selected from the group consisting of: (n,n-dimethylamino)trimethylsilane, hexamethyldisilazane, (3-bromopropyl)trimethoxysilane, (3-iodopropyl)trimethoxysilane, 3-(trimethoxysilyl)propyl acrylate, trimethoxyphenyl silane, silane), trimethoxy(3,3,3-trifluoropropyl)silane, 1H,1H,2H,2H-perfluorooctyltriethoxysilane, trichloro(phenyl)silane, and trimethoxy(1H,1H,2H,2H-heptadecafluorodecyl)silane. 如請求項1所述之方法,其中該下部底層部分係使用一金屬鹵化物前驅物形成,或其中該下部底層部分係使用一含金屬前驅物形成,該含金屬前驅物具有一通式M[R(CxHy)n]4,其中M係選自Ti、Ta、Hf、Zn、及 Zr,其中R係選自OCH及N,其中x係1至2,其中y係3至6,且其中n係2至3;及其中該上部底層部分係使用一含金屬前驅物形成,該含金屬前驅物具有一通式M[R(CxHy)n]4,其中M係選自Ti、Ta、Hf、Zn、及Zr,其中R係選自OCH及N,其中x係1至2,其中y係3至6,且其中n係2至3。 The method of claim 1, wherein the lower bottom layer portion is formed using a metal halide precursor, or wherein the lower bottom layer portion is formed using a metal-containing precursor having a general formula M[R( CxHy ) n ] 4 , wherein M is selected from Ti, Ta, Hf, Zn, and Zr, wherein R is selected from OCH and N, wherein x is 1 to 2, wherein y is 3 to 6, and wherein n is 2 to 3; and wherein the upper bottom layer portion is formed using a metal-containing precursor having a general formula M[ R ( CxHy ) n ] 4 , wherein M is selected from Ti, Ta, Hf, Zn, and Zr, wherein R is selected from OCH and N, wherein x is 1 to 2, wherein y is 3 to 6, and wherein n is 2 to 3. 如請求項13所述之方法,其中該上部底層部分係使用利用一稀有氣體作為一電漿氣體的電漿增強ALD或電漿增強化學氣相沉積形成。 The method as claimed in claim 13, wherein the upper bottom layer portion is formed using plasma enhanced ALD or plasma enhanced chemical vapor deposition using a rare gas as a plasma gas. 如請求項3所述之方法,其進一步包含一使該光阻底層曝露至一表面處理之步驟,該表面處理包含使該光阻底層曝露至一有機矽化合物之步驟,該有機矽化合物係選自由下列所組成之列表:雙(三丙基矽基)胺(bis(tripropylsilyl)amine)、雙(三乙基矽基)胺(bis(triethylsilyl)amine)、雙(三甲基矽基)胺(bis(trimethylsilyl)amine)、(n,n-二甲基胺基)三甲基矽烷、(二乙基胺基)三甲基矽烷((diethylamino)trimethylsilane)、(二乙基胺基)三乙基矽烷((diethylamino)triethylsilane)、及(二甲基胺基)三乙基矽烷((Dimethylamino)triethylsilane)。The method as described in claim 3 further comprises a step of exposing the photoresist bottom layer to a surface treatment, wherein the surface treatment comprises a step of exposing the photoresist bottom layer to an organic silicon compound, wherein the organic silicon compound is selected from the list consisting of bis(tripropylsilyl)amine, bis(triethylsilyl)amine, bis(trimethylsilyl)amine, (n,n-dimethylamino)trimethylsilane, (diethylamino)trimethylsilane, (diethylamino)triethylsilane, and (dimethylamino)triethylsilane.
TW109134743A 2019-10-10 2020-10-07 Method of forming a photoresist underlayer and structure including same TWI846966B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962913262P 2019-10-10 2019-10-10
US62/913,262 2019-10-10
US202063060777P 2020-08-04 2020-08-04
US63/060,777 2020-08-04

Publications (2)

Publication Number Publication Date
TW202115273A TW202115273A (en) 2021-04-16
TWI846966B true TWI846966B (en) 2024-07-01

Family

ID=

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190103272A1 (en) 2017-09-29 2019-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Manufacturing a Semiconductor Device

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190103272A1 (en) 2017-09-29 2019-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Manufacturing a Semiconductor Device

Similar Documents

Publication Publication Date Title
US11735422B2 (en) Method of forming a photoresist underlayer and structure including same
US20210013037A1 (en) Structure including a photoresist underlayer and method of forming same
TWI781889B (en) METHOD OF FORMING SiOCN THIN FILM
TWI810617B (en) Method of forming and depositing silicon oxycarbide thin film
TWI788311B (en) Method of topologically restricted plasma-enhanced cyclic deposition
JP2022000913A (en) Compositions and methods of using the same for deposition of silicon-containing film
KR101537189B1 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
TWI762809B (en) Methods for making silicon containing films that have high carbon content
KR101786301B1 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and program
CN115428122A (en) Seam mitigation and integrated liner for gap filling
TWI846966B (en) Method of forming a photoresist underlayer and structure including same
TW202407130A (en) Nonconformal oxide film deposition using carbon-containing inhibitor
US20220350248A1 (en) Method of forming an adhesion layer on a photoresist underlayer and structure including same
CN117751425A (en) Process for depositing SIB film
JP7420752B2 (en) Improving step coverage in pulsed plasma deposition etching
US20220216059A1 (en) Method of treating a substrate
US20230288810A1 (en) Method of forming a structure comprising a photoresist underlayer
US20230054940A1 (en) Method of forming patterned features
US20240170282A1 (en) Method and system for tuning photoresist adhesion layer properties
JP2023154411A (en) Gas-phase method of forming radiation-sensitive patternable material
CN115198247A (en) Method and system for mitigating underlayer damage during patterned structure formation