US20040261712A1 - Plasma processing apparatus - Google Patents

Plasma processing apparatus Download PDF

Info

Publication number
US20040261712A1
US20040261712A1 US10/830,355 US83035504A US2004261712A1 US 20040261712 A1 US20040261712 A1 US 20040261712A1 US 83035504 A US83035504 A US 83035504A US 2004261712 A1 US2004261712 A1 US 2004261712A1
Authority
US
United States
Prior art keywords
plasma
gas
gas supply
upper electrode
processing apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/830,355
Inventor
Daisuke Hayashi
Kazuya Nagaseki
Tetsuji Sato
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2003121214A external-priority patent/JP4286576B2/en
Priority claimed from JP2003154844A external-priority patent/JP4280555B2/en
Priority claimed from JP2003327186A external-priority patent/JP4399219B2/en
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HAYASHI, DAISUKE, SATO, TETSUJI, NAGASEKI, KAZUYA
Publication of US20040261712A1 publication Critical patent/US20040261712A1/en
Priority to US12/405,432 priority Critical patent/US20090255631A1/en
Priority to US12/894,803 priority patent/US8083891B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Definitions

  • the present invention relates to a plasma processing apparatus, and more specifically, it relates to a plasma processing apparatus that does not allow charged particles of plasma generated in a processing chamber to enter a gas supply unit.
  • Plaza processing apparatuses in the known art include those that execute plasma processing such as etching on the work surface of a workpiece, e.g., a semiconductor wafer (hereafter simply referred to as a “wafer”) placed within a processing chamber by, for instance, supplying a processing gas from a gas supply unit into the processing chamber and generating plasma with the processing gas.
  • plasma processing such as etching on the work surface of a workpiece, e.g., a semiconductor wafer (hereafter simply referred to as a “wafer”) placed within a processing chamber by, for instance, supplying a processing gas from a gas supply unit into the processing chamber and generating plasma with the processing gas.
  • the gas supply unit in such a plasma processing apparatus is constituted as a shower head having numerous gas supply holes through which the processing gas is supplied into the processing chamber.
  • the plasma processing apparatus may be, for instance, a plane parallel plasma processing apparatus having a lower electrode disposed within the processing chamber, on which the workpiece is placed.
  • the gas supply unit is constituted of a shower head also functioning as an upper electrode which is disposed at the ceiling of the processing chamber so as to face opposite the lower electrode.
  • the gas supply unit includes an electrode plate that constitutes the lower surface thereof, in which numerous gas supply holes are formed and an electrode support body supporting the electrode plate. Inside the electrode support body, a buffer chamber is formed as a space located above the electrode plate and communicating with a gas supply pipe, and the buffer chamber also communicates with the gas supply holes at the electrode plate. The gas flowing in through the gas supply pipe is first supplied into the buffer chamber and is then guided from the buffer chamber into the processing chamber via the gas supply holes at the electrode plate.
  • charged particles such as electrons and ions in the plasma generated with the processing gases inside the processing chamber may enter the buffer chamber through the gas supply holes at the gas supply unit in the plasma processing apparatus. If charged particles in the plasma enter the gas supply unit (shower head), a glow discharge occurs in the buffer chamber at the gas supply unit, giving rise to problems such as reaction products becoming adhered to the inner surfaces of the gas supply unit and the inner surfaces of the gas supply unit becoming corroded.
  • the charged particles in the plasma enter the gas supply means not only through the mean free path but also because of other factors.
  • the potential (the ground potential) at the electrode support body constituting the upper wall of the buffer chamber in the gas supply unit may become lower than the potential (ground potential) at the electrode plate constituting the lower wall of the buffer chamber.
  • the charged particles in the plasma are allowed to readily enter the buffer chamber from the gas supply holes at the electrode plate toward the electrode support body.
  • the equipotential line will become skewed at an end of a gas supply hole and shifts into the gas supply hole if the gas supply hole is clear, thereby allowing a concentration of energy of the electrons and the like and allowing the electrons and the like to readily enter the gas supply hole.
  • an object of the present invention which has been completed by addressing the problems discussed above, is to provide a plasma processing apparatus capable of fully preventing charged particles in the plaza generated inside the processing chamber from entering the gas supply unit.
  • a plasma processing apparatus that executes plasma processing on a workpiece placed inside a processing chamber by generating plasma with a processing gas supplied through gas supply holes of gas supply unit disposed inside the processing chamber, characterized in that an interchangeable insert member, which prevents charged particles in the plasma generated inside the processing chamber from entering the gas supply unit, is mounted at each gas supply hole at the gas supply unit, is provided.
  • the insert member may include a gas passage communicating between the entry side and the exit side of the gas supply hole, and the gas passage may include a passage which extends along a direction perpendicular to or at an angle to a central axis of the gas supply hole so as to regulate the flow along the central axis.
  • the insert member may include a gas passage formed, for instance, as a spiral gas passage, which communicates between the entry side and the exit side of the gas supply hole while constantly regulating the flow in the gas supply hole along the central axis.
  • a gas passage may be formed so that its section has a width (groove depth) along the direction perpendicular to the central axis of the gas supply hole larger than the thickness of the passage along the central axis of the gas supply hole.
  • an insert member constituted of a specific material may be used in conjunction with a specific gas type used for the plasma processing.
  • the shape of the gas passage in the insert member may be determined in correspondence to the density of the plasma generated in the processing chamber.
  • optimal insert members can be mounted at the gas supply unit in correspondence to various conditions such as the specific gas type and the specific plasma density.
  • FIG. 1 is a schematic sectional view of the structure adopted in an etching apparatus in an embodiment of the present invention
  • FIG. 2 is a schematic sectional view of the structure adopted in the upper electrode (shower head) in the embodiment
  • FIG. 3 is a schematic a sectional view of the upper electrode which does not include the insert members achieved in the embodiment
  • FIG. 4 presents a structural example that may be adopted in the insert members in the embodiment, with FIG. 4A showing an external view of an insert member and FIG. 4B showing a sectional view of an insert member;
  • FIG. 5 presents another structural example that may be adopted in the insert members in the embodiment, with FIG. 5A showing an external view of an insert member and FIG. 5B showing a sectional view of an insert member;
  • FIG. 6 is a perspective of another structural example that may be adopted in the insert members in the embodiment.
  • FIG. 7 presents sectional views of the insert member in FIG. 6, with FIG. 7A showing a sectional view of the insert member in FIG. 6 taken along A-A and FIG. 7B showing a sectional view of the insert member in FIG. 6 taken along B-B;
  • FIG. 8 schematically illustrates the overall structure of another plasma processing apparatus in which the present invention may be adopted
  • FIG. 9 schematically illustrates the structure of an essential portion of the plasma processing apparatus in FIG. 8;
  • FIG. 10 schematically illustrates the structure of an essential portion of the plasma processing apparatus in FIG. 8;
  • FIG. 11 schematically illustrates the structure of an essential portion of the plasma processing apparatus in FIG. 8;
  • FIG. 12 is a schematic sectional view of the structure adopted in another plasma processing apparatus in which the present invention may be adopted;
  • FIG. 13 is a sectional view of the plasma processing apparatus in the embodiment shown in FIG. 12 with its upper electrode set at a processing position;
  • FIG. 14 presents simplified views of the upper electrode unit achieved in the embodiment, with FIG. 14A showing the upper electrode unit with the upper electrode set at a retracted position and
  • FIG. 14B showing the upper electrode unit with the upper electrode set at the processing position
  • FIG. 15 shows the structure adopted in the means for drive control at the upper electrode drive mechanism in the embodiment
  • FIG. 16 is a block diagram of the upper electrode position control executed by the CPU shown in FIG. 15;
  • FIG. 17 shows the structure adopted in the pneumatic circuit in the embodiment
  • FIG. 18 illustrates the functions of the pneumatic circuit in the embodiment
  • FIG. 19 illustrates the functions of the pneumatic circuit in the embodiment
  • FIG. 20 shows the results of position control achieved by driving the upper electrode in the embodiment upward.
  • FIG. 21 shows the results of position control achieved by driving the upper electrode in the embodiment downward.
  • FIG. 1 is a sectional view of the structure of a plasma processing apparatus achieved in the embodiment.
  • a plasma processing apparatus 100 which is an RIE plasma etching apparatus, includes a cylindrical processing chamber (chamber) 110 constituted of a metal such as aluminum or stainless steel.
  • the processing chamber 110 is grounded for protection.
  • a disk-shaped lower electrode (susceptor) 112 on which a workpiece such as a semiconductor wafer (hereafter simply referred to as a wafer) is placed, is disposed.
  • the lower electrode 112 constituted of, for instance, aluminum is supported by a barrel-shaped supporting unit 116 extending upward perpendicular to the bottom of the processing chamber 110 via an insulating barrel-shaped holding unit 114 .
  • a focus ring 118 constituted of, for instance, quartz, which encircles the upper surface of the lower electrode 112 , is disposed.
  • An evacuating passage 120 is formed between the side wall of the processing chamber 110 and the barrel-shaped supporting unit 116 .
  • An annular baffle plate 122 is mounted either at the entrance to or in the middle of the evacuating passage 120 , and an evacuation port 124 is provided at the bottom of the evacuating passage 120 .
  • An evacuation device 128 is connected to the evacuation port 124 via an evacuation pipe 126 .
  • the evacuation device 128 which includes a vacuum pump (not shown), is capable of reducing the pressure in the processing space within the processing chamber 110 to a predetermined degree of vacuum.
  • a gate valve 130 which opens/closes the delivery bay through which the wafer W is carried in/out is mounted at the side wall of the processing chamber 110 .
  • a high-frequency source 132 for plasma generation and also for RIE is electrically connected to the lower electrode 112 via a matcher 134 and a power supply rod 136 .
  • High-frequency power with a predetermined frequency e.g., 60 MHz, is applied to the lower electrode 112 from the high-frequency source 132 .
  • a shower head hereafter referred an “upper electrode” 138 to be detailed later, which is used to supply a processing gas and also functions as an upper electrode, is disposed at a position facing opposite the lower electrode 112 .
  • the potential at the upper electrode 138 is set to ground level.
  • the high-frequency voltage from the high-frequency source 132 is capacitatively applied between the lower electrode 112 and the upper electrode 138 .
  • An electrostatic chuck 140 that holds the wafer W by electrostatically attracting the wafer W is provided at the upper surface of the lower electrode 112 .
  • the electrostatic chuck 140 is constituted by enclosing an electrode 140 a formed from a conductive film between a pair of insulating films 140 b and 140 c .
  • a DC source 142 is electrically connected with the electrode 140 a via a switch 143 . As a DC voltage is supplied from the DC source 142 , the wafer W is attracted and held onto the electrostatic chuck 140 with the resulting coulomb force.
  • a coolant chamber 144 which may extend along, for instance, the circumferential direction is provided inside the lower electrode 112 .
  • a coolant such as cooling water sustaining a predetermined temperature and supplied from a chiller unit 146 via pipings 148 and 150 circulates through the coolant chamber 144 .
  • the temperature of the wafer W on the lower electrode 112 can be controlled in correspondence to the temperature of the coolant.
  • a heat transfer gas such as an He gas is supplied from a heat transfer gas supply unit 152 via a gas supply line 154 to the space between the upper surface of the electrostatic chuck 140 and the back surface of the wafer W.
  • the upper electrode (shower head) 138 includes an electrode plate 156 located on the lower side, at which numerous gas passing holes 156 a are formed, an electrode support body 158 which detachably supports the electrode plate 156 and an intermediate member 157 disposed on top of the electrode plate 156 and having gas communicating holes 157 a each communicating with one of the gas passing holes 156 a at the electrode plate 156 .
  • the gas supply holes at the gas supply unit according to the present invention are each constituted with a gas passing hole 156 a and the corresponding gas communicating hole 157 a described above.
  • a buffer chamber 160 is formed and a gas supply piping 164 extending from a processing gas supply unit 162 is connected to a gas supply port 160 a at the buffer chamber 160 .
  • the processing chamber 110 is enclosed by a dipole ring magnet 166 .
  • the dipole ring magnet 166 is constituted with a pair of annular or coaxial magnets disposed at an upper position and a lower position over a distance from each other in the embodiment.
  • the magnets constituting the dipole ring magnet 166 are each achieved by housing a plurality of anisotropic segment pole magnets in a ring-shaped casing formed of a magnetic material so that they form uniform horizontal magnetic fields that are oriented in a single direction as a whole inside the processing chamber 110 .
  • a magnetron discharge is caused by an RF electric field along the vertical direction attributable to the high-frequency source 132 and the horizontal magnetic field attributable to the dipole ring magnet 166 in the space between the upper electrode 138 and the lower electrode 112 in the processing chamber 110 and, as a result, high-density plasma is generated near the surface of the lower electrode 112 .
  • the plasma processing apparatus includes a control unit 168 that controls the individual units in the apparatus.
  • the control unit 168 controls the operations of, for instance, the evacuation device 128 , the high-frequency source 132 , the switch 143 for the electrostatic chuck, the chiller unit 146 , the heat transfer gas supply unit 152 and the processing gas supply unit 162 .
  • the control unit 168 may be connected to a host computer within the factory (not shown) to enable control from the host computer.
  • the gate valve 130 is first set in an open state to allow the wafer W, i.e., the workpiece, to be carried into the processing chamber 110 and placed on the lower electrode 112 .
  • a DC voltage from the DC source 142 is applied to the electrode 140 a of the electrostatic chuck 140 to electrostatically attract the wafer W onto the lower electrode 112 .
  • a specific type of processing gas such as NH3 is supplied from the processing gas supply unit 162 into the processing chamber 110 at a predetermined flow rate and a predetermined flow rate ratio, and the pressure inside the processing chamber 110 is set to a predetermined value via the evacuation device 128 .
  • high-frequency power at a specific frequency is applied from the high-frequency source 132 to the lower electrode 112 at a predetermined power level.
  • the processing gas supplied into the processing chamber 110 via the upper electrode 138 as described above is raised to plasma between the two electrodes 112 and 138 through a high-frequency discharge and the work surface of the wafer W is etched with radicals and ions occurring in the plasma.
  • FIG. 2 is a sectional view of the structure adopted in the upper electrode in the embodiment
  • FIG. 3 presents another example of an upper electrode provided for comparison with the upper electrode in the embodiment.
  • insert members 200 are inserted at gas passing holes 156 a constituting part of the gas supply holes, which are located at the electrode plate 156 in the upper electrode 138 in the embodiment.
  • the insert members 200 which can be attached to and detached from the electrode plate 156 freely, can be replaced by insert members 200 with any of various structures featuring gas passages formed in different shapes and constituted of different materials, in correspondence to various conditions such as the gas type and the plasma density level.
  • the insert members 200 are used to prevent charged particles such as electrons and ions in the plasma generated within the processing chamber 110 from entering the upper electrode through the gas passing holes 156 a .
  • the insert members 200 each include a gas passage 212 through which the processing gas flows.
  • the gas passage 212 is formed so that entry of charged particles in the plasma is disallowed while the processing gas is allowed to flow through it. It is to be noted that the structure of the insert members 200 is to be described in detail later.
  • charged particles in the plasma may enter the upper electrode 138 through the gas passing holes 156 a at the electrode plate 156 . Electrons, which move particularly fast among charged particles, can enter the gas supply unit with ease. If charged particles in the plasma enter the upper electrode 138 , a glow discharge occurs in the buffer chamber 160 inside the upper electrode 138 , which results in reaction products becoming adhered to the inner surfaces at the upper electrode 138 and corrosion inside the upper electrode.
  • the charged particles in the plasma are allowed to enter the upper electrode 138 when the length of the mean free path of the charged particles in the plasma is substantially equal to or greater than the thickness of the electrode plate 156 (the height of the gas supply holes), entry of charged particles may be attributed to the following causes as well.
  • the potential (the ground potential) at the electrode support body 158 constituting the upper wall of the buffer chamber 160 in the upper electrode 138 may become lower than the potential (the ground potential) at the electrode plate 156 , which is in electrical contact with the intermediate member 157 constituting a lower wall of the buffer chamber 160 .
  • charged particles in the plasma are allowed to enter the buffer chamber 160 with greater ease from the gas passing holes 156 a at the electrode plate 156 and flow toward the electrode support body 158 .
  • the equipotential line will become skewed at the ends of the gas supply holes (each constituted with a gas passing hole 156 a and the corresponding gas communicating hole 157 a ) and shifts into any clear gas supply hole, thereby allowing a concentration of the energy of the electrons and the like in the gas supply hole.
  • Such entry of charged particles from the plasma can be prevented by forming a passage extending along a direction perpendicular to or at an angle to the central axis of each gas supply hole so as to regulate the flow along the central axis.
  • the entry of the charged particles in the plasma can be prevented more effectively as the length of the passage extending along the direction perpendicular to or at an angle to the central axis increases, since the charged particles in the plasma along the vertical direction will more readily collide with the wall or the like defining the gas passage as the length of the passage extending along the direction perpendicular to or at an angle to the central axis increases and thus, the energy level of the charged particles in the plasma can be kept low.
  • the presence of such a passage at each gas supply hole prevents the charged particles in the plasma from advancing to the buffer chamber 160 at the upper electrode 138 .
  • the charged particles in the plasma are likely to enter the upper electrode 138 most readily when various conditions such as a specific gas supply hole diameter, a specific gas type and a specifics plasma density level coincide. This leads to a concept that if the gas passage at the gas supply hole can be altered in correspondence to predetermined conditions, the entry of the charged particles from the plasma into the upper electrode 138 can be prevented more effectively.
  • an insert member 200 is inserted at each of the gas supply holes at the upper electrode 138 and the part of the gas passage formed at the insert member, which extends vertically or at an angle is made to range over a sufficient length, according to the present invention.
  • insert members 200 can be replaced with a different type of insert members in conformance to various conditions such as the gas type and the plasma density so as to alter the passage through the gas supply hole to adjust to specific conditions.
  • FIG. 4 presents a structural example that may be adopted in the insert members mounted at the gas supply holes of the upper electrode.
  • FIG. 4A presents an external view of an insert member
  • FIG. 4B presents a sectional view of the insert member mounted at a gas passing hole 156 a.
  • the gas passing holes 156 a formed at the electrode plate 156 of the upper electrode 138 are each constituted with a hole 156 b formed on the side toward the intermediate member 157 and a hole 156 c which communicates with the hole 156 b and has a diameter smaller than that of the hole 156 b .
  • the insert members 200 are inserted at the hole 156 b which constitute part of the gas passing holes 156 a and are formed on the side toward the intermediate member 157 .
  • the insert members according to the present invention each include a gas passage formed to extend along a direction perpendicular to or at an angle to the central axis of the gas supply hole so as to regulate the flow along the central axis.
  • a gas passage 202 at the insert member 200 in FIG. 4 is formed in a spiral shape so as to communicate between the upper end and the lower end of the insert member 200 while constantly regulating the flow along the central axis at the gas passing hole 156 a .
  • such a gas passage may be achieved by forming a spiral groove at the external circumferential surface of the insert member 200 , as shown in FIG. 4A, for instance.
  • the gas passage 202 is formed by this spiral groove and the inner wall of the gas passing hole 156 a as the insert member 200 is inserted at the gas passing hole 156 a . It is to be noted that although not shown, the gas passage may instead be formed in a zigzag pattern at the insert member.
  • the gas passage 202 may be formed so that its section has a width (groove depth) along a direction extending perpendicular to the central axis of the gas passing hole, which is larger than the thickness of the gas passing hole 156 a along the central axis.
  • the number of turns of the spiral gas passage 202 increases, the entry of charged particles can be prevented with greater effectiveness.
  • the number of turns of the spiral gas passage 202 increases, the gas passage becomes narrower, resulting in a lowered flow rate of the processing gas. Accordingly, the number of turns that the spiral gas passage 202 makes should be determined so as to strike an optimal balance between the desired level of charged particle entry prevention and the desired processing gas flow rate. For instance, it is desirable to form the spiral gas passage so that it makes 1.5 turns or more at the external side surface of the insert member 200 .
  • the gas passages 202 of the insert members 200 regulate the flow along the central axes of the individual gas passing holes 156 a at all times and thus, any charged particles in the plasma that may enter through the gas passing holes 156 a are bound to collide into the inner walls or the like of the insert members 200 and lose their energy before they reach the upper ends of the insert members 200 .
  • the gas passage 202 of the insert member 200 is formed so that its thickness along the central axis of the gas passing hole 156 a is smaller than its width (groove depth) along the direction perpendicular to the central axis, as shown in FIG. 4B.
  • the space inside the gas passing hole 156 a along the axial direction can be narrowed to cause charged particles such as electrons to readily collide into the wall and the like of the insert member 200 and to lose energy quickly.
  • the flow rate of the processing gas can be increased, the occurrence of a glow discharge inside the upper electrode 138 can be prevented without having to greatly alter the gas outlet characteristics of the upper electrode (shower head) 138 .
  • the insert members according to the present invention may each be detachably mounted through the entire length of the gas passing hole 156 a at the electrode plate 156 , as in the case of an insert member 210 shown in FIG. 5.
  • FIG. 5A presents an external view of the insert member 210
  • FIG. 5B is a sectional view of the insert member 210 mounted at the gas passing hole 156 a .
  • a gas passage 212 of this insert member 210 may be formed over the entire insert member 210 , as shown in FIG. 5A, for instance.
  • the gas passage formed to regulate the flow along the central axis of the gas supply hole and extends along the direction perpendicular to or at an angle to the central axis may be present along both the diameter and the circumference of the insert member. More specifically, it may be provided as an insert member 230 shown in FIGS. 6 and 7.
  • FIG. 6 is a perspective showing the structure adopted in the insert member 220
  • FIG. 7A and FIG. 7B are both sectional views taken along A-A and B-B in FIG. 6 respectively.
  • the insert member 220 is detachably inserted at the hole 156 b in the gas passing hole 156 a at the electrode plate 156 , as is the insert member 200 shown in FIG. 4. As shown in FIGS. 6 and 7, the insert member 220 has an overall shape of a substantially circular column with a circumferential groove 224 formed at a substantially middle portion of the outer side surface.
  • an axial hole 226 is formed along the axis of the gas passing hole 156 a and a diameter-direction hole 228 is formed along the diameter of the gas passing hole 156 a to communicate with the upper end of the axial hole 226 , as shown in FIG. 7A.
  • the diameter-direction hole 228 is in communication with the circumferential groove 224 .
  • the diameter-direction hole 228 and the circumferential groove 224 together form a passage extending perpendicular to or at an angle to the central axis of the gas supply hole.
  • axial grooves 229 are formed perpendicular to the direction along which the diameter-direction hole 228 is formed so as to cut through to the upper end of the insert member 220 .
  • the lower ends of the axial grooves 229 are in communication with the circumferential groove 224 .
  • a gas passage 222 of the insert member 220 adopting the structure described above extends upward from its lower end along the axial direction through the axial hole 226 , passes along the diameter through the diameter-direction hole 228 from the upper end of the axial hole 226 , makes a 90° turn along the circumferential groove 224 and then extends upward through the axial grooves 229 to the upper end of the gas passage 222 .
  • Such insert members 220 make it possible to effectively prevent entry of charged particles in the plasma into the buffer chamber 160 at the upper electrode 138 . As a result, no energy is transferred into the buffer chamber 160 and the occurrence of a glow discharge inside the buffer chamber 160 can be prevented with a high degree of reliability.
  • the insert members 200 , 210 and 220 described above may be constituted of a fluororesin such as Teflon (registered trademark), a tetrafluoroethylene resin (PTFE), a chlorine trifluoroethylene resin (PCTFE), a tetrafluoroethylene parfluoroalkylvynylether copolymer resin (PFA), a tetrafluoroethylene-hexafluoride propylene copolymer resin (PFE) or a fluorovinyllidene resin (PVDF) instead of quartz.
  • a fluororesin such as Teflon (registered trademark), a tetrafluoroethylene resin (PTFE), a chlorine trifluoroethylene resin (PCTFE), a tetrafluoroethylene parfluoroalkylvynylether copolymer resin (PFA), a tetrafluoroethylene-hexafluoride propylene copolymer resin
  • the insert members mounted at the gas supply holes in the upper electrode 138 in the embodiment are interchangeable. Accordingly, the optimal type of insert members should be selected in correspondence to various conditions including the gas type and the plasma density to be inserted at the gas supply holes in the upper electrode 138 . By using the optimal insert members, it is possible to fully prevent charged particles in the plasma generated in the processing chamber 110 from entering the upper electrode 138 , which constitutes the gas supply unit.
  • insert members constituted of different materials may be mounted in correspondence to different types of processing gases.
  • insert members constituted of polyimide may be used in conjunction with a CF gas
  • insert members constituted of PTFE with a high level of corruption resistance may be used in conjunction with a corrosive gas such as a NH3 gas, a HBR gas or a C12 gas.
  • insert members formed in different shapes may be mounted in correspondence to different density levels of the plasma generated inside the processing chamber 110 .
  • the insert members 200 or 210 having the spiral gas passages 202 or 212 as shown in FIG. 4 or FIG. 5 should be used, whereas if the plasma density is low, the insert members 220 having the gas passages 222 structured as shown in FIGS. 6 and 7 formed therein are good enough.
  • the present invention provides a plasma processing apparatus with which it is impossible to fully prevent charged particles in plasma generated inside the processing chamber from entering the gas supply unit.
  • the present invention may be adopted in a plasma processing apparatus other than a plane parallel plasma etching apparatus, such as a helicon wave plasma etching apparatus or an inductively coupled plasma etching apparatus.
  • the present invention may be adopted in plasma processing apparatuses such as those explained in reference to FIGS. 8 to 11 and FIGS. 12 through 21.
  • the plasma processing apparatus in this example is employed to execute specific types of plasma processing such as etching and film formation processing on work substrates, e.g., semiconductor wafers or glass substrates for liquid crystal display devices, by using plasma.
  • a specific type of processing gas is supplied from the shower head into the vacuum chamber and at the same time, the vacuum chamber is evacuated through its bottom so as to fill the vacuum chamber with a processing gas atmosphere achieving a predetermined degree of vacuum.
  • high-frequency power with a predetermined frequency is supplied between the stage and the shower head, thereby generating plasma with the processing gas, and as the plasma is applied to the semiconductor wafer, the semiconductor wafer is processed, e.g., etched.
  • a plasma processing apparatus comprising a vacuum chamber in which a work substrate is placed, a stage disposed within the vacuum chamber on which the work substrate is placed, a plasma generating mechanism that generates plasma within the vacuum chamber to be used to execute a specific type of processing on the work substrate, an evacuation ring disposed so as to surround the stage and having an evacuating passage formed therein and an evacuation mechanism that evacuates the vacuum chamber via the evacuating passage, characterized in that the evacuation ring includes a side wall portion formed substantially perpendicular to the surface of the stage on which the work substrate is placed and a bottom portion ranging inward from the lower end of the side wall portion and in that the evacuating passage is formed at least at the side wall portion, is provided.
  • the side wall portion of the evacuation ring is constituted with an inner cylindrical member and an outer cylindrical member disposed coaxially to each other over a predetermined distance from each other, which should be positioned so as to offset an opening at the inner cylindrical member and an opening at the outer cylindrical member from each other.
  • the opening at the inner cylindrical member and the opening at the outer cylindrical member may be formed in a longitudinally elongated rectangular shape, and the inner cylindrical member and the outer cylindrical member may each have a plurality of such openings set along the circumferential direction over predetermined intervals.
  • the evacuating passage may be formed with the opening formed at the inner cylindrical member, a clearance formed between the inner cylindrical member and the outer cylindrical member and the opening formed at the outer cylindrical member.
  • the evacuating passage may be formed at the bottom portion of the evacuation ring in the plasma processing apparatus.
  • a plasma processing apparatus comprising a vacuum chamber in which a work substrate is placed, a stage disposed within the vacuum chamber on which the work substrate is placed, a plasma generating mechanism that generates plasma inside the vacuum chamber to be used to execute a specific type of processing on the work substrate, an evacuation ring disposed so as to surround the stage and having an evacuating passage formed therein and an evacuation mechanism that evacuates the vacuum chamber from the bottom of the evacuation ring via the evacuating passage, characterized in that the evacuation ring includes a first member having a first opening and a second member disposed over a distance with a clearance formed between the first member and the second member and having a second opening formed at a position offset from the first opening, in that the evacuating passage is formed to extend from the first opening into the clearance and pass through the clearance to be led out from the second opening and in that the plasma is trapped inside the clearance.
  • FIG. 8 is a schematic illustration of the structure adopted in the embodiment achieved by adopting the present invention in a plane parallel plasma etching apparatus used to etch semiconductor wafers.
  • reference numeral 301 indicates a cylindrical vacuum chamber constituted of, for instance, aluminum and having an internal space that can be closed off in an airtight state.
  • a stage 302 on which a semiconductor wafer W is placed is provided inside the vacuum chamber 301 , and the stage 302 also functions as a lower electrode.
  • a shower head 303 constituting an upper electrode is disposed and a pair of plain parallel electrodes are constituted by the stage 302 and the shower head 303 .
  • a free space 304 in which the gas is diffused is formed at the shower head 303 and numerous narrow holes 305 are formed on the lower side relative to the free space 304 for gas diffusion.
  • a specific type of processing gas supplied from a processing gas supply system 306 is diffused inside the free space 304 for gas diffusion, and the diffused processing gas is then supplied in a shower directed toward the semiconductor wafer W through the narrow holes 305 .
  • a high-frequency source may be connected to the shower head 303 to apply high-frequency power both to the stage 302 and to the shower head 303 , instead.
  • Two high-frequency sources 309 and 310 are connected to the stage 302 via two matchers 307 and 308 respectively and, as a result, high-frequency power can be supplied to the stage 302 by superimposing the high frequency power with one of the two different specific frequencies (e.g., 100 MHz and 3.2 MHz) on the high frequency power with the other frequency. It is to be noted that a single high-frequency source may be used to supply high-frequency power to the stage 302 so that high-frequency power with a single frequency is supplied to the stage 302 , instead.
  • an electrostatic chuck 311 which electrostatically holds the semiconductor wafer W is provided at the surface of the stage 302 on which the semiconductor wafer W is placed.
  • the electrostatic chuck 311 adopts a structure achieved by disposing an electrostatic chuck electrode 311 b inside an insulating layer 311 a , with a DC source 312 connected to the electrostatic chuck electrode 311 b .
  • a focus ring 313 is provided at the upper surface of the stage 302 so as to surround the semiconductor wafer W.
  • An evacuation port 314 is provided at the bottom of the vacuum chamber 301 , and an evacuation system 315 constituted of a vacuum pump and the like is connected to the evacuation port 314 .
  • An evacuation ring 316 formed in an annular shape is provided around the stage 302 .
  • the evacuation ring 316 includes a side wall portion 317 formed to range downward almost perpendicularly and a bottom portion 318 ranging inward perpendicular to the bottom end of the side wall portion 317 .
  • the side wall portion 317 is constituted with an inner cylindrical member 319 and an outer cylindrical member 320 disposed, coaxially to each other over a predetermined distance from each other.
  • the inner cylindrical member 319 includes a plurality of openings 319 a formed in a vertically elongated rectangular shape and set over specific intervals along the circumferential direction to constitute evacuating passages.
  • the outer cylindrical member 320 includes a plurality of openings 320 a formed in a vertically elongated rectangular shape to constitute the evacuating passages.
  • the openings 319 a and the openings 320 a are disposed so that they are offset from each other by a predetermined extent (by the distance C in FIG. 11) along the circumferential direction.
  • the evacuating passages are thus each formed so that the gas passes through the openings 319 a at the inner cylindrical member 319 , then passes through a clearance 321 formed between the inner cylindrical member 319 and the outer cylindrical member 320 and subsequently is discharged through the openings 320 a at the outer cylindrical member 320 , as the arrows in FIG. 11 indicate.
  • the evacuation ring 316 achieves a structure that traps plasma in the clearance 321 , and in order to assure this, the width A of the clearance 321 is set relatively small, whereas the offset width C of the openings 319 a and the openings 320 a is set large enough to trap the plasma.
  • the width B of the openings 319 a which are not used to trap the plasma, is set to a large value to ensure a high enough conductance level with a large opening area, and for the same reason, the thickness D of the inner cylindrical member 319 is set to a small value.
  • the thickness of the outer cylindrical member 320 and the width of the openings 320 a are set to similar values based upon the same principle.
  • FIG. 11 schematically illustrates the structure of the evacuation ring 316 and it does not indicate the actual dimensions accurately.
  • the width B of the openings 319 a is set greater than 2 mm, e.g., approximately several millimeters if, for instance, the width A of the clearance 321 is set to 1 mm.
  • the offset width C of the openings 319 a and the openings 320 a and the thickness D of the inner cylindrical member 319 are also set to values conforming to the conditions presented earlier and taking machinability into consideration.
  • the length of the side wall portion 317 along the vertical direction is set to a value that will allow the openings 319 a and the openings 320 a to range over large enough areas and assures a satisfactory level of conductance.
  • the openings are allowed to range over large areas to assure a satisfactory level of conductance while assuring the required plasma leak preventing function, as well.
  • openings 318 a each constituted as a circular hole are formed at the bottom portion 318 of the evacuation ring 316 as well, and these openings 318 a , too, form evacuating passages in the embodiment.
  • the conductance can be further improved.
  • the evacuating passage at the bottom portion 318 may adopt a structure identical to that of the evacuating passages at the side wall portion 317 .
  • the evacuating passage at the bottom portion 318 can be formed with simple circular holes or the like without having to consider the plasma leak preventing function as a crucial factor.
  • no evacuating passages need to be formed at the bottom portion 318 .
  • the evacuation ring 316 described above may be formed by using any material as long as it is electrically conductive and may be constituted of, for instance, stainless steel or aluminum with an alumite film or a sprayed coating deposited on the surface thereof.
  • the evacuation ring 316 constituted of a conductive material is electrically connected to the ground potential.
  • the atmosphere inside the vacuum chamber 301 achieves a predetermined degree of vacuum.
  • a magnetic field forming mechanism 322 is provided around the vacuum chamber 301 so as to form a desired magnetic field in the processing space inside the vacuum chamber 301 .
  • the magnetic field forming mechanism 322 includes a rotating mechanism 323 , and as the magnetic field forming mechanism 322 is rotated around the vacuum chamber 301 , the magnetic field inside the vacuum chamber 301 , too, is allowed to rotate.
  • a gate valve (not shown) at a transfer port (not shown) is opened, and a semiconductor wafer W carried into the vacuum chamber 301 with a transfer mechanism or the like is set on the stage 302 .
  • the semiconductor wafer W placed on the stage 302 is then electrostatically held onto the electrostatic chuck 311 by applying a predetermined level of a DC voltage to the electrostatic chuck electrode 311 b of the electrostatic chuck 311 from the D.C. source 312 .
  • the gate valve is closed, the vacuum chamber 301 is evacuated with the vacuum pump or the like of the evacuation system 315 , and then, after a specific degree of vacuum is achieved inside the vacuum chamber 301 , the processing gas to be used to execute a specific type of etching process is supplied from the processing gas supply system 306 into the vacuum chamber 301 via the free space 304 for gas diffusion and the narrow holes 305 at a flow rate of, for instance, 100 to 1000 sccm.
  • the pressure inside the vacuum chamber 301 is sustained at, for instance, approximately 1.33 to 133 Pa (10 to 100 mTorr).
  • high-frequency power with specific frequencies (e.g., 100 MHz and 3.2 MHz) is supplied to the stage 302 from the high-frequency sources 309 and 310 .
  • a high-frequency electric field is formed in the processing space between the shower head 303 and the stage 302 .
  • a specific magnetic field is formed in the processing space by the magnetic field forming mechanism 322 .
  • a plasma with specific characteristics is generated from the processing gas supplied into the processing space, and a specific film on the semiconductor wafer W becomes etched with the plasma.
  • the high conductance at the evacuation ring 316 makes it possible to evacuate the vacuum chamber with a high degree of efficiency and, as a result, the atmosphere inside the vacuum chamber easily achieves a high degree of vacuum without having to employ a large, high performance vacuum pump or the like.
  • the desired etching process can be executed with a high level of accuracy with stable plasma.
  • the present invention is adopted in a plasma etching apparatus that etches semiconductor wafers in the embodiment described above, the present invention is not limited to this example. For instance, it may be adopted in an apparatus that processes substrates other than semiconductor wafers, or in an apparatus that executes processing other than etching, e.g., a film formation processing apparatus that executes CVD or the like.
  • the plasma processing apparatus described above achieving a high level of gas conductance capability supports a wide range of processes without necessitating an increase in the production costs and enables the plasma processing to be executed in a desirable manner with stable plasma achieved through its high level of plasma leak preventing function.
  • the present invention is adopted in a plasma processing apparatus that executes plasma processing on workpieces that may be glass substrates for flat displays (FPD) such as liquid crystal displays (LCD), i.e., FPD substrates such as LCD substrates, as well as semiconductor wafers in this example. More specifically, an explanation is given in reference to the example on a plasma processing apparatus capable of implementing control so as to drive a member such as an electrode disposed within the plasma processing apparatus to a desired position and its upper electrode unit.
  • FPD flat displays
  • LCD liquid crystal displays
  • follow-up control is normally implemented by utilizing a servomotor, a stepping motor or the like as an actuator to implement control so as to linearly drive a member such as an a electrode disposed within the processing apparatus to a desired position.
  • a sturdy structural body is required to form a motive force communicating mechanism constituted of a pulley, gears, a belt or a chain to be used to convert the motor rotation to a linear motion, and thus, the processing apparatus itself is bound to be large in size.
  • vibration and noise caused by the rotational motion of the motor and the motive force communicating mechanism adversely affect the results of the wafer processing.
  • it requires a regular maintenance since the gears and the chain constituting the motive force communicating mechanism are consumables.
  • a pneumatic actuator may be utilized as the actuator.
  • a pneumatic actuator is advantageous in that there is no risk of an oil leak or contamination of the clean room.
  • the pneumatic actuator can be provided as a light weight, compact unit capable of achieving a high output.
  • pneumatic actuators are used in plasma processing apparatus applications, in a wafer cassette elevator mechanism (see, for instance, the Japanese Patent Laid-open Publication No. 2001-35897) and in a gate switching mechanism (see, for instance, Japanese Patent Laid-open Publication No. 10-209245 (U.S. Pat. No. 6,113,734)) provided at the wafer transfer port in the processing chamber.
  • a pneumatic actuator is primarily utilized in simple tasks such as a constant repetitive operation and is not deemed suitable for drive control of, for instance, an electrode, in which highly accurate positional control must be achieved in the related art.
  • an object of the present invention which has been completed by addressing the problems discussed above, is to provide a plasma processing apparatus and an upper electrode unit with which highly accurate positional control can be implemented by using a pneumatic actuator.
  • a plasma processing apparatus that executes plasma processing on a workpiece with plasma generated by using an electrode disposed inside a processing container, comprising a sliding support member that slidably supports the electrode with a slide mechanism so that the electrode is allowed to slide freely along one direction, a pneumatic cylinder having a rod disposed continuous with the sliding support member, a pneumatic circuit that drives the pneumatic cylinder and a means for control that implements positional control of the electrode by controlling the pneumatic circuit, is provided.
  • a second aspect of the present invention achieves the object by providing an upper electrode unit of a plasma processing apparatus that executes plasma processing on a workpiece with plasma generated by using an upper electrode disposed inside a processing container, comprising the upper electrode disposed inside the processing container, a sliding support member that slidably supports the upper electrode with a slide mechanism so as to allow the upper electrode to slide freely along the vertical direction, a pneumatic cylinder having a rod disposed continuous to the sliding support member, a pneumatic circuit that drives the pneumatic cylinder and a means for control that implements positional control of the upper electrode by controlling the pneumatic circuit.
  • the sliding support member provided independently of the pneumatic cylinder slidably supports the electrode so as to allow the electrode to slide freely along one direction (e.g., the vertical direction) and, as a result, any load (external disturbance) that would otherwise be applied to the pneumatic cylinder along a direction other than the one direction is eliminated to engage the pneumatic cylinder in movement along one direction exclusively. Consequently, highly accurate positional control of the electrode is achieved with the pneumatic cylinder.
  • the upper electrode unit can be installed into an existing plasma processing apparatus with ease to achieve positional control for the upper electrode with a pneumatic cylinder.
  • the slide mechanism used in the first and second aspects may include a rail disposed at the external circumference of the sliding support member along the direction in which electrode slides and a guide member that guides the rail along the sliding direction while supporting the rail slidably and is fixed to the processing container.
  • the electrode can be slidably supported through a simple structure.
  • the guide member in this slide mechanism may be fixed to the processing container via a horizontal adjustment member of the electrode. In such a case, a fine adjustment of the electrode along the horizontal direction can be achieved readily by adjusting the inclination of the guide member with the horizontal adjustment member.
  • the rod of the pneumatic cylinder used in the first and second aspects may be disposed at an approximate center of the electrode. This structure is effective in preventing decentering of a load applied to the rod of the pneumatic cylinder and suppressing an occurrence of moment, and thus, even more accurate positional control of the electrode is achieved.
  • the pneumatic circuit used in the first and second aspects may include a switching valve provided at a position between a pneumatic source and the pneumatic cylinder, which enable drive of the rod of the pneumatic cylinder by switching the flow of compressed air supplied to the pneumatic cylinder based upon a control signal provided by the means for control and a drive stop valve disposed at a position between the switching valve and the pneumatic cylinder, which allows the rod of the pneumatic cylinder to stop and be held by cutting off the compressed air supplied to the pneumatic cylinder based upon a stop signal provided by the means for control.
  • a means for positional detection that detects the position of the electrode by detecting the movement of the rod at the pneumatic cylinder used in the first and second aspects may be provided to allow the means for control to implement the positional control of the electrode based upon a deviation determined by subtracting the current position of the electrode detected with the means for positional detection from a target position set for the electrode.
  • the target position may be set over a plurality of stages leading to the position to which the electrode is to be ultimately moved, so as to drive the electrode gradually.
  • the electrode referred to in the description of the first aspect is one of a pair of electrodes disposed parallel to each other inside the processing container, and the workpiece may be placed on the other electrode.
  • FIGS. 12 and 13 schematically illustrates the structure adopted in a plane parallel plasma processing apparatus 400 , which is a typical example of the plasma processing apparatus achieved in the embodiment of the present invention.
  • FIG. 12 shows the upper electrode set at the retracted position
  • FIG. 13 shows the upper electrode set at the processing position.
  • FIG. 14 schematically illustrates the mechanism used to drive the upper electrode shown in FIGS. 12 and 13 to facilitate an explanation of its functions, with FIG. 14A showing a state in which the upper electrode is set at the retracted position and FIG. 14B showing a state in which the upper electrode is set at the processing position.
  • the plasma processing apparatus 400 achieved in the embodiment includes a cylindrical chamber (processing container) 402 constituted of aluminum with a surface thereof having undergone anodization (alumite processing), and the chamber 402 is grounded.
  • a susceptor stage 404 formed in a substantially columnar shape, on which a workpiece such as a semiconductor wafer (a hereafter simply referred to as a “wafer”) W is placed is provided at the bottom inside the chamber 402 via an insulating plate 403 constituted of ceramic or the like.
  • a susceptor 405 constituting a lower electrode is set on the susceptor stage 404 .
  • a high pass filter (HPF) 106 is connected to the susceptor 405 .
  • a temperature adjustment medium chamber 407 is formed inside the susceptor stage 404 .
  • a temperature adjustment medium which is guided into the temperature adjustment medium chamber 407 via a supply pipe 408 is made to circulate within the temperature adjustment medium chamber 407 and then is discharged through a discharge pipe 409 . With the temperature adjustment medium circulating in this manner, the temperature of the susceptor 405 is adjusted to a desired level.
  • An electrostatic chuck 411 assuming a shape substantially identical to that of the wafer W is disposed on the central portion of the susceptor 405 on the upper side, which is formed as a projecting disk.
  • the electrostatic chuck 411 is achieved by setting an electrode 412 between insulating members.
  • a DC voltage at, for instance, 1.5 kV is applied to the electrostatic chuck 411 from a DC electrode 413 connected to the electrode 412 .
  • the wafer W becomes electrostatically held onto the electrostatic chuck 411 .
  • a gas passage 414 through which a heat transfer medium (e.g., a back side gas such as an He gas) is supplied to the rear surface of the workpiece i.e., the wafer W, is formed.
  • a heat transfer medium e.g., a back side gas such as an He gas
  • the heat is transferred between the susceptor 405 and the wafer W via the heat transfer medium, thereby sustaining the temperature of the wafer W at a predetermined level.
  • An annular focus ring 415 is disposed at the edge of the susceptor 405 at its upper end so as to surround the wafer W placed on the electrostatic chuck 411 .
  • the focus ring 415 is constituted of an insulating material such as ceramic or quartz, or an electrically conductive material. The presence of the focus ring 415 improves the etching uniformity.
  • An evacuation pipe 431 is connected at the bottom of the chamber 402 , and an evacuation device 435 is connected to the evacuation pipe 431 .
  • the evacuation device 435 which includes a vacuum pump such as a turbo molecular pump, adjusts the pressure of the atmosphere inside the chamber 402 to a predetermined lower level (e.g., 0.67 Pa or lower).
  • a gate valve 432 is provided at the side wall of the chamber 402 . As the gate valve 432 opens, a transfer of the wafer W into/out of the chamber 402 is enabled. It is to be noted that the wafer W is transferred with, for instance, a transfer arm.
  • an upper electrode 420 is disposed above the susceptor 405 to run parallel to the susceptor 405 and to face opposite the susceptor 405 .
  • the upper electrode 420 can be driven along one direction, e.g., the vertical direction, by an upper electrode drive mechanism 500 .
  • the distance between the susceptor 405 and the upper electrode 420 can be adjusted.
  • the upper electrode drive mechanism 500 is to be described in detail later.
  • the upper electrode 420 is supported at the inner wall of the ceiling of the chamber 402 via a bellows 422 .
  • the bellows 422 is mounted at the inner wall at the ceiling of the chamber 402 with a fastening means such as a bolt via an annular upper flange 422 a and is also attached to the upper surface of the upper electrode 420 with a fastening means such as a bolt via an down flange 422 b.
  • the upper electrode 420 includes an electrode plate 424 constituting a surface facing opposite the susceptor 405 and having numerous outlet holes 423 and an electrode support member 425 that supports the electrode plate 424 .
  • the electrode plate 424 is constituted of, for instance, quartz, whereas the electrode support member 425 is constituted of an electrically conductive material such as aluminum with a surface thereof having undergone alumite processing.
  • a gas supply port 426 is provided at the electrode support member 425 of the upper electrode 420 .
  • a gas supply pipe 427 is connected to the gas supply port 426 .
  • a processing gas supply source 430 is connected to the gas supply pipe 427 via a valve 428 and a mass flow controller 429 .
  • An etching gas for instance, to be used to execute plasma etching is supplied from the processing gas supply source 430 .
  • FIG. 12 shows a single processing gas supply system comprising the gas supply pipe 427 , the valve 428 , the mass flow controller 429 , the processing gas supply source 430 and the like
  • the plasma processing apparatus 400 includes a plurality of processing gas supply systems in reality. Namely, CHF8, Ar and He, for instance, to constitute to the processing gas, the flow rates of which are controlled independently of one another, are individually supplied into the chamber 402 .
  • a first high-frequency source 440 is connected to the upper electrode 420 , with a first matcher 441 inserted at the power supply line.
  • a low pass filter (LPF) 442 is connected to the upper electrode 420 .
  • the first high-frequency source 440 is capable of outputting power at a frequency in the range of 50 to 150 MHz.
  • the frequency of the power output from the first high-frequency source 440 should be 50 to 80 MHz, and typically, it is adjusted to 60 MHz as shown in the figure or to a value close to 60 MHz.
  • a second high-frequency source 450 is connected to the susceptor 405 constituting the lower electrode, with a second matcher 451 inserted at the power supply line.
  • the second high-frequency source 450 is capable of outputting power at a frequency in the range of several hundred kHz to several tens of MHz. As the power at a frequency in this range is applied to the susceptor 405 , a desired ionization effect can be achieved without damaging the workpiece, i.e., the wafer W.
  • the frequency of the power output from the second high-frequency source 450 is adjusted to 2 MHz, as shown in the figure, or to 13.56 MHz.
  • the upper electrode drive mechanism 500 includes a substantially cylindrical sliding support member 504 that slidably supports the upper electrode 420 so as to allow the upper electrode 420 to slide relative to the chamber 402 .
  • the sliding support member 504 is mounted at an approximate center of the top surface of the upper electrode 420 with a bolt or the like.
  • the sliding support member 504 is disposed so that it is allowed to freely enter and withdraw from a hole 402 a formed at an approximate center of the upper wall of the chamber 402 . More specifically, the external circumferential surface of the sliding support member 504 is slidably supported at the edge of the hole 402 a at the chamber 402 via a slide mechanism 510 .
  • the slide mechanism 510 includes a guide member 516 retained at a vertical portion of a retaining member 514 having an L-shaped section and disposed, for instance, at the top of the chamber 402 and a rail portion 512 slidably supported by the guide member 516 and formed to extend along one direction (the vertical direction in the embodiment) at the external circumferential surface of the sliding support member 504 .
  • the retaining member 514 which securely retains the guide member 516 of the slide mechanism 510 includes a horizontal portion fixed to the top of the chamber 402 via an annular horizontal adjustment plate 518 .
  • the horizontal adjustment plate 518 is used to adjust the horizontal position of the upper electrode 420 .
  • the horizontal adjustment plate 518 may be secured onto the chamber 402 with a plurality of bolts or the like set over uniform intervals along the circumferential direction so as to adjust the extent of inclination of the horizontal adjustment plate 518 along the horizontal direction in correspondence to the extents to which the individual bolts protrude.
  • a pneumatic cylinder 520 used to drive the upper electrode 420 is mounted on the upper side of the chamber 402 via a barrel body 501 .
  • the lower end of the barrel body 501 is mounted by assuring air tightness with a bolt or the like so as to cover the hole 402 a at the chamber 402 and the upper end of the barrel body 501 is mounted by assuring air tightness at the lower end of the pneumatic cylinder 520 .
  • the pneumatic cylinder 520 includes a rod 502 that can be driven along one direction, and the lower end of the rod 502 is disposed continuous to an approximate center area on the upper side of the sliding support member 504 with a bolt or the like.
  • the upper electrode 420 is driven by the sliding support member 504 along the slide mechanism in one direction.
  • the rod is set in a state of communication with the atmosphere.
  • the power supply line from the matcher 441 or the like can be connected to the upper electrode 420 through the inner space of the rod 502 via the central hole at the sliding support member 504 .
  • a means for positional detection such as a linear encoder 505 that detects the position of the upper electrode 420 is provided to the side of the pneumatic cylinder 520 .
  • An upper end member 507 having an extension 507 a extending sideways from the rod 502 is provided at the upper end of the rod 502 of the pneumatic cylinder 520 , and a detection portion 505 a of the linear encoder 505 is in contact with the extension 507 a of the upper end member 507 . Since the upper end member 507 interlocks with the movement of the upper electrode 420 , the position of the upper electrode 420 can be detected with the linear encoder 505 .
  • the pneumatic cylinder 520 is constituted by enclosing a tubular cylinder main body 522 with an upper support plate 524 and a lower support plate 526 .
  • An annular partitioning member 508 that partitions the inner space of the pneumatic cylinder 520 into an upper space 532 and a lower space 534 is disposed on the external circumferential surface of the rod 502 .
  • compressed air is supplied into the upper space 532 of the pneumatic cylinder 520 from an upper port 536 at the upper support plate 524 .
  • Compressed air is also supplied into the lower space 534 of the pneumatic cylinder 520 from a lower port 538 at the lower support plate 526 .
  • the drive of the rod 502 along the one direction can be controlled.
  • the quantities of air supplied into the pneumatic cylinder 520 are controlled at a pneumatic circuit 610 provided near the pneumatic cylinder 520 .
  • FIG. 15 is a circuit diagram of the means for drive control 600 provided as part of the upper electrode drive mechanism 500 and FIG. 16 is a block diagram of the pneumatic circuit 610 .
  • the means for drive control 600 is constituted with the pneumatic circuit 610 and a means for control 700 that controls the pneumatic circuit 610 .
  • the means for control 700 includes a CPU (central processing unit) 720 constituting the main body of the means for control 700 , an interface 740 that exchanges various signals with the external apparatuses, an interlock circuit 760 used to execute a self diagnosis of the pneumatic circuit 610 and the like.
  • the interface 740 exchanges control signals with a control device (not shown) that controls the plasma processing apparatus 400 and also receives sensor signals from various sensors.
  • the signals input to the interface 740 include an upper electrode drive control signal containing target position information used to drive the upper electrode 420 to a specific target position and the like, a gate valve control signal used to control the gate valve and sensor signals from the various sensors.
  • the signals output from the interface 740 include an upper electrode position stable signal indicating whether or not the position of the upper electrode 420 has stabilized and whether or not the movement of the upper electrode 420 has been completed and a wafer transfer signal indicating whether or not the upper electrode 420 is set at a position out of the transfer path of the transfer arm transferring a wafer and thus the wafer can be safely transferred into the chamber 402 .
  • the sensor signals include a signal from an origin point sensor that detects whether or not the upper electrode 420 is positioned at the origin point.
  • the origin point as referred to in this context is the origin point of the means for upper electrode positional detection such as the linear encoder 505 .
  • the origin point sensor may be constituted with, for instance, a contact sensor or an optical sensor.
  • the origin point sensor may be disposed on the inner side of the upper wall constituting the barrel body 501 on the chamber 402 , and the position at which the origin point sensor detects the upper end of the sliding support member 504 , i.e., the uppermost position of the upper electrode 420 , may be set as the origin point.
  • Another sensor signal input to the interface 740 is a transfer verification position sensor signal inquiring whether or not the upper electrode 420 is set at a position that allows a wafer transfer.
  • the CPU 720 detects whether or not the upper electrode 420 is currently set at a position, i.e., a retracted position, at which the upper electrode 420 is out of the way of the transfer arm transferring the wafer based upon the detection signal provided by the linear encoder 505 and outputs a wafer transfer signal via the interface 740 .
  • the interlock circuit 760 to which a signal from a switch 620 that detects whether or not compressed air is output from a pneumatic source 605 in the pneumatic circuit 610 to drive the upper electrode 420 is input, outputs a drive enabled signal to the pneumatic circuit 610 if compressed air is output from the pneumatic source 605 , i.e., if the signal from the switch 620 indicates an ON state. If, on the other hand, no compressed air is output from the pneumatic source 605 , i.e., if the signal from the switch 620 indicates an OFF state, it stops the output of the drive enabled signal to the pneumatic circuit 610 .
  • the interlock circuit 760 stops the output of the drive enabled signal to the pneumatic circuit 610 if an external interlock signal is input even when the signal from the switch 620 indicates an ON state.
  • the interlock signal is input from the control device (not shown) to the means for control 700 when, for instance, an abnormality necessitating the drive of the upper electrode 420 to be stopped occurs in the plasma processing apparatus 400 .
  • the CPU 720 controls the pneumatic circuit 610 based upon the signals from the interface 740 . It controls the movement of the upper electrode 420 so as to position the upper electrode 420 at the target position through feedback control achieved by implementing PID control (control executed by combining a proportional operation, a differential operation and an integration operation) as indicated in the block diagram in FIG. 16, for instance.
  • PID control control executed by combining a proportional operation, a differential operation and an integration operation
  • Ref (s) is the target position for the upper electrode 420 and Y(s) is the current position.
  • G(s) is the transfer function
  • K P , K I , K D , K A and K V respectively indicate the proportional gain, the integral gain, the differential gain, the acceleration feedback gain and the velocity feedback gain.
  • the deviation is determined by subtracting the current position from the target position set for the upper electrode 420 , and PID control is implemented based upon an output (which can be adjusted in correspondence to the integral gain K I ) in proportion to the time integral of the deviation and used to correct the steady state deviation, an output (which can be adjusted in correspondence to the differential gain K D ) in proportion to the time-varying change in the deviation and used to minimize the change rate and an output (which can be adjusted in correspondence to the proportional gain K P ) in proportion to the deviation.
  • this PID control a function of predicting the movement which is in proportion to the current deviation (a proportional operation), a function of eliminating the offset by holding the integral of the previous deviation (an integration operation) and a function of predicting future movement (a differential operation) are incorporated.
  • the pneumatic circuit 610 is controlled in the embodiment through the acceleration feedback control, implemented based upon outputs from pressure sensors (not shown) disposed at the ports 536 and 538 of the pneumatic cylinder 520 in order to control the external disturbance, as shown in FIG. 16, and the velocity feedback control implemented based upon the output of the linear encoder 505 taken into the means for control 700 , as shown in FIG. 15.
  • the positional control of the upper electrode 420 may be achieved by setting the target position over a plurality of stages preceding the ultimate position to which the upper electrode 420 is to be moved and by driving the upper electrode 420 gradually.
  • abrupt drive or abrupt vibration attributable to material properties such as the viscosity and the density of the air used to drive the pneumatic cylinder can be minimized.
  • problems of attracting particles inside the chamber 402 and the like while driving the upper electrode with a pneumatic cylinder do not occur.
  • FIG. 17 is a circuit diagram of a structure that may be adopted in the pneumatic circuit 610 .
  • FIGS. 18 and 19 are functional diagrams illustrating the operation of the pneumatic circuit 610 .
  • the pneumatic circuit 610 is in a neutral state in FIG. 17, is engaged in the drive control of the upper electrode 420 in FIG. 18 and is in a state of emergency stop in FIG. 19.
  • the pneumatic circuit 610 includes a 5-port electromagnetic valve 630 constituting a switching valve capable of switching the flow path to a neutral state or a drive control state in response to a valve control signal provided by the CPU 720 .
  • a 5-port switching valve 640 is disposed in a pipeline extending from the 5-port electromagnetic valve 630 and communicating with the upper port 536 of the pneumatic cylinder 520
  • a 5-port switching valve 650 is disposed in the pipeline extending from the 5-port electromagnetic valve 630 and communicating with the lower port 538 of the pneumatic cylinder 520 .
  • These 5-port switching valves 640 and 650 each used as a drive stop valve when effecting an emergency stop of the pneumatic cylinder 520 , can be controlled with a 3-port electromagnetic valve 660 .
  • the pneumatic source 605 is connected to a p-port of the 5-port electromagnetic valve 630 , and an a-port of the 5-port electromagnetic valve 630 is connected to a p-port of the 5-port switching valve 640 .
  • a b-port of the 5-port electromagnetic valve 630 is connected to a p-port of the 5-port switching valve 650 .
  • a c-port and a d-port of the 5-port electromagnetic valve 630 are used as discharge ports.
  • the flow path can be switched to an N state, an L state or an R state.
  • a force applying member such as a spring is disposed on each side of the 5-port electromagnetic valve 630 , and a force is applied to the 5-port electromagnetic valve 630 to set it in the N state unless power is supplied in response to a valve control signal provided by the means for control 700 . Then, if positive power is supplied in response to the valve control signal, for instance, the 5-port electromagnetic valve 630 is set in the L state against the force applied by the force applying members, whereas if negative power is applied in response to the valve control signal, the 5-port electromagnetic valve 630 is set in the R state against the force applied by the force applying members.
  • each port at the 5-port electromagnetic valve 630 is in a cut-off state.
  • the 5-port electromagnetic valve 630 is in the L state, its p-port and a-port are connected with each other and its d-port and b-port are connected with each other, whereas when the 5-port electromagnetic valve 630 is in the R state, its p-port and b-port are connected with each other and its c-port and a-port are connected with each other.
  • the upper port 536 of the pneumatic cylinder 520 is connected to an a-port of the 5-port switching valve 640 whereas the lower port 538 is connected to an a-port of the 5-port switching valve 650 .
  • the flow path can be switched to either the N state or the L state.
  • a force applying members such as a spring is provided on one side thereof to apply a force to the 5-port switching valve to set it in the N state unless compressed air is supplied through the 3-port electromagnetic valve 660 .
  • the 5-port switching valves enter the L state against the force applied by the force applying members.
  • the p-port and a b-port are connected with each other and a c-port and the a-port are connected with each other in the N state, and the p-port and the a-port are connected with each other and the d-port and the b-port are connected with each other in the L state.
  • the pneumatic source 605 is connected to a p-port of the 3-port electromagnetic valve 660 , and a b-port and an a-port at the 3-port electromagnetic valve 660 are connected with each other. It is to be noted that the b-port at the 3-port electromagnetic valve 660 is used as a discharge port. As shown in FIG. 15, the flow path is switched to either the N state or the L state at the 3-port electromagnetic valve 660 based upon the drive enabled signal provided by the interlock circuit 760 . A force applying member such as a spring is provided on one side of the 3-port electromagnetic valve 660 and a force is applied to set the 3-port electromagnetic valve 660 in the N state unless power is supplied in response to the drive enabled signal provided by the means for control 700 .
  • a force applying member such as a spring is provided on one side of the 3-port electromagnetic valve 660 and a force is applied to set the 3-port electromagnetic valve 660 in the N state unless power is supplied in response to the drive enabled signal provided by the means for control 700 .
  • the drive enabled signal is output, it enters the L state against the force applied by the force applying member.
  • the 3-port electromagnetic valve 660 the p-port is cut off and the b-port and the a-port are connected with each other in the N state, whereas the p-port and the a-port are connected with each other and the b-port is cut off in the L state.
  • the drive enabled signal is output from the interlock circuit 760 , thereby setting the flow path at the 3-port electromagnetic valve 660 in the L state.
  • the flow path at the 5-port switching valves 640 and 650 each enter the L state. Consequently, drive of the upper electrode 420 is enabled with the compressed air supplied to the pneumatic cylinder 520 by switching the flow path at the 5-port electromagnetic valve 630 .
  • the flow path at the 5-port electromagnetic valve 630 is set in the L state, as shown in FIG. 18.
  • the compressed air from the pneumatic source 605 is guided in through the upper port 536 at the pneumatic cylinder 520 and is discharged through the lower port 538 , causing the sliding support member 504 to move downward and ultimately causing the upper electrode 420 to move downward.
  • the flow path at the 5-port electromagnetic valve 630 is set in the N state unlike in the operation shown in FIG. 18.
  • the stop signal from the interlock circuit 760 enters the OFF state, the flow path at the 3-port electromagnetic valve 660 is set in the L state under these circumstances as well.
  • the flow paths at both the 5-port switching valve 640 and the 5-port switching valve 650 are set in the L state.
  • the compressed air from the pneumatic source 605 is guided in through the lower port 538 at the pneumatic cylinder 520 and then discharged through the upper port 536 , causing the sliding support member 504 to move upward and ultimately causing the upper electrode 420 to move upward.
  • FIG. 19 shows the state of the pneumatic circuit 610 when an emergency stop is applied while driving the upper electrode.
  • the stop signal from the interlock circuit 760 is turned on, the flow path at the 3-port electromagnetic valve 660 enters the N state.
  • the flow paths at the 5-port switching valves 640 and 650 both enter the N state.
  • the compressed air from the pneumatic source 605 is guided through the lower port 538 at the pneumatic cylinder 520 , and the compressed air from the pneumatic source 605 is cut off from both the upper part 536 and the lower port 538 at the pneumatic cylinder 520 , thereby stopping the sliding support member 504 and stopping the upper electrode 420 .
  • FIGS. 20 and 21 present the results of tests conducted by implementing the specific control shown in FIG. 16 with the pneumatic circuit 610 achieved in the embodiment as described above with the target position set over a plurality of stages preceding the ultimate position to which the upper electrode 420 was to move.
  • FIG. 20 is a graph of the relationship between the position of the upper electrode 420 and the time observed by gradually driving the upper electrode 420 upward
  • FIG. 21 is a graph of the relationship between the position of the upper electrode 420 and the time, observed by gradually driving the upper electrode 420 downward.
  • FIGS. 20 and 21 indicate that stable and accurate follow-up control was achieved to drive the upper electrode 420 upward or downward to set the target position.
  • the sliding support member 504 is provided independently of the pneumatic cylinder 520 to slidably support the upper electrode 420 along one direction (e.g., the vertical direction), and thus, any load (external disturbance) that would be applied to the pneumatic cylinder 520 along a direction other than the one direction is eliminated to allow the pneumatic cylinder 520 to move only along the one direction. Consequently, the positional control for the upper electrode 420 can be implemented with a high degree of accuracy with the pneumatic cylinder 520 .
  • the rod 502 at the pneumatic cylinder 520 is disposed at an approximate center of the upper electrode 420 to prevent decentering of the load applied to the rod 502 at the pneumatic cylinder 520 and the occurrence of a moment and, as a result, the position of the electrode can be controlled with an even higher degree of accuracy.
  • the lower electrode may instead be slidably supported and be driven with the pneumatic cylinder 520 .
  • various additional mechanisms including a workpiece holding mechanism, a workpiece back side gas mechanism and an electrode temperature adjustment mechanism must be mounted, whereas the upper electrode does not need such additional mechanisms. For this reason, a higher degree of positional control accuracy can be achieved for the upper electrode 420 by driving the upper electrode 420 with the pneumatic cylinder and thus minimizing the load applied to the rod 502 at the pneumatic cylinder 520 .
  • the components such as the upper electrode 420 , the upper electrode drive mechanism 500 for the upper electrode 420 , the pneumatic circuit 610 and the means for control 700 may be provided as an integrated upper electrode unit, as shown in FIG. 14, to facilitate positional control to be implemented with a pneumatic cylinder on an upper electrode 420 in an existing plasma processing apparatus simply by installing the upper electrode unit.
  • the present invention may instead be adopted in a different type of processing apparatus such as a film forming apparatus or an ashing apparatus.
  • the workpiece processed in the embodiment described above is a semiconductor wafer
  • the present invention is not limited to this example, and the present invention may be adopted to process a workpiece such as a glass substrate for a flat display (FPD) in a liquid crystal display (LCD) device, i.e., an FPD substrate which may be an LCD substrate.
  • FPD flat display
  • LCD liquid crystal display

Abstract

In a plasma processing apparatus that executes plasma processing on a semiconductor wafer placed inside a processing chamber by generating plasma with a processing gas supplied through a gas supply hole at an upper electrode (shower head) disposed inside the processing chamber, an interchangeable insert member is inserted at a gas passing hole at a gas supply unit to prevent entry of charged particles in the plasma generated in the processing chamber into the gas supply unit. This structure makes it possible to fully prevent the entry of charged particles in the plasma generated inside the processing chamber into the gas supply unit.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • The present invention relates to a plasma processing apparatus, and more specifically, it relates to a plasma processing apparatus that does not allow charged particles of plasma generated in a processing chamber to enter a gas supply unit. [0002]
  • 2. Description of the Related Art [0003]
  • Plaza processing apparatuses in the known art include those that execute plasma processing such as etching on the work surface of a workpiece, e.g., a semiconductor wafer (hereafter simply referred to as a “wafer”) placed within a processing chamber by, for instance, supplying a processing gas from a gas supply unit into the processing chamber and generating plasma with the processing gas. [0004]
  • The gas supply unit in such a plasma processing apparatus is constituted as a shower head having numerous gas supply holes through which the processing gas is supplied into the processing chamber. The plasma processing apparatus may be, for instance, a plane parallel plasma processing apparatus having a lower electrode disposed within the processing chamber, on which the workpiece is placed. The gas supply unit is constituted of a shower head also functioning as an upper electrode which is disposed at the ceiling of the processing chamber so as to face opposite the lower electrode. [0005]
  • The gas supply unit includes an electrode plate that constitutes the lower surface thereof, in which numerous gas supply holes are formed and an electrode support body supporting the electrode plate. Inside the electrode support body, a buffer chamber is formed as a space located above the electrode plate and communicating with a gas supply pipe, and the buffer chamber also communicates with the gas supply holes at the electrode plate. The gas flowing in through the gas supply pipe is first supplied into the buffer chamber and is then guided from the buffer chamber into the processing chamber via the gas supply holes at the electrode plate. [0006]
  • However, charged particles such as electrons and ions in the plasma generated with the processing gases inside the processing chamber may enter the buffer chamber through the gas supply holes at the gas supply unit in the plasma processing apparatus. If charged particles in the plasma enter the gas supply unit (shower head), a glow discharge occurs in the buffer chamber at the gas supply unit, giving rise to problems such as reaction products becoming adhered to the inner surfaces of the gas supply unit and the inner surfaces of the gas supply unit becoming corroded. [0007]
  • These problems are addressed in, for instance, Japanese Patent Laid-open Publication No. 9-275093, which discloses a structure achieved by mounting a screw having a hole decentered from the central axis at each gas outlet hole of the gas supply means so that there is no clear passage from one opening end of the gas supply hole through the other opening end to prevent entry of electrons and ions in the plasma into the gas supply means. This technology was developed in order to minimize the entry of charged particles through the mean free path based upon the concept that the charged particles in the plasma are allowed to enter the gas supply means since the thickness of the electrode plate (the height of the gas supply holes) is approximately equal to the length of the mean free path of the charged particles in the plasma. [0008]
  • However, the charged particles in the plasma enter the gas supply means not only through the mean free path but also because of other factors. For instance, the potential (the ground potential) at the electrode support body constituting the upper wall of the buffer chamber in the gas supply unit may become lower than the potential (ground potential) at the electrode plate constituting the lower wall of the buffer chamber. In such an event, the charged particles in the plasma are allowed to readily enter the buffer chamber from the gas supply holes at the electrode plate toward the electrode support body. In addition, while the gas supply unit normally maintains a field free state inside, the equipotential line will become skewed at an end of a gas supply hole and shifts into the gas supply hole if the gas supply hole is clear, thereby allowing a concentration of energy of the electrons and the like and allowing the electrons and the like to readily enter the gas supply hole. [0009]
  • For this reason, charged particles in the plasma cannot be fully prevented from entering the gas supply means simply by mounting a screw having a hole decentered from the central axis at each gas outlet hole of the gas supply means as disclosed in Japanese Patent Laid-open Publication No. 9-275093. For instance, since high-frequency power causes charged particles such as electrons to vibrate along a direction perpendicular to the equipotential line, the oscillating direction of the charged particles becomes tilted if the equipotential line becomes skewed and shifts into the end portion of the gas supply hole. In such a case, the entry of the charged particles cannot be fully prevented simply by mounting a screw having a hole decentered from the central axis. [0010]
  • Furthermore, entry of the charged particles in the plasma is most likely to occur when various conditions such as a specific gas supply hole diameter, a specific gas type and a specific plasma density coincide. This leads to a concept that if the gas passage at the gas supply hole can be altered in correspondence to predetermined conditions, the entry of the charged particles in the plasma into the gas supply unit can be prevented more effectively. [0011]
  • Accordingly, an object of the present invention, which has been completed by addressing the problems discussed above, is to provide a plasma processing apparatus capable of fully preventing charged particles in the plaza generated inside the processing chamber from entering the gas supply unit. [0012]
  • SUMMARY OF THE INVENTION
  • In order to achieve the object described above, in an aspect of the present invention, a plasma processing apparatus that executes plasma processing on a workpiece placed inside a processing chamber by generating plasma with a processing gas supplied through gas supply holes of gas supply unit disposed inside the processing chamber, characterized in that an interchangeable insert member, which prevents charged particles in the plasma generated inside the processing chamber from entering the gas supply unit, is mounted at each gas supply hole at the gas supply unit, is provided. [0013]
  • The insert member may include a gas passage communicating between the entry side and the exit side of the gas supply hole, and the gas passage may include a passage which extends along a direction perpendicular to or at an angle to a central axis of the gas supply hole so as to regulate the flow along the central axis. [0014]
  • Alternately, the insert member may include a gas passage formed, for instance, as a spiral gas passage, which communicates between the entry side and the exit side of the gas supply hole while constantly regulating the flow in the gas supply hole along the central axis. Such a gas passage may be formed so that its section has a width (groove depth) along the direction perpendicular to the central axis of the gas supply hole larger than the thickness of the passage along the central axis of the gas supply hole. [0015]
  • In addition, an insert member constituted of a specific material may be used in conjunction with a specific gas type used for the plasma processing. Furthermore, the shape of the gas passage in the insert member may be determined in correspondence to the density of the plasma generated in the processing chamber. [0016]
  • Even if charged particles such as electrons in the plasma enter through the gas supply hole the flow of the charged particles inside the gas supply hole is regulated along the central axis and the charged particles are thus caused to collide into the inner wall or the like of the insert member and lose energy before they reach the upper end of the insert member in the plasma processing apparatus according to the present invention described above. In particular, even if the equipotential line becomes skewed at the end of the gas supply hole, the oscillating direction of the charged particles such as electrons becomes tilted and, as a result, the charged particles enter the gas supply hole, the movement of the charged particles along the central axis is regulated through the gas passage. Thus, the entry of the charged particles in the plasma into the gas supply unit can be prevented with a high degree of reliability. This, in turn, effectively prevents any occurrence of a glow discharge in the gas supply unit since no energy is transferred into the gas supply unit. [0017]
  • Moreover, since interchangeable insert members are used according to the present invention, optimal insert members can be mounted at the gas supply unit in correspondence to various conditions such as the specific gas type and the specific plasma density.[0018]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic sectional view of the structure adopted in an etching apparatus in an embodiment of the present invention; [0019]
  • FIG. 2 is a schematic sectional view of the structure adopted in the upper electrode (shower head) in the embodiment; [0020]
  • FIG. 3 is a schematic a sectional view of the upper electrode which does not include the insert members achieved in the embodiment; [0021]
  • FIG. 4 presents a structural example that may be adopted in the insert members in the embodiment, with FIG. 4A showing an external view of an insert member and FIG. 4B showing a sectional view of an insert member; [0022]
  • FIG. 5 presents another structural example that may be adopted in the insert members in the embodiment, with FIG. 5A showing an external view of an insert member and FIG. 5B showing a sectional view of an insert member; [0023]
  • FIG. 6 is a perspective of another structural example that may be adopted in the insert members in the embodiment; [0024]
  • FIG. 7 presents sectional views of the insert member in FIG. 6, with FIG. 7A showing a sectional view of the insert member in FIG. 6 taken along A-A and FIG. 7B showing a sectional view of the insert member in FIG. 6 taken along B-B; [0025]
  • FIG. 8 schematically illustrates the overall structure of another plasma processing apparatus in which the present invention may be adopted; [0026]
  • FIG. 9 schematically illustrates the structure of an essential portion of the plasma processing apparatus in FIG. 8; [0027]
  • FIG. 10 schematically illustrates the structure of an essential portion of the plasma processing apparatus in FIG. 8; [0028]
  • FIG. 11 schematically illustrates the structure of an essential portion of the plasma processing apparatus in FIG. 8; [0029]
  • FIG. 12 is a schematic sectional view of the structure adopted in another plasma processing apparatus in which the present invention may be adopted; [0030]
  • FIG. 13 is a sectional view of the plasma processing apparatus in the embodiment shown in FIG. 12 with its upper electrode set at a processing position; [0031]
  • FIG. 14 presents simplified views of the upper electrode unit achieved in the embodiment, with FIG. 14A showing the upper electrode unit with the upper electrode set at a retracted position and [0032]
  • FIG. 14B showing the upper electrode unit with the upper electrode set at the processing position; [0033]
  • FIG. 15 shows the structure adopted in the means for drive control at the upper electrode drive mechanism in the embodiment; [0034]
  • FIG. 16 is a block diagram of the upper electrode position control executed by the CPU shown in FIG. 15; [0035]
  • FIG. 17 shows the structure adopted in the pneumatic circuit in the embodiment; [0036]
  • FIG. 18 illustrates the functions of the pneumatic circuit in the embodiment; [0037]
  • FIG. 19 illustrates the functions of the pneumatic circuit in the embodiment; [0038]
  • FIG. 20 shows the results of position control achieved by driving the upper electrode in the embodiment upward; and [0039]
  • FIG. 21 shows the results of position control achieved by driving the upper electrode in the embodiment downward.[0040]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The following is a detailed explanation of the preferred embodiments of the present invention, given in reference to the attached drawings. It is to be noted that the same reference numerals are assigned to components having substantially identical functions and structural features in the specification and drawings to preclude the necessity for a repeated explanation thereof. [0041]
  • (Plasma Processing Apparatus Achieved in an Embodiment of the Present Invention) [0042]
  • The structure adopted in the plasma processing apparatus achieved in an embodiment of the present invention is now explained in reference to FIG. 1. FIG. 1 is a sectional view of the structure of a plasma processing apparatus achieved in the embodiment. A [0043] plasma processing apparatus 100, which is an RIE plasma etching apparatus, includes a cylindrical processing chamber (chamber) 110 constituted of a metal such as aluminum or stainless steel. The processing chamber 110 is grounded for protection.
  • Inside the [0044] processing chamber 110, a disk-shaped lower electrode (susceptor) 112, on which a workpiece such as a semiconductor wafer (hereafter simply referred to as a wafer) is placed, is disposed. The lower electrode 112 constituted of, for instance, aluminum is supported by a barrel-shaped supporting unit 116 extending upward perpendicular to the bottom of the processing chamber 110 via an insulating barrel-shaped holding unit 114. At the upper surface of the barrel-shaped holding unit 114, a focus ring 118 constituted of, for instance, quartz, which encircles the upper surface of the lower electrode 112, is disposed.
  • An evacuating [0045] passage 120 is formed between the side wall of the processing chamber 110 and the barrel-shaped supporting unit 116. An annular baffle plate 122 is mounted either at the entrance to or in the middle of the evacuating passage 120, and an evacuation port 124 is provided at the bottom of the evacuating passage 120. An evacuation device 128 is connected to the evacuation port 124 via an evacuation pipe 126. The evacuation device 128, which includes a vacuum pump (not shown), is capable of reducing the pressure in the processing space within the processing chamber 110 to a predetermined degree of vacuum. A gate valve 130, which opens/closes the delivery bay through which the wafer W is carried in/out is mounted at the side wall of the processing chamber 110.
  • A high-frequency source [0046] 132 for plasma generation and also for RIE is electrically connected to the lower electrode 112 via a matcher 134 and a power supply rod 136. High-frequency power with a predetermined frequency, e.g., 60 MHz, is applied to the lower electrode 112 from the high-frequency source 132. In addition, at the ceiling of the processing chamber 110, a shower head (hereafter referred an “upper electrode”) 138 to be detailed later, which is used to supply a processing gas and also functions as an upper electrode, is disposed at a position facing opposite the lower electrode 112. The potential at the upper electrode 138 is set to ground level. Thus, the high-frequency voltage from the high-frequency source 132 is capacitatively applied between the lower electrode 112 and the upper electrode 138.
  • An electrostatic chuck [0047] 140 that holds the wafer W by electrostatically attracting the wafer W is provided at the upper surface of the lower electrode 112. The electrostatic chuck 140 is constituted by enclosing an electrode 140 a formed from a conductive film between a pair of insulating films 140 b and 140 c. A DC source 142 is electrically connected with the electrode 140 a via a switch 143. As a DC voltage is supplied from the DC source 142, the wafer W is attracted and held onto the electrostatic chuck 140 with the resulting coulomb force.
  • A [0048] coolant chamber 144, which may extend along, for instance, the circumferential direction is provided inside the lower electrode 112. A coolant such as cooling water sustaining a predetermined temperature and supplied from a chiller unit 146 via pipings 148 and 150 circulates through the coolant chamber 144. The temperature of the wafer W on the lower electrode 112 can be controlled in correspondence to the temperature of the coolant. In addition, a heat transfer gas such as an He gas is supplied from a heat transfer gas supply unit 152 via a gas supply line 154 to the space between the upper surface of the electrostatic chuck 140 and the back surface of the wafer W.
  • As FIG. 2 also shows, the upper electrode (shower head) [0049] 138 includes an electrode plate 156 located on the lower side, at which numerous gas passing holes 156 a are formed, an electrode support body 158 which detachably supports the electrode plate 156 and an intermediate member 157 disposed on top of the electrode plate 156 and having gas communicating holes 157 a each communicating with one of the gas passing holes 156 a at the electrode plate 156. The gas supply holes at the gas supply unit according to the present invention are each constituted with a gas passing hole 156 a and the corresponding gas communicating hole 157 a described above. Inside the electrode support body 158, a buffer chamber 160 is formed and a gas supply piping 164 extending from a processing gas supply unit 162 is connected to a gas supply port 160 a at the buffer chamber 160.
  • The [0050] processing chamber 110 is enclosed by a dipole ring magnet 166. The dipole ring magnet 166 is constituted with a pair of annular or coaxial magnets disposed at an upper position and a lower position over a distance from each other in the embodiment. The magnets constituting the dipole ring magnet 166 are each achieved by housing a plurality of anisotropic segment pole magnets in a ring-shaped casing formed of a magnetic material so that they form uniform horizontal magnetic fields that are oriented in a single direction as a whole inside the processing chamber 110. As the processing gas is supplied into the processing chamber 110, a magnetron discharge is caused by an RF electric field along the vertical direction attributable to the high-frequency source 132 and the horizontal magnetic field attributable to the dipole ring magnet 166 in the space between the upper electrode 138 and the lower electrode 112 in the processing chamber 110 and, as a result, high-density plasma is generated near the surface of the lower electrode 112.
  • The plasma processing apparatus includes a [0051] control unit 168 that controls the individual units in the apparatus. The control unit 168 controls the operations of, for instance, the evacuation device 128, the high-frequency source 132, the switch 143 for the electrostatic chuck, the chiller unit 146, the heat transfer gas supply unit 152 and the processing gas supply unit 162. The control unit 168 may be connected to a host computer within the factory (not shown) to enable control from the host computer.
  • When executing, for instance, an etching process with the [0052] plasma processing apparatus 100 structured as described above, the gate valve 130 is first set in an open state to allow the wafer W, i.e., the workpiece, to be carried into the processing chamber 110 and placed on the lower electrode 112. At this time, a DC voltage from the DC source 142 is applied to the electrode 140 a of the electrostatic chuck 140 to electrostatically attract the wafer W onto the lower electrode 112. Then, a specific type of processing gas such as NH3 is supplied from the processing gas supply unit 162 into the processing chamber 110 at a predetermined flow rate and a predetermined flow rate ratio, and the pressure inside the processing chamber 110 is set to a predetermined value via the evacuation device 128. In addition, high-frequency power at a specific frequency is applied from the high-frequency source 132 to the lower electrode 112 at a predetermined power level. The processing gas supplied into the processing chamber 110 via the upper electrode 138 as described above is raised to plasma between the two electrodes 112 and 138 through a high-frequency discharge and the work surface of the wafer W is etched with radicals and ions occurring in the plasma.
  • By applying high-frequency power at a frequency higher than that in the related art, e.g., 50 MHz or higher, to the [0053] lower electrode 112, a higher density can be achieved for the plasma in a more desirable state of dissociation and high density plasma can be formed at a lower pressure.
  • Next, the upper electrode (shower head) [0054] 138 representing an example that may be adopted in the gas supply unit in the embodiment is explained in further detail in reference to drawings. FIG. 2 is a sectional view of the structure adopted in the upper electrode in the embodiment, whereas FIG. 3 presents another example of an upper electrode provided for comparison with the upper electrode in the embodiment.
  • As shown in FIG. 2, insert [0055] members 200 are inserted at gas passing holes 156 a constituting part of the gas supply holes, which are located at the electrode plate 156 in the upper electrode 138 in the embodiment. The insert members 200, which can be attached to and detached from the electrode plate 156 freely, can be replaced by insert members 200 with any of various structures featuring gas passages formed in different shapes and constituted of different materials, in correspondence to various conditions such as the gas type and the plasma density level. The insert members 200 are used to prevent charged particles such as electrons and ions in the plasma generated within the processing chamber 110 from entering the upper electrode through the gas passing holes 156 a. The insert members 200 each include a gas passage 212 through which the processing gas flows. The gas passage 212 is formed so that entry of charged particles in the plasma is disallowed while the processing gas is allowed to flow through it. It is to be noted that the structure of the insert members 200 is to be described in detail later.
  • If the [0056] insert members 200 are not inserted at the gas passing holes 156 a of the upper electrode 138 as shown in FIG. 3, charged particles in the plasma may enter the upper electrode 138 through the gas passing holes 156 a at the electrode plate 156. Electrons, which move particularly fast among charged particles, can enter the gas supply unit with ease. If charged particles in the plasma enter the upper electrode 138, a glow discharge occurs in the buffer chamber 160 inside the upper electrode 138, which results in reaction products becoming adhered to the inner surfaces at the upper electrode 138 and corrosion inside the upper electrode.
  • In addition, while the charged particles in the plasma are allowed to enter the [0057] upper electrode 138 when the length of the mean free path of the charged particles in the plasma is substantially equal to or greater than the thickness of the electrode plate 156 (the height of the gas supply holes), entry of charged particles may be attributed to the following causes as well. For instance, the potential (the ground potential) at the electrode support body 158 constituting the upper wall of the buffer chamber 160 in the upper electrode 138 may become lower than the potential (the ground potential) at the electrode plate 156, which is in electrical contact with the intermediate member 157 constituting a lower wall of the buffer chamber 160. In such an event, charged particles in the plasma are allowed to enter the buffer chamber 160 with greater ease from the gas passing holes 156 a at the electrode plate 156 and flow toward the electrode support body 158.
  • In addition, while the [0058] upper electrode 138 normally maintains a field free state inside, the equipotential line will become skewed at the ends of the gas supply holes (each constituted with a gas passing hole 156 a and the corresponding gas communicating hole 157 a) and shifts into any clear gas supply hole, thereby allowing a concentration of the energy of the electrons and the like in the gas supply hole. Namely, when charged particles such as electrons are caused to oscillate by high-frequency power, they oscillate along a direction perpendicular to the equipotential line and thus, if the equipotential line becomes skewed and shifts into the end of a gas supply hole, the oscillating direction of the charged particles, too, becomes tilted, which causes the energy of the charged particles such as electrons to readily concentrate at the end portion of the gas supply hole. As a result, the charged particles such as electrons are allowed to enter the gas supply holes with ease. Under these circumstances, the charged particles are more likely to enter the buffer chamber 160 while holding a high level of energy.
  • Such entry of charged particles from the plasma can be prevented by forming a passage extending along a direction perpendicular to or at an angle to the central axis of each gas supply hole so as to regulate the flow along the central axis. The entry of the charged particles in the plasma can be prevented more effectively as the length of the passage extending along the direction perpendicular to or at an angle to the central axis increases, since the charged particles in the plasma along the vertical direction will more readily collide with the wall or the like defining the gas passage as the length of the passage extending along the direction perpendicular to or at an angle to the central axis increases and thus, the energy level of the charged particles in the plasma can be kept low. The presence of such a passage at each gas supply hole prevents the charged particles in the plasma from advancing to the [0059] buffer chamber 160 at the upper electrode 138.
  • Furthermore, the charged particles in the plasma are likely to enter the [0060] upper electrode 138 most readily when various conditions such as a specific gas supply hole diameter, a specific gas type and a specifics plasma density level coincide. This leads to a concept that if the gas passage at the gas supply hole can be altered in correspondence to predetermined conditions, the entry of the charged particles from the plasma into the upper electrode 138 can be prevented more effectively.
  • Based upon this concept, an [0061] insert member 200 is inserted at each of the gas supply holes at the upper electrode 138 and the part of the gas passage formed at the insert member, which extends vertically or at an angle is made to range over a sufficient length, according to the present invention. In addition, insert members 200 can be replaced with a different type of insert members in conformance to various conditions such as the gas type and the plasma density so as to alter the passage through the gas supply hole to adjust to specific conditions.
  • Next, structural examples that may be adopted in the [0062] insert members 200 inserted at the gas passing holes 156 a constituting part of the gas supply holes at the upper electrode 138 as described above are explained in reference to drawings. FIG. 4 presents a structural example that may be adopted in the insert members mounted at the gas supply holes of the upper electrode. FIG. 4A presents an external view of an insert member, whereas FIG. 4B presents a sectional view of the insert member mounted at a gas passing hole 156 a.
  • As shown in FIGS. 2 and 4B, the [0063] gas passing holes 156 a formed at the electrode plate 156 of the upper electrode 138 are each constituted with a hole 156 b formed on the side toward the intermediate member 157 and a hole 156 c which communicates with the hole 156 b and has a diameter smaller than that of the hole 156 b. The insert members 200 are inserted at the hole 156 b which constitute part of the gas passing holes 156 a and are formed on the side toward the intermediate member 157.
  • The insert members according to the present invention each include a gas passage formed to extend along a direction perpendicular to or at an angle to the central axis of the gas supply hole so as to regulate the flow along the central axis. For instance, a [0064] gas passage 202 at the insert member 200 in FIG. 4 is formed in a spiral shape so as to communicate between the upper end and the lower end of the insert member 200 while constantly regulating the flow along the central axis at the gas passing hole 156 a. In more specific terms, such a gas passage may be achieved by forming a spiral groove at the external circumferential surface of the insert member 200, as shown in FIG. 4A, for instance. The gas passage 202 is formed by this spiral groove and the inner wall of the gas passing hole 156 a as the insert member 200 is inserted at the gas passing hole 156 a. It is to be noted that although not shown, the gas passage may instead be formed in a zigzag pattern at the insert member.
  • In addition, as shown in FIG. 4B, the [0065] gas passage 202 may be formed so that its section has a width (groove depth) along a direction extending perpendicular to the central axis of the gas passing hole, which is larger than the thickness of the gas passing hole 156 a along the central axis. As the number of turns of the spiral gas passage 202 increases, the entry of charged particles can be prevented with greater effectiveness. However, as the number of turns of the spiral gas passage 202 increases, the gas passage becomes narrower, resulting in a lowered flow rate of the processing gas. Accordingly, the number of turns that the spiral gas passage 202 makes should be determined so as to strike an optimal balance between the desired level of charged particle entry prevention and the desired processing gas flow rate. For instance, it is desirable to form the spiral gas passage so that it makes 1.5 turns or more at the external side surface of the insert member 200.
  • When [0066] such insert members 200 are inserted at the individual gas passing holes 156 a, the gas passages 202 of the insert members 200 regulate the flow along the central axes of the individual gas passing holes 156 a at all times and thus, any charged particles in the plasma that may enter through the gas passing holes 156 a are bound to collide into the inner walls or the like of the insert members 200 and lose their energy before they reach the upper ends of the insert members 200.
  • Furthermore, even if the equipotential line becomes skewed at the end of a [0067] gas passing hole 156 a and the direction along which charged particles such as electrons oscillate becomes tilted as a result to allow the charged particles to enter through the gas passing hole 156 a, the flow along the central axis of the gas passing hole 156 a is regulated by the gas passage 202 at all times. Thus, the charged particles collide into the inner wall or the like of the insert member 200 and their energy becomes dissipated before they reach the upper end of the insert member 200.
  • Consequently, the charged particles in the plasma are prevented from entering the [0068] buffer chamber 160 inside the upper electrode 138 with a high degree of effectiveness. With no energy transferred into the buffer chamber 160, it is ensured that a glow discharge does not occur within the buffer chamber 160.
  • In addition, since the [0069] gas passage 202 of the insert member 200 is formed so that its thickness along the central axis of the gas passing hole 156 a is smaller than its width (groove depth) along the direction perpendicular to the central axis, as shown in FIG. 4B. The space inside the gas passing hole 156 a along the axial direction can be narrowed to cause charged particles such as electrons to readily collide into the wall and the like of the insert member 200 and to lose energy quickly. Furthermore, as the flow rate of the processing gas can be increased, the occurrence of a glow discharge inside the upper electrode 138 can be prevented without having to greatly alter the gas outlet characteristics of the upper electrode (shower head) 138.
  • It is to be noted that the insert members according to the present invention may each be detachably mounted through the entire length of the [0070] gas passing hole 156 a at the electrode plate 156, as in the case of an insert member 210 shown in FIG. 5. FIG. 5A presents an external view of the insert member 210, whereas FIG. 5B is a sectional view of the insert member 210 mounted at the gas passing hole 156 a. A gas passage 212 of this insert member 210 may be formed over the entire insert member 210, as shown in FIG. 5A, for instance.
  • In another specific example of the insert members according to the present invention, the gas passage formed to regulate the flow along the central axis of the gas supply hole and extends along the direction perpendicular to or at an angle to the central axis may be present along both the diameter and the circumference of the insert member. More specifically, it may be provided as an insert member [0071] 230 shown in FIGS. 6 and 7. FIG. 6 is a perspective showing the structure adopted in the insert member 220, whereas FIG. 7A and FIG. 7B are both sectional views taken along A-A and B-B in FIG. 6 respectively.
  • The [0072] insert member 220 is detachably inserted at the hole 156 b in the gas passing hole 156 a at the electrode plate 156, as is the insert member 200 shown in FIG. 4. As shown in FIGS. 6 and 7, the insert member 220 has an overall shape of a substantially circular column with a circumferential groove 224 formed at a substantially middle portion of the outer side surface.
  • At a lower position relative to the [0073] circumferential groove 224 of the insert member 220, an axial hole 226 is formed along the axis of the gas passing hole 156 a and a diameter-direction hole 228 is formed along the diameter of the gas passing hole 156 a to communicate with the upper end of the axial hole 226, as shown in FIG. 7A. The diameter-direction hole 228 is in communication with the circumferential groove 224. The diameter-direction hole 228 and the circumferential groove 224 together form a passage extending perpendicular to or at an angle to the central axis of the gas supply hole.
  • As shown in FIG. 7B, at a position upward relative to the [0074] circumferential groove 224 of the insert member 220, axial grooves 229 are formed perpendicular to the direction along which the diameter-direction hole 228 is formed so as to cut through to the upper end of the insert member 220. The lower ends of the axial grooves 229 are in communication with the circumferential groove 224.
  • As the [0075] insert member 220 is inserted at the gas passing hole 156 a, a passage is formed by the individual grooves and the inner wall of the gas passing hole 156 a. A gas passage 222 of the insert member 220 adopting the structure described above extends upward from its lower end along the axial direction through the axial hole 226, passes along the diameter through the diameter-direction hole 228 from the upper end of the axial hole 226, makes a 90° turn along the circumferential groove 224 and then extends upward through the axial grooves 229 to the upper end of the gas passage 222.
  • By inserting this [0076] insert member 220 at each gas passing hole 156 a, it can be ensured that even if charged particles in the plasma enter the gas passing hole 156 a, they cannot reach the axial grooves 229 without first advancing along the diameter through the diameter-direction hole 228 and then making a 90° turn at the circumferential groove 224. Since the flow in the gas passing hole 156 a along its central axis is regulated with the passage extending both along the diameter and along the circumference in this manner, the charged particles are bound to collide into the inner wall or the like of the insert member 220 and lose energy before they reach the upper end of the insert member 220.
  • In addition, even if the equipotential line becomes skewed at the end of a [0077] gas passing hole 156 a causing a tilt in the direction along which charged particles such as electrons oscillate and the charged particles are allowed to enter the gas passing hole 156 a, the flow in the gas passing hole 156 a along the central axis is regulated by the gas passage 222 at all times and thus, charged particles are bound to collide into the inner wall or the like of the insert member 220 to lose energy before they reach the upper end of the insert member 220.
  • [0078] Such insert members 220, too, make it possible to effectively prevent entry of charged particles in the plasma into the buffer chamber 160 at the upper electrode 138. As a result, no energy is transferred into the buffer chamber 160 and the occurrence of a glow discharge inside the buffer chamber 160 can be prevented with a high degree of reliability.
  • It is to be noted that the dimensions of the section of the [0079] gas passage 222 at the insert member 220, too, should be determined so as to strike an optimal balance between the desired level of charged particle entry prevention and the desired processing gas flow rate. More specifically, if the diameter of the gas passing hole 156 a is approximately 4 mm to 5 mm, it is desirable to set the height of the gas passing hole 156 a along the axial direction over the diameter-direction hole 228 and the circumferential groove 224 in the gas passage 222 to 0.5 mm to 1.5 mm.
  • Next, materials that may be used to constitute the insert members according to the present invention are explained. The [0080] insert members 200, 210 and 220 described above may be constituted of a fluororesin such as Teflon (registered trademark), a tetrafluoroethylene resin (PTFE), a chlorine trifluoroethylene resin (PCTFE), a tetrafluoroethylene parfluoroalkylvynylether copolymer resin (PFA), a tetrafluoroethylene-hexafluoride propylene copolymer resin (PFE) or a fluorovinyllidene resin (PVDF) instead of quartz. These materials are desirable since they have low dielectric constants, achieve a high level of voltage withstanding performance against AC voltages and can be processed with ease, which makes it possible to minimize production costs. Alternatively, the insert members may be constituted of a porous ceramic instead of a resin. Furthermore, the insert members 200 achieved in the embodiment, which are used in the field free upper electrode 138, may instead be constituted of metal, e.g., aluminum, instead of resin.
  • The insert members mounted at the gas supply holes in the [0081] upper electrode 138 in the embodiment are interchangeable. Accordingly, the optimal type of insert members should be selected in correspondence to various conditions including the gas type and the plasma density to be inserted at the gas supply holes in the upper electrode 138. By using the optimal insert members, it is possible to fully prevent charged particles in the plasma generated in the processing chamber 110 from entering the upper electrode 138, which constitutes the gas supply unit.
  • More specifically, insert members constituted of different materials may be mounted in correspondence to different types of processing gases. For instance, insert members constituted of polyimide may be used in conjunction with a CF gas, and insert members constituted of PTFE with a high level of corruption resistance may be used in conjunction with a corrosive gas such as a NH3 gas, a HBR gas or a C12 gas. [0082]
  • In addition, insert members formed in different shapes may be mounted in correspondence to different density levels of the plasma generated inside the [0083] processing chamber 110. For instance, as the plasma density rises, it becomes necessary to more rigorously ensure that charged particles in the plasma cannot enter the upper electrode readily and, accordingly, the insert members 200 or 210 having the spiral gas passages 202 or 212 as shown in FIG. 4 or FIG. 5 should be used, whereas if the plasma density is low, the insert members 220 having the gas passages 222 structured as shown in FIGS. 6 and 7 formed therein are good enough.
  • As explained in detail above, the present invention provides a plasma processing apparatus with which it is impossible to fully prevent charged particles in plasma generated inside the processing chamber from entering the gas supply unit. [0084]
  • While the invention has been particularly shown and described with respect to a preferred embodiment thereof by referring to the attached drawings, the present invention is not limited to this example and it will be understood by those skilled in the art that various changes in form and detail may be made therein without departing from the spirit, scope and teaching of the invention. [0085]
  • For instance, while high-frequency power is applied to the [0086] lower electrode 112 alone and the upper electrode 138 is grounded in the explanation given above on the plasma processing apparatus 100 achieved in the embodiment, the present invention may also be adopted in a plasma processing apparatus in which high-frequency power is also applied to the upper electrode 138 as well as to the lower electrode 112. In such a case, too, a glow discharge inside the upper electrode 138 can be prevented as effectively as in the embodiment.
  • In addition, the present invention may be adopted in a plasma processing apparatus other than a plane parallel plasma etching apparatus, such as a helicon wave plasma etching apparatus or an inductively coupled plasma etching apparatus. In more specific terms, the present invention may be adopted in plasma processing apparatuses such as those explained in reference to FIGS. [0087] 8 to 11 and FIGS. 12 through 21.
  • (Another Example of Plasma Processing Apparatus in Which the Present Invention May Be Adopted) [0088]
  • Next, another example of a plasma processing apparatus in which the present invention may be adopted is explained in reference to drawings. The plasma processing apparatus in this example is employed to execute specific types of plasma processing such as etching and film formation processing on work substrates, e.g., semiconductor wafers or glass substrates for liquid crystal display devices, by using plasma. [0089]
  • It is an established practice in the area of semiconductor device production to process a work substrate such as a semiconductor wafer or a glass substrate for a liquid crystal display device in a desired manner by employing a plasma processing apparatus that executes, for instance, etching processing or film formation processing on the work substrate with plasma generated inside a vacuum chamber and applied onto the work substrate. [0090]
  • In the plasma processing apparatus which may be a so-called plane parallel plasma processing apparatus, a stage on which the semiconductor wafer or the like is placed is provided inside the vacuum chamber, a shower head is provided at the ceiling of the vacuum chamber so as to face opposite the stage and a pair of plain parallel electrodes are constituted with the stage and the shower head. [0091]
  • A specific type of processing gas is supplied from the shower head into the vacuum chamber and at the same time, the vacuum chamber is evacuated through its bottom so as to fill the vacuum chamber with a processing gas atmosphere achieving a predetermined degree of vacuum. In this state, high-frequency power with a predetermined frequency is supplied between the stage and the shower head, thereby generating plasma with the processing gas, and as the plasma is applied to the semiconductor wafer, the semiconductor wafer is processed, e.g., etched. [0092]
  • The plasma processing apparatus in the related art as described above include those having an evacuation ring formed as an annular plate surrounding the stage with numerous permeating hole or slit-shaped evacuating passages formed therein to achieve an even flow of the processing gas around the semiconductor wafer by uniformly evacuating the atmosphere around the stage and to prevent a plasma leak from the processing space (see, for instance, Japanese Utility Model Publication No. 5-8937 (FIGS. 1 through 3)). [0093]
  • While the evacuation ring has a function of preventing a plasma leak from the processing space within the vacuum chamber, as described above, it is necessary to ensure that electrons are not allowed to pass through the evacuating passages readily by reducing the opening area of the evacuating passages or increasing the length of the evacuating passages in the evacuation ring in order to further improve the plasma leak preventing effect. It is to be noted that if a plasma leak occurs, the plasma becomes unstable and it becomes difficult to execute a specific type of plasma processing on the semiconductor wafer or the like. For this reason, the likelihood of a plasma leak needs to be minimized. [0094]
  • However, if the function of the evacuation ring for preventing plasma leak is improved as described above, it becomes more difficult to achieve a sufficient level of conductance of the gas. This gives rise to a problem in that with the evacuation performance becoming poor, the processes that can be executed become limited. If, on the other hand, priority is given to high evacuation performance in order to avoid the problem discussed above, it becomes necessary to use a large high-performance vacuum pump to result in an increase in the apparatus production costs. [0095]
  • As described above, if the function of the evaluation ring for preventing a plasma leak is improved, the conductance of the gas becomes poor, and it is difficult to satisfy both the requirements for the plasma leak preventing function and the sufficient level of conductance of the gas in the plasma processing apparatus in the related art. This leads to problems in that a desired type of plasma processing cannot be executed due to the occurrence of a plasma leak and in that the processes which can be executed become limited due to poor conductance of the gas and the like. [0096]
  • Accordingly, an object of the present invention, which has been completed by addressing the problems discussed above, is to provide a plasma processing apparatus having a high level of gas conductance capacity to enable a wide range of processes without increasing the production costs and also having an effective plasma leak preventing function to allow plasma processing to be executed in a desirable manner with stable plasma. [0097]
  • In order to achieve the object described above, in an aspect of the present invention, a plasma processing apparatus comprising a vacuum chamber in which a work substrate is placed, a stage disposed within the vacuum chamber on which the work substrate is placed, a plasma generating mechanism that generates plasma within the vacuum chamber to be used to execute a specific type of processing on the work substrate, an evacuation ring disposed so as to surround the stage and having an evacuating passage formed therein and an evacuation mechanism that evacuates the vacuum chamber via the evacuating passage, characterized in that the evacuation ring includes a side wall portion formed substantially perpendicular to the surface of the stage on which the work substrate is placed and a bottom portion ranging inward from the lower end of the side wall portion and in that the evacuating passage is formed at least at the side wall portion, is provided. [0098]
  • The side wall portion of the evacuation ring is constituted with an inner cylindrical member and an outer cylindrical member disposed coaxially to each other over a predetermined distance from each other, which should be positioned so as to offset an opening at the inner cylindrical member and an opening at the outer cylindrical member from each other. [0099]
  • In this structure, the opening at the inner cylindrical member and the opening at the outer cylindrical member may be formed in a longitudinally elongated rectangular shape, and the inner cylindrical member and the outer cylindrical member may each have a plurality of such openings set along the circumferential direction over predetermined intervals. [0100]
  • In addition, the evacuating passage may be formed with the opening formed at the inner cylindrical member, a clearance formed between the inner cylindrical member and the outer cylindrical member and the opening formed at the outer cylindrical member. [0101]
  • Also, the evacuating passage may be formed at the bottom portion of the evacuation ring in the plasma processing apparatus. [0102]
  • In another aspect of the present invention, the object described above is achieved by providing a plasma processing apparatus comprising a vacuum chamber in which a work substrate is placed, a stage disposed within the vacuum chamber on which the work substrate is placed, a plasma generating mechanism that generates plasma inside the vacuum chamber to be used to execute a specific type of processing on the work substrate, an evacuation ring disposed so as to surround the stage and having an evacuating passage formed therein and an evacuation mechanism that evacuates the vacuum chamber from the bottom of the evacuation ring via the evacuating passage, characterized in that the evacuation ring includes a first member having a first opening and a second member disposed over a distance with a clearance formed between the first member and the second member and having a second opening formed at a position offset from the first opening, in that the evacuating passage is formed to extend from the first opening into the clearance and pass through the clearance to be led out from the second opening and in that the plasma is trapped inside the clearance. [0103]
  • The present invention achieved in an embodiment is now explained in detail in reference to drawings. FIG. 8 is a schematic illustration of the structure adopted in the embodiment achieved by adopting the present invention in a plane parallel plasma etching apparatus used to etch semiconductor wafers. In FIG. 8, [0104] reference numeral 301 indicates a cylindrical vacuum chamber constituted of, for instance, aluminum and having an internal space that can be closed off in an airtight state.
  • A [0105] stage 302 on which a semiconductor wafer W is placed is provided inside the vacuum chamber 301, and the stage 302 also functions as a lower electrode. At the ceiling inside the vacuum chamber 301, a shower head 303 constituting an upper electrode is disposed and a pair of plain parallel electrodes are constituted by the stage 302 and the shower head 303.
  • A [0106] free space 304 in which the gas is diffused is formed at the shower head 303 and numerous narrow holes 305 are formed on the lower side relative to the free space 304 for gas diffusion. A specific type of processing gas supplied from a processing gas supply system 306 is diffused inside the free space 304 for gas diffusion, and the diffused processing gas is then supplied in a shower directed toward the semiconductor wafer W through the narrow holes 305. While the potential at the shower head 303 is set to the ground level in the embodiment, a high-frequency source may be connected to the shower head 303 to apply high-frequency power both to the stage 302 and to the shower head 303, instead.
  • Two high-[0107] frequency sources 309 and 310 are connected to the stage 302 via two matchers 307 and 308 respectively and, as a result, high-frequency power can be supplied to the stage 302 by superimposing the high frequency power with one of the two different specific frequencies (e.g., 100 MHz and 3.2 MHz) on the high frequency power with the other frequency. It is to be noted that a single high-frequency source may be used to supply high-frequency power to the stage 302 so that high-frequency power with a single frequency is supplied to the stage 302, instead.
  • In addition, an [0108] electrostatic chuck 311 which electrostatically holds the semiconductor wafer W is provided at the surface of the stage 302 on which the semiconductor wafer W is placed. The electrostatic chuck 311 adopts a structure achieved by disposing an electrostatic chuck electrode 311 b inside an insulating layer 311 a, with a DC source 312 connected to the electrostatic chuck electrode 311 b. A focus ring 313 is provided at the upper surface of the stage 302 so as to surround the semiconductor wafer W.
  • An [0109] evacuation port 314 is provided at the bottom of the vacuum chamber 301, and an evacuation system 315 constituted of a vacuum pump and the like is connected to the evacuation port 314.
  • An [0110] evacuation ring 316 formed in an annular shape is provided around the stage 302. As shown in FIG. 9, the evacuation ring 316 includes a side wall portion 317 formed to range downward almost perpendicularly and a bottom portion 318 ranging inward perpendicular to the bottom end of the side wall portion 317.
  • As shown in FIG. 10, the [0111] side wall portion 317 is constituted with an inner cylindrical member 319 and an outer cylindrical member 320 disposed, coaxially to each other over a predetermined distance from each other. The inner cylindrical member 319 includes a plurality of openings 319 a formed in a vertically elongated rectangular shape and set over specific intervals along the circumferential direction to constitute evacuating passages. In addition, as shown in FIGS. 10 and 14, the outer cylindrical member 320, too, includes a plurality of openings 320 a formed in a vertically elongated rectangular shape to constitute the evacuating passages. The openings 319 a and the openings 320 a are disposed so that they are offset from each other by a predetermined extent (by the distance C in FIG. 11) along the circumferential direction.
  • The evacuating passages are thus each formed so that the gas passes through the [0112] openings 319 a at the inner cylindrical member 319, then passes through a clearance 321 formed between the inner cylindrical member 319 and the outer cylindrical member 320 and subsequently is discharged through the openings 320 a at the outer cylindrical member 320, as the arrows in FIG. 11 indicate.
  • The dimensions A to D in FIG. 11, i.e., the width A of the [0113] clearance 321, the width B of the openings 319 a, the width C over which the openings 319 a are offset relative to the corresponding openings 320 a and the thickness D of the inner cylindrical member 319 satisfy the following conditions:
  • C/A>1 [0114]
  • B>2A [0115]
  • B/D>1 [0116]
  • Namely, the [0117] evacuation ring 316 achieves a structure that traps plasma in the clearance 321, and in order to assure this, the width A of the clearance 321 is set relatively small, whereas the offset width C of the openings 319 a and the openings 320 a is set large enough to trap the plasma.
  • In addition, the width B of the [0118] openings 319 a, which are not used to trap the plasma, is set to a large value to ensure a high enough conductance level with a large opening area, and for the same reason, the thickness D of the inner cylindrical member 319 is set to a small value. The thickness of the outer cylindrical member 320 and the width of the openings 320 a, too, are set to similar values based upon the same principle.
  • It is to be noted that FIG. 11 schematically illustrates the structure of the [0119] evacuation ring 316 and it does not indicate the actual dimensions accurately. In the actual application, the width B of the openings 319 a is set greater than 2 mm, e.g., approximately several millimeters if, for instance, the width A of the clearance 321 is set to 1 mm. The offset width C of the openings 319 a and the openings 320 a and the thickness D of the inner cylindrical member 319 are also set to values conforming to the conditions presented earlier and taking machinability into consideration.
  • The length of the [0120] side wall portion 317 along the vertical direction, too, is set to a value that will allow the openings 319 a and the openings 320 a to range over large enough areas and assures a satisfactory level of conductance.
  • By forming evacuating passages at the [0121] side wall portion 317 of the evacuation ring 316 and setting the length of the side wall portion 317 along the vertical direction to a relatively large value, as described above, the openings are allowed to range over large enough areas and thus a satisfactory level of conductance is assured. In addition, since the diameter of the evacuation ring 316 does not need to be increased even though the openings range over great areas, the diameter of the vacuum chamber 301 itself does not need to increase, and thus, the footprint of the apparatus remains unchanged.
  • Furthermore, by forming the evacuating passages at the [0122] side wall portion 317 with the openings 319 a, the clearance 321 and the openings 320 a as described above, the openings are allowed to range over large areas to assure a satisfactory level of conductance while assuring the required plasma leak preventing function, as well.
  • In other words, while electrons in the plasma are allowed to pass through the [0123] openings 319 a ranging over large areas in the gas flow indicated by the arrows in FIG. 11, the outer cylindrical member 320 is present ahead as the electrons advance and thus, the likelihood of the electrons further passing through the clearance 321 and being led out to the outside through the openings 320 a is greatly lowered. Namely, since the plasma is highly unlikely to leak to the outside of the openings 320 a, a satisfactory level of plasma leak preventing function can be assured even when the openings range over large areas to achieve a high level of conductance.
  • Moreover, [0124] numerous openings 318 a each constituted as a circular hole are formed at the bottom portion 318 of the evacuation ring 316 as well, and these openings 318 a, too, form evacuating passages in the embodiment. By forming evacuating passages at the bottom portion 318 in this manner, the conductance can be further improved.
  • Instead of forming the evacuating passages at the [0125] bottom portion 318 of the evacuation ring 316 with openings such as circular holes as described above, the evacuating passage at the bottom portion 318, may adopt a structure identical to that of the evacuating passages at the side wall portion 317. However, since the bottom portion 318 is located at a considerable distance from the area in which plasma is formed, the evacuating passage at the bottom portion 318 can be formed with simple circular holes or the like without having to consider the plasma leak preventing function as a crucial factor. In addition, if a sufficiently high level of conductance can be assured with the evacuating passages formed at the side wall portion 317 alone, no evacuating passages need to be formed at the bottom portion 318.
  • The [0126] evacuation ring 316 described above may be formed by using any material as long as it is electrically conductive and may be constituted of, for instance, stainless steel or aluminum with an alumite film or a sprayed coating deposited on the surface thereof. The evacuation ring 316 constituted of a conductive material is electrically connected to the ground potential.
  • As the [0127] vacuum chamber 301 is evacuated through the evacuation port 314 via the evacuation ring 316 adopting the structure described above by utilizing the evacuation system 315, the atmosphere inside the vacuum chamber 301 achieves a predetermined degree of vacuum.
  • Furthermore, a magnetic [0128] field forming mechanism 322 is provided around the vacuum chamber 301 so as to form a desired magnetic field in the processing space inside the vacuum chamber 301. The magnetic field forming mechanism 322 includes a rotating mechanism 323, and as the magnetic field forming mechanism 322 is rotated around the vacuum chamber 301, the magnetic field inside the vacuum chamber 301, too, is allowed to rotate.
  • Next, an etching process executed in the plasma etching apparatus structured as described above is explained. First, a gate valve (not shown) at a transfer port (not shown) is opened, and a semiconductor wafer W carried into the [0129] vacuum chamber 301 with a transfer mechanism or the like is set on the stage 302. The semiconductor wafer W placed on the stage 302 is then electrostatically held onto the electrostatic chuck 311 by applying a predetermined level of a DC voltage to the electrostatic chuck electrode 311 b of the electrostatic chuck 311 from the D.C. source 312.
  • Next, after moving the transfer mechanism out of the [0130] vacuum chamber 301, the gate valve is closed, the vacuum chamber 301 is evacuated with the vacuum pump or the like of the evacuation system 315, and then, after a specific degree of vacuum is achieved inside the vacuum chamber 301, the processing gas to be used to execute a specific type of etching process is supplied from the processing gas supply system 306 into the vacuum chamber 301 via the free space 304 for gas diffusion and the narrow holes 305 at a flow rate of, for instance, 100 to 1000 sccm. Thus, the pressure inside the vacuum chamber 301 is sustained at, for instance, approximately 1.33 to 133 Pa (10 to 100 mTorr).
  • In this state, high-frequency power with specific frequencies (e.g., 100 MHz and 3.2 MHz) is supplied to the [0131] stage 302 from the high- frequency sources 309 and 310.
  • As the high-frequency power is applied to the [0132] stage 302 as described above, a high-frequency electric field is formed in the processing space between the shower head 303 and the stage 302. In addition, a specific magnetic field is formed in the processing space by the magnetic field forming mechanism 322. Thus, a plasma with specific characteristics is generated from the processing gas supplied into the processing space, and a specific film on the semiconductor wafer W becomes etched with the plasma.
  • During this process, the high conductance at the [0133] evacuation ring 316 makes it possible to evacuate the vacuum chamber with a high degree of efficiency and, as a result, the atmosphere inside the vacuum chamber easily achieves a high degree of vacuum without having to employ a large, high performance vacuum pump or the like. In addition, since a plasma leak can be prevented with a high degree of reliability at the evacuation ring 316, the desired etching process can be executed with a high level of accuracy with stable plasma.
  • After the specific etching process is executed, the supply of the high-frequency power from the high-[0134] frequency sources 309 and 310 is stopped, thereby ending the etching process, and then, the semiconductor wafer W is carried out of the vacuum chamber 301 by reversing the procedure described earlier.
  • It is to be noted that while the present invention is adopted in a plasma etching apparatus that etches semiconductor wafers in the embodiment described above, the present invention is not limited to this example. For instance, it may be adopted in an apparatus that processes substrates other than semiconductor wafers, or in an apparatus that executes processing other than etching, e.g., a film formation processing apparatus that executes CVD or the like. [0135]
  • The plasma processing apparatus described above achieving a high level of gas conductance capability supports a wide range of processes without necessitating an increase in the production costs and enables the plasma processing to be executed in a desirable manner with stable plasma achieved through its high level of plasma leak preventing function. [0136]
  • (Another Example of a Plasma Processing Apparatus in Which the Present Invention May Be Adopted) [0137]
  • Next, yet another example of the plasma processing apparatus in which the present invention may be adopted is explained. The present invention is adopted in a plasma processing apparatus that executes plasma processing on workpieces that may be glass substrates for flat displays (FPD) such as liquid crystal displays (LCD), i.e., FPD substrates such as LCD substrates, as well as semiconductor wafers in this example. More specifically, an explanation is given in reference to the example on a plasma processing apparatus capable of implementing control so as to drive a member such as an electrode disposed within the plasma processing apparatus to a desired position and its upper electrode unit. [0138]
  • In a plasma processing apparatus that executes plasma processing on a workpiece such as a semiconductor wafer (hereafter simply referred to as a wafer) or an LCD substrate during various processes of semiconductor devices or LCD substrate production, follow-up control is normally implemented by utilizing a servomotor, a stepping motor or the like as an actuator to implement control so as to linearly drive a member such as an a electrode disposed within the processing apparatus to a desired position. [0139]
  • In such a structure having a motor utilized as an actuator, a sturdy structural body is required to form a motive force communicating mechanism constituted of a pulley, gears, a belt or a chain to be used to convert the motor rotation to a linear motion, and thus, the processing apparatus itself is bound to be large in size. In addition, there is also a problem in that vibration and noise caused by the rotational motion of the motor and the motive force communicating mechanism adversely affect the results of the wafer processing. Furthermore, it requires a regular maintenance since the gears and the chain constituting the motive force communicating mechanism are consumables. [0140]
  • While it is conceivable to utilize an actuator constituted of a pneumatic actuator instead of a motor, the piping connection for a pneumatic actuator is bound to be complex and there is also the concern that an oil leak may cause contamination in the clean room. For these reasons, a pneumatic actuator is not suitable for an application in a plasma processing apparatus. [0141]
  • As an alternative, a pneumatic actuator may be utilized as the actuator. A pneumatic actuator is advantageous in that there is no risk of an oil leak or contamination of the clean room. There is another advantage to the pneumatic actuator in that it can be provided as a light weight, compact unit capable of achieving a high output. For these reasons, pneumatic actuators are used in plasma processing apparatus applications, in a wafer cassette elevator mechanism (see, for instance, the Japanese Patent Laid-open Publication No. 2001-35897) and in a gate switching mechanism (see, for instance, Japanese Patent Laid-open Publication No. 10-209245 (U.S. Pat. No. 6,113,734)) provided at the wafer transfer port in the processing chamber. [0142]
  • However, when a pneumatic actuator is used as the actuator to implement control on the drive of a member disposed inside the plasma processing apparatus, the compressibility due to the material characteristics inherent to air such as the viscosity and density, and the nonlinearity attributable to the communication delay compromise the control performance. In addition, the control performance is also affected by external factors such as the temperature. Thus, highly accurate positional control, in particular, cannot easily be achieved with a pneumatic actuator. [0143]
  • For this reason, a pneumatic actuator is primarily utilized in simple tasks such as a constant repetitive operation and is not deemed suitable for drive control of, for instance, an electrode, in which highly accurate positional control must be achieved in the related art. [0144]
  • Accordingly, an object of the present invention, which has been completed by addressing the problems discussed above, is to provide a plasma processing apparatus and an upper electrode unit with which highly accurate positional control can be implemented by using a pneumatic actuator. [0145]
  • In order to achieve the object described above, in a first aspect of the present invention, a plasma processing apparatus that executes plasma processing on a workpiece with plasma generated by using an electrode disposed inside a processing container, comprising a sliding support member that slidably supports the electrode with a slide mechanism so that the electrode is allowed to slide freely along one direction, a pneumatic cylinder having a rod disposed continuous with the sliding support member, a pneumatic circuit that drives the pneumatic cylinder and a means for control that implements positional control of the electrode by controlling the pneumatic circuit, is provided. [0146]
  • A second aspect of the present invention achieves the object by providing an upper electrode unit of a plasma processing apparatus that executes plasma processing on a workpiece with plasma generated by using an upper electrode disposed inside a processing container, comprising the upper electrode disposed inside the processing container, a sliding support member that slidably supports the upper electrode with a slide mechanism so as to allow the upper electrode to slide freely along the vertical direction, a pneumatic cylinder having a rod disposed continuous to the sliding support member, a pneumatic circuit that drives the pneumatic cylinder and a means for control that implements positional control of the upper electrode by controlling the pneumatic circuit. [0147]
  • According to the invention achieved in the first aspect and the second aspect by adopting the structure described above, the sliding support member provided independently of the pneumatic cylinder slidably supports the electrode so as to allow the electrode to slide freely along one direction (e.g., the vertical direction) and, as a result, any load (external disturbance) that would otherwise be applied to the pneumatic cylinder along a direction other than the one direction is eliminated to engage the pneumatic cylinder in movement along one direction exclusively. Consequently, highly accurate positional control of the electrode is achieved with the pneumatic cylinder. [0148]
  • In addition, by providing the upper electrode, the drive mechanism of the upper electrode and the means for its control as an integrated unit as in the second aspect, the upper electrode unit can be installed into an existing plasma processing apparatus with ease to achieve positional control for the upper electrode with a pneumatic cylinder. [0149]
  • The slide mechanism used in the first and second aspects may include a rail disposed at the external circumference of the sliding support member along the direction in which electrode slides and a guide member that guides the rail along the sliding direction while supporting the rail slidably and is fixed to the processing container. By adopting such a slide mechanism, the electrode can be slidably supported through a simple structure. The guide member in this slide mechanism may be fixed to the processing container via a horizontal adjustment member of the electrode. In such a case, a fine adjustment of the electrode along the horizontal direction can be achieved readily by adjusting the inclination of the guide member with the horizontal adjustment member. [0150]
  • Also, the rod of the pneumatic cylinder used in the first and second aspects may be disposed at an approximate center of the electrode. This structure is effective in preventing decentering of a load applied to the rod of the pneumatic cylinder and suppressing an occurrence of moment, and thus, even more accurate positional control of the electrode is achieved. [0151]
  • Furthermore, the pneumatic circuit used in the first and second aspects may include a switching valve provided at a position between a pneumatic source and the pneumatic cylinder, which enable drive of the rod of the pneumatic cylinder by switching the flow of compressed air supplied to the pneumatic cylinder based upon a control signal provided by the means for control and a drive stop valve disposed at a position between the switching valve and the pneumatic cylinder, which allows the rod of the pneumatic cylinder to stop and be held by cutting off the compressed air supplied to the pneumatic cylinder based upon a stop signal provided by the means for control. By adopting such a structure in the pneumatic circuit, it becomes possible to control the position to which the electrode moves and the direction along which the electrode moves with the means for control, and thus, if an abnormality occurs in the plasma processing apparatus, the movement of the electrode can be stopped and the electrode can be held at the stop position. [0152]
  • In addition, a means for positional detection that detects the position of the electrode by detecting the movement of the rod at the pneumatic cylinder used in the first and second aspects may be provided to allow the means for control to implement the positional control of the electrode based upon a deviation determined by subtracting the current position of the electrode detected with the means for positional detection from a target position set for the electrode. In such a case, the target position may be set over a plurality of stages leading to the position to which the electrode is to be ultimately moved, so as to drive the electrode gradually. By driving the electrode gradually in this manner, the occurrence of abrupt drive and vibration caused by material characteristics inherent to the air used to drive the pneumatic cylinder such as the viscosity and the density can be minimized. Thus, while the upper electrode is driven with the pneumatic cylinder, problems such as attracting particles and the like in the processing container, for instance, can be prevented. [0153]
  • It is to be noted that the electrode referred to in the description of the first aspect is one of a pair of electrodes disposed parallel to each other inside the processing container, and the workpiece may be placed on the other electrode. [0154]
  • The following is a detailed explanation of a preferred embodiment of the present invention, given in reference to attached drawings. It is to be noted that in the specification and the drawings, the same reference numerals are assigned to components having substantially identical functions and structural features to preclude the necessity for a repeated explanation thereof. [0155]
  • FIGS. 12 and 13 schematically illustrates the structure adopted in a plane parallel [0156] plasma processing apparatus 400, which is a typical example of the plasma processing apparatus achieved in the embodiment of the present invention. FIG. 12 shows the upper electrode set at the retracted position, whereas FIG. 13 shows the upper electrode set at the processing position. FIG. 14 schematically illustrates the mechanism used to drive the upper electrode shown in FIGS. 12 and 13 to facilitate an explanation of its functions, with FIG. 14A showing a state in which the upper electrode is set at the retracted position and FIG. 14B showing a state in which the upper electrode is set at the processing position.
  • The [0157] plasma processing apparatus 400 achieved in the embodiment includes a cylindrical chamber (processing container) 402 constituted of aluminum with a surface thereof having undergone anodization (alumite processing), and the chamber 402 is grounded.
  • A [0158] susceptor stage 404 formed in a substantially columnar shape, on which a workpiece such as a semiconductor wafer (a hereafter simply referred to as a “wafer”) W is placed is provided at the bottom inside the chamber 402 via an insulating plate 403 constituted of ceramic or the like. A susceptor 405 constituting a lower electrode is set on the susceptor stage 404. A high pass filter (HPF) 106 is connected to the susceptor 405.
  • Inside the [0159] susceptor stage 404, a temperature adjustment medium chamber 407 is formed. A temperature adjustment medium which is guided into the temperature adjustment medium chamber 407 via a supply pipe 408 is made to circulate within the temperature adjustment medium chamber 407 and then is discharged through a discharge pipe 409. With the temperature adjustment medium circulating in this manner, the temperature of the susceptor 405 is adjusted to a desired level.
  • An [0160] electrostatic chuck 411 assuming a shape substantially identical to that of the wafer W is disposed on the central portion of the susceptor 405 on the upper side, which is formed as a projecting disk. The electrostatic chuck 411 is achieved by setting an electrode 412 between insulating members. A DC voltage at, for instance, 1.5 kV is applied to the electrostatic chuck 411 from a DC electrode 413 connected to the electrode 412. As a result, the wafer W becomes electrostatically held onto the electrostatic chuck 411.
  • At the insulating [0161] plate 403, the susceptor stage 404, the susceptor 405 and the electrostatic chuck 411, a gas passage 414 through which a heat transfer medium (e.g., a back side gas such as an He gas) is supplied to the rear surface of the workpiece i.e., the wafer W, is formed. The heat is transferred between the susceptor 405 and the wafer W via the heat transfer medium, thereby sustaining the temperature of the wafer W at a predetermined level.
  • An [0162] annular focus ring 415 is disposed at the edge of the susceptor 405 at its upper end so as to surround the wafer W placed on the electrostatic chuck 411. The focus ring 415 is constituted of an insulating material such as ceramic or quartz, or an electrically conductive material. The presence of the focus ring 415 improves the etching uniformity.
  • An [0163] evacuation pipe 431 is connected at the bottom of the chamber 402, and an evacuation device 435 is connected to the evacuation pipe 431. The evacuation device 435, which includes a vacuum pump such as a turbo molecular pump, adjusts the pressure of the atmosphere inside the chamber 402 to a predetermined lower level (e.g., 0.67 Pa or lower). In addition, a gate valve 432 is provided at the side wall of the chamber 402. As the gate valve 432 opens, a transfer of the wafer W into/out of the chamber 402 is enabled. It is to be noted that the wafer W is transferred with, for instance, a transfer arm.
  • In addition, an [0164] upper electrode 420 is disposed above the susceptor 405 to run parallel to the susceptor 405 and to face opposite the susceptor 405. The upper electrode 420 can be driven along one direction, e.g., the vertical direction, by an upper electrode drive mechanism 500. Thus, the distance between the susceptor 405 and the upper electrode 420 can be adjusted. It is to be noted that the upper electrode drive mechanism 500 is to be described in detail later.
  • The [0165] upper electrode 420 is supported at the inner wall of the ceiling of the chamber 402 via a bellows 422. The bellows 422 is mounted at the inner wall at the ceiling of the chamber 402 with a fastening means such as a bolt via an annular upper flange 422 a and is also attached to the upper surface of the upper electrode 420 with a fastening means such as a bolt via an down flange 422 b.
  • The [0166] upper electrode 420 includes an electrode plate 424 constituting a surface facing opposite the susceptor 405 and having numerous outlet holes 423 and an electrode support member 425 that supports the electrode plate 424. The electrode plate 424 is constituted of, for instance, quartz, whereas the electrode support member 425 is constituted of an electrically conductive material such as aluminum with a surface thereof having undergone alumite processing.
  • A [0167] gas supply port 426 is provided at the electrode support member 425 of the upper electrode 420. A gas supply pipe 427 is connected to the gas supply port 426. In addition, a processing gas supply source 430 is connected to the gas supply pipe 427 via a valve 428 and a mass flow controller 429.
  • An etching gas, for instance, to be used to execute plasma etching is supplied from the processing [0168] gas supply source 430. It is to be noted that while FIG. 12 shows a single processing gas supply system comprising the gas supply pipe 427, the valve 428, the mass flow controller 429, the processing gas supply source 430 and the like, the plasma processing apparatus 400 includes a plurality of processing gas supply systems in reality. Namely, CHF8, Ar and He, for instance, to constitute to the processing gas, the flow rates of which are controlled independently of one another, are individually supplied into the chamber 402.
  • A first high-[0169] frequency source 440 is connected to the upper electrode 420, with a first matcher 441 inserted at the power supply line. In addition, a low pass filter (LPF) 442 is connected to the upper electrode 420. The first high-frequency source 440 is capable of outputting power at a frequency in the range of 50 to 150 MHz. As the power at such a high-frequency is applied to the upper electrode 420, high-density plasma can be formed in a desired state of dissociation inside the chamber 402 and plasma processing can be executed at a lower pressure compared to the related art. Ideally, the frequency of the power output from the first high-frequency source 440 should be 50 to 80 MHz, and typically, it is adjusted to 60 MHz as shown in the figure or to a value close to 60 MHz.
  • A second high-[0170] frequency source 450 is connected to the susceptor 405 constituting the lower electrode, with a second matcher 451 inserted at the power supply line. The second high-frequency source 450 is capable of outputting power at a frequency in the range of several hundred kHz to several tens of MHz. As the power at a frequency in this range is applied to the susceptor 405, a desired ionization effect can be achieved without damaging the workpiece, i.e., the wafer W. Typically, the frequency of the power output from the second high-frequency source 450 is adjusted to 2 MHz, as shown in the figure, or to 13.56 MHz.
  • Next, the upper [0171] electrode drive mechanism 500 is explained in detail. The upper electrode drive mechanism 500 includes a substantially cylindrical sliding support member 504 that slidably supports the upper electrode 420 so as to allow the upper electrode 420 to slide relative to the chamber 402. The sliding support member 504 is mounted at an approximate center of the top surface of the upper electrode 420 with a bolt or the like.
  • The sliding [0172] support member 504 is disposed so that it is allowed to freely enter and withdraw from a hole 402 a formed at an approximate center of the upper wall of the chamber 402. More specifically, the external circumferential surface of the sliding support member 504 is slidably supported at the edge of the hole 402 a at the chamber 402 via a slide mechanism 510.
  • The [0173] slide mechanism 510 includes a guide member 516 retained at a vertical portion of a retaining member 514 having an L-shaped section and disposed, for instance, at the top of the chamber 402 and a rail portion 512 slidably supported by the guide member 516 and formed to extend along one direction (the vertical direction in the embodiment) at the external circumferential surface of the sliding support member 504.
  • The retaining [0174] member 514, which securely retains the guide member 516 of the slide mechanism 510 includes a horizontal portion fixed to the top of the chamber 402 via an annular horizontal adjustment plate 518. The horizontal adjustment plate 518 is used to adjust the horizontal position of the upper electrode 420. The horizontal adjustment plate 518 may be secured onto the chamber 402 with a plurality of bolts or the like set over uniform intervals along the circumferential direction so as to adjust the extent of inclination of the horizontal adjustment plate 518 along the horizontal direction in correspondence to the extents to which the individual bolts protrude. As the inclination of the guide member 516 at the slide mechanism 510 along the vertical direction is adjusted by adjusting the inclination of the horizontal adjustment plate 518 along the horizontal direction, the inclination of the upper electrode 420 supported via the guide member 516 is adjusted along the horizontal direction. As a result, it is possible to retain the upper electrode 420 at the correct horizontal position at all times through a simple operation.
  • A [0175] pneumatic cylinder 520 used to drive the upper electrode 420 is mounted on the upper side of the chamber 402 via a barrel body 501. Namely, the lower end of the barrel body 501 is mounted by assuring air tightness with a bolt or the like so as to cover the hole 402 a at the chamber 402 and the upper end of the barrel body 501 is mounted by assuring air tightness at the lower end of the pneumatic cylinder 520.
  • The [0176] pneumatic cylinder 520 includes a rod 502 that can be driven along one direction, and the lower end of the rod 502 is disposed continuous to an approximate center area on the upper side of the sliding support member 504 with a bolt or the like. Thus, as the rod 502 of the pneumatic cylinder 520 is driven, the upper electrode 420, too, is driven by the sliding support member 504 along the slide mechanism in one direction. As the inner space of the rod 502 assuming a cylindrical shape comes into communication with a central hole formed at an approximate center of the sliding support member 504, the rod is set in a state of communication with the atmosphere. Thus, the power supply line from the matcher 441 or the like can be connected to the upper electrode 420 through the inner space of the rod 502 via the central hole at the sliding support member 504.
  • In addition, a means for positional detection such as a [0177] linear encoder 505 that detects the position of the upper electrode 420 is provided to the side of the pneumatic cylinder 520. An upper end member 507 having an extension 507 a extending sideways from the rod 502 is provided at the upper end of the rod 502 of the pneumatic cylinder 520, and a detection portion 505 a of the linear encoder 505 is in contact with the extension 507 a of the upper end member 507. Since the upper end member 507 interlocks with the movement of the upper electrode 420, the position of the upper electrode 420 can be detected with the linear encoder 505.
  • The [0178] pneumatic cylinder 520 is constituted by enclosing a tubular cylinder main body 522 with an upper support plate 524 and a lower support plate 526. An annular partitioning member 508 that partitions the inner space of the pneumatic cylinder 520 into an upper space 532 and a lower space 534 is disposed on the external circumferential surface of the rod 502.
  • As shown in FIG. 14, compressed air is supplied into the [0179] upper space 532 of the pneumatic cylinder 520 from an upper port 536 at the upper support plate 524. Compressed air is also supplied into the lower space 534 of the pneumatic cylinder 520 from a lower port 538 at the lower support plate 526. By controlling the quantities of air supplied into the upper space 532 and the lower space 534 from the upper port 536 and the lower port 538 respectively, the drive of the rod 502 along the one direction (the vertical direction in this example) can be controlled. The quantities of air supplied into the pneumatic cylinder 520 are controlled at a pneumatic circuit 610 provided near the pneumatic cylinder 520.
  • Next, a means for [0180] drive control 600 provided in the plasma processing apparatus in the embodiment as part of the upper electrode drive mechanism 500 is explained. FIG. 15 is a circuit diagram of the means for drive control 600 provided as part of the upper electrode drive mechanism 500 and FIG. 16 is a block diagram of the pneumatic circuit 610.
  • As shown in FIG. 15, the means for [0181] drive control 600 is constituted with the pneumatic circuit 610 and a means for control 700 that controls the pneumatic circuit 610. The means for control 700 includes a CPU (central processing unit) 720 constituting the main body of the means for control 700, an interface 740 that exchanges various signals with the external apparatuses, an interlock circuit 760 used to execute a self diagnosis of the pneumatic circuit 610 and the like. The interface 740 exchanges control signals with a control device (not shown) that controls the plasma processing apparatus 400 and also receives sensor signals from various sensors. The signals input to the interface 740 include an upper electrode drive control signal containing target position information used to drive the upper electrode 420 to a specific target position and the like, a gate valve control signal used to control the gate valve and sensor signals from the various sensors. In addition, the signals output from the interface 740 include an upper electrode position stable signal indicating whether or not the position of the upper electrode 420 has stabilized and whether or not the movement of the upper electrode 420 has been completed and a wafer transfer signal indicating whether or not the upper electrode 420 is set at a position out of the transfer path of the transfer arm transferring a wafer and thus the wafer can be safely transferred into the chamber 402.
  • The sensor signals include a signal from an origin point sensor that detects whether or not the [0182] upper electrode 420 is positioned at the origin point. The origin point as referred to in this context is the origin point of the means for upper electrode positional detection such as the linear encoder 505. In more specific terms, the origin point sensor may be constituted with, for instance, a contact sensor or an optical sensor. In such a case, the origin point sensor may be disposed on the inner side of the upper wall constituting the barrel body 501 on the chamber 402, and the position at which the origin point sensor detects the upper end of the sliding support member 504, i.e., the uppermost position of the upper electrode 420, may be set as the origin point. Another sensor signal input to the interface 740 is a transfer verification position sensor signal inquiring whether or not the upper electrode 420 is set at a position that allows a wafer transfer. In response to the transfer verification position sensor signal input to the interface 740, the CPU 720 detects whether or not the upper electrode 420 is currently set at a position, i.e., a retracted position, at which the upper electrode 420 is out of the way of the transfer arm transferring the wafer based upon the detection signal provided by the linear encoder 505 and outputs a wafer transfer signal via the interface 740.
  • The [0183] interlock circuit 760, to which a signal from a switch 620 that detects whether or not compressed air is output from a pneumatic source 605 in the pneumatic circuit 610 to drive the upper electrode 420 is input, outputs a drive enabled signal to the pneumatic circuit 610 if compressed air is output from the pneumatic source 605, i.e., if the signal from the switch 620 indicates an ON state. If, on the other hand, no compressed air is output from the pneumatic source 605, i.e., if the signal from the switch 620 indicates an OFF state, it stops the output of the drive enabled signal to the pneumatic circuit 610.
  • In addition, the [0184] interlock circuit 760 stops the output of the drive enabled signal to the pneumatic circuit 610 if an external interlock signal is input even when the signal from the switch 620 indicates an ON state. The interlock signal is input from the control device (not shown) to the means for control 700 when, for instance, an abnormality necessitating the drive of the upper electrode 420 to be stopped occurs in the plasma processing apparatus 400.
  • The [0185] CPU 720 controls the pneumatic circuit 610 based upon the signals from the interface 740. It controls the movement of the upper electrode 420 so as to position the upper electrode 420 at the target position through feedback control achieved by implementing PID control (control executed by combining a proportional operation, a differential operation and an integration operation) as indicated in the block diagram in FIG. 16, for instance. In the block diagram shown in FIG. 16, Ref (s) is the target position for the upper electrode 420 and Y(s) is the current position. G(s) is the transfer function, and KP, KI, KD, KA and KV respectively indicate the proportional gain, the integral gain, the differential gain, the acceleration feedback gain and the velocity feedback gain.
  • More specifically, the deviation is determined by subtracting the current position from the target position set for the [0186] upper electrode 420, and PID control is implemented based upon an output (which can be adjusted in correspondence to the integral gain KI) in proportion to the time integral of the deviation and used to correct the steady state deviation, an output (which can be adjusted in correspondence to the differential gain KD) in proportion to the time-varying change in the deviation and used to minimize the change rate and an output (which can be adjusted in correspondence to the proportional gain KP) in proportion to the deviation. Namely, in this PID control, a function of predicting the movement which is in proportion to the current deviation (a proportional operation), a function of eliminating the offset by holding the integral of the previous deviation (an integration operation) and a function of predicting future movement (a differential operation) are incorporated.
  • In addition, the [0187] pneumatic circuit 610 is controlled in the embodiment through the acceleration feedback control, implemented based upon outputs from pressure sensors (not shown) disposed at the ports 536 and 538 of the pneumatic cylinder 520 in order to control the external disturbance, as shown in FIG. 16, and the velocity feedback control implemented based upon the output of the linear encoder 505 taken into the means for control 700, as shown in FIG. 15.
  • The positional control of the [0188] upper electrode 420 may be achieved by setting the target position over a plurality of stages preceding the ultimate position to which the upper electrode 420 is to be moved and by driving the upper electrode 420 gradually. In this case, abrupt drive or abrupt vibration attributable to material properties such as the viscosity and the density of the air used to drive the pneumatic cylinder can be minimized. As a result, problems of attracting particles inside the chamber 402 and the like while driving the upper electrode with a pneumatic cylinder do not occur.
  • A structural example that may be adopted in the [0189] pneumatic circuit 610 is now explained. FIG. 17 is a circuit diagram of a structure that may be adopted in the pneumatic circuit 610. FIGS. 18 and 19 are functional diagrams illustrating the operation of the pneumatic circuit 610. The pneumatic circuit 610 is in a neutral state in FIG. 17, is engaged in the drive control of the upper electrode 420 in FIG. 18 and is in a state of emergency stop in FIG. 19.
  • As shown in FIGS. 15 and 17, the [0190] pneumatic circuit 610 includes a 5-port electromagnetic valve 630 constituting a switching valve capable of switching the flow path to a neutral state or a drive control state in response to a valve control signal provided by the CPU 720. A 5-port switching valve 640 is disposed in a pipeline extending from the 5-port electromagnetic valve 630 and communicating with the upper port 536 of the pneumatic cylinder 520, and a 5-port switching valve 650 is disposed in the pipeline extending from the 5-port electromagnetic valve 630 and communicating with the lower port 538 of the pneumatic cylinder 520. These 5- port switching valves 640 and 650, each used as a drive stop valve when effecting an emergency stop of the pneumatic cylinder 520, can be controlled with a 3-port electromagnetic valve 660.
  • Now, the specific relationship with which the individual valves are connected with each other is explained. The [0191] pneumatic source 605 is connected to a p-port of the 5-port electromagnetic valve 630, and an a-port of the 5-port electromagnetic valve 630 is connected to a p-port of the 5-port switching valve 640. In addition, a b-port of the 5-port electromagnetic valve 630 is connected to a p-port of the 5-port switching valve 650. A c-port and a d-port of the 5-port electromagnetic valve 630 are used as discharge ports.
  • With the 5-port [0192] electromagnetic valve 630, the flow path can be switched to an N state, an L state or an R state. A force applying member such as a spring is disposed on each side of the 5-port electromagnetic valve 630, and a force is applied to the 5-port electromagnetic valve 630 to set it in the N state unless power is supplied in response to a valve control signal provided by the means for control 700. Then, if positive power is supplied in response to the valve control signal, for instance, the 5-port electromagnetic valve 630 is set in the L state against the force applied by the force applying members, whereas if negative power is applied in response to the valve control signal, the 5-port electromagnetic valve 630 is set in the R state against the force applied by the force applying members. When the 5-port electromagnetic valve 630 is in the N state, each port at the 5-port electromagnetic valve 630 is in a cut-off state. When the 5-port electromagnetic valve 630 is in the L state, its p-port and a-port are connected with each other and its d-port and b-port are connected with each other, whereas when the 5-port electromagnetic valve 630 is in the R state, its p-port and b-port are connected with each other and its c-port and a-port are connected with each other.
  • The [0193] upper port 536 of the pneumatic cylinder 520 is connected to an a-port of the 5-port switching valve 640 whereas the lower port 538 is connected to an a-port of the 5-port switching valve 650. With both the 5-port switching valve 640 and the 5-port switching valve 650, the flow path can be switched to either the N state or the L state. At each of the 5- port switching valves 640 and 650, a force applying members such as a spring is provided on one side thereof to apply a force to the 5-port switching valve to set it in the N state unless compressed air is supplied through the 3-port electromagnetic valve 660. As compressed air is supplied through the 3-port electromagnetic valve 660, the 5-port switching valves enter the L state against the force applied by the force applying members. At each of the 5- port switching valves 640 and 650, the p-port and a b-port are connected with each other and a c-port and the a-port are connected with each other in the N state, and the p-port and the a-port are connected with each other and the d-port and the b-port are connected with each other in the L state.
  • The [0194] pneumatic source 605 is connected to a p-port of the 3-port electromagnetic valve 660, and a b-port and an a-port at the 3-port electromagnetic valve 660 are connected with each other. It is to be noted that the b-port at the 3-port electromagnetic valve 660 is used as a discharge port. As shown in FIG. 15, the flow path is switched to either the N state or the L state at the 3-port electromagnetic valve 660 based upon the drive enabled signal provided by the interlock circuit 760. A force applying member such as a spring is provided on one side of the 3-port electromagnetic valve 660 and a force is applied to set the 3-port electromagnetic valve 660 in the N state unless power is supplied in response to the drive enabled signal provided by the means for control 700. Then, as the drive enabled signal is output, it enters the L state against the force applied by the force applying member. At the 3-port electromagnetic valve 660, the p-port is cut off and the b-port and the a-port are connected with each other in the N state, whereas the p-port and the a-port are connected with each other and the b-port is cut off in the L state.
  • When the [0195] switch 620 of the pneumatic source 605 is in an OFF state, as shown in FIG. 17, the output of the drive enabled signal from the interlock circuit 760 is stopped and thus, the flow path at the 3-port electromagnetic valve 660 is in the N state and the flow path at the 5-port electromagnetic valve 630, too, is in the N state in the pneumatic circuit 610 adopting the structure described above. In this neutral state, the ports 536 and 538 at the pneumatic cylinder 520 are cut off from the pneumatic source 605 by the 5-port electromagnetic valve 630, and, as a result, the upper electrode 420 is held in a stopped state.
  • As the [0196] switch 620 of the pneumatic source 605 is turned on, the drive enabled signal is output from the interlock circuit 760, thereby setting the flow path at the 3-port electromagnetic valve 660 in the L state. As a result, the flow path at the 5- port switching valves 640 and 650 each enter the L state. Consequently, drive of the upper electrode 420 is enabled with the compressed air supplied to the pneumatic cylinder 520 by switching the flow path at the 5-port electromagnetic valve 630.
  • When the [0197] upper electrode 420 is to move downward, for instance, from this state, the flow path at the 5-port electromagnetic valve 630 is set in the L state, as shown in FIG. 18. In response, the compressed air from the pneumatic source 605 is guided in through the upper port 536 at the pneumatic cylinder 520 and is discharged through the lower port 538, causing the sliding support member 504 to move downward and ultimately causing the upper electrode 420 to move downward.
  • When the [0198] upper electrode 420 is to move upward, for instance, from the neutral state shown in FIG. 17, the flow path at the 5-port electromagnetic valve 630 is set in the N state unlike in the operation shown in FIG. 18. As the stop signal from the interlock circuit 760 enters the OFF state, the flow path at the 3-port electromagnetic valve 660 is set in the L state under these circumstances as well. As a result, the flow paths at both the 5-port switching valve 640 and the 5-port switching valve 650 are set in the L state. In response, the compressed air from the pneumatic source 605 is guided in through the lower port 538 at the pneumatic cylinder 520 and then discharged through the upper port 536, causing the sliding support member 504 to move upward and ultimately causing the upper electrode 420 to move upward.
  • FIG. 19 shows the state of the [0199] pneumatic circuit 610 when an emergency stop is applied while driving the upper electrode. As the stop signal from the interlock circuit 760 is turned on, the flow path at the 3-port electromagnetic valve 660 enters the N state. As a result, the flow paths at the 5- port switching valves 640 and 650 both enter the N state. In response, the compressed air from the pneumatic source 605 is guided through the lower port 538 at the pneumatic cylinder 520, and the compressed air from the pneumatic source 605 is cut off from both the upper part 536 and the lower port 538 at the pneumatic cylinder 520, thereby stopping the sliding support member 504 and stopping the upper electrode 420.
  • FIGS. 20 and 21 present the results of tests conducted by implementing the specific control shown in FIG. 16 with the [0200] pneumatic circuit 610 achieved in the embodiment as described above with the target position set over a plurality of stages preceding the ultimate position to which the upper electrode 420 was to move. FIG. 20 is a graph of the relationship between the position of the upper electrode 420 and the time observed by gradually driving the upper electrode 420 upward, whereas FIG. 21 is a graph of the relationship between the position of the upper electrode 420 and the time, observed by gradually driving the upper electrode 420 downward. FIGS. 20 and 21 indicate that stable and accurate follow-up control was achieved to drive the upper electrode 420 upward or downward to set the target position.
  • Various indices measured based upon these test results, which include approximately ±0.15 mm representing the accuracy with which the upper electrode was stopped and approximately 60 mm/sec representing the operating speed, indicate that the structure adopted in the embodiment is highly viable in practical application. In other words, highly accurate positional control is enabled by employing the [0201] plasma processing apparatus 400
  • In the plasma processing apparatus in the embodiment described in detail above, the sliding [0202] support member 504 is provided independently of the pneumatic cylinder 520 to slidably support the upper electrode 420 along one direction (e.g., the vertical direction), and thus, any load (external disturbance) that would be applied to the pneumatic cylinder 520 along a direction other than the one direction is eliminated to allow the pneumatic cylinder 520 to move only along the one direction. Consequently, the positional control for the upper electrode 420 can be implemented with a high degree of accuracy with the pneumatic cylinder 520.
  • The [0203] rod 502 at the pneumatic cylinder 520 is disposed at an approximate center of the upper electrode 420 to prevent decentering of the load applied to the rod 502 at the pneumatic cylinder 520 and the occurrence of a moment and, as a result, the position of the electrode can be controlled with an even higher degree of accuracy.
  • It is to be noted that while the [0204] upper electrode 420 is driven by using the pneumatic cylinder 520 in the embodiment described above, the lower electrode may instead be slidably supported and be driven with the pneumatic cylinder 520. However, at the lower electrode on which the workpiece such as a wafer or a liquid crystal substrate is placed, various additional mechanisms including a workpiece holding mechanism, a workpiece back side gas mechanism and an electrode temperature adjustment mechanism must be mounted, whereas the upper electrode does not need such additional mechanisms. For this reason, a higher degree of positional control accuracy can be achieved for the upper electrode 420 by driving the upper electrode 420 with the pneumatic cylinder and thus minimizing the load applied to the rod 502 at the pneumatic cylinder 520.
  • In addition, the components such as the [0205] upper electrode 420, the upper electrode drive mechanism 500 for the upper electrode 420, the pneumatic circuit 610 and the means for control 700 may be provided as an integrated upper electrode unit, as shown in FIG. 14, to facilitate positional control to be implemented with a pneumatic cylinder on an upper electrode 420 in an existing plasma processing apparatus simply by installing the upper electrode unit.
  • In conjunction with the plasma processing apparatus and the upper electrode unit described above, highly accurate positional control can be achieved with a pneumatic cylinder functioning as a pneumatic actuator by minimizing the load applied to the pneumatic cylinder. [0206]
  • It is to be noted that while an explanation is given above in reference to the embodiment on an example in which the present invention is adopted in a plasma etching apparatus, the present invention may instead be adopted in a different type of processing apparatus such as a film forming apparatus or an ashing apparatus. In addition, while the workpiece processed in the embodiment described above is a semiconductor wafer, the present invention is not limited to this example, and the present invention may be adopted to process a workpiece such as a glass substrate for a flat display (FPD) in a liquid crystal display (LCD) device, i.e., an FPD substrate which may be an LCD substrate. [0207]

Claims (7)

What is claimed is:
1. A plasma processing apparatus that executes plasma processing on a workpiece placed inside a processing chamber by generating plasma with a processing gas supplied through a gas supply hole at a gas supply unit disposed within said processing chamber, wherein:
an interchangeable insert member that prevents entry of charged particles in the plasma generated inside said processing chamber into said gas supply unit is mounted at said gas supply hole in said gas supply unit.
2. A plasma processing apparatus according to claim 1, wherein:
said insert member comprises a gas passage formed therein that communicates between an entry side and an exit side of said gas supply hole; and
said gas passage comprises a passage that regulates the flow along a central axis of said gas supply hole and extends perpendicular to or at an angle to the central axis.
3. A plasma processing apparatus according to claim 1, wherein:
said insert member comprises a gas passage formed therein that communicates between an entry side and an exit side of said gas supply hole while regulating the flow along a central axis of said gas supply hole at all times.
4. A plasma processing apparatus according to claim 3, wherein:
said gas passage is a spiral passage.
5. A plasma processing apparatus according to claim 4, wherein:
a section of said gas passage has a shape with a thickness along the central axis of said gas supply hole set larger than a width thereof.
6. A plasma processing apparatus according to claim 1, wherein:
insert members constituted of different materials are used in correspondence to different types of gas used in said plasma processing.
7. A plasma processing apparatus according to claim 1, wherein:
insert members with gas passages formed in different shapes are used in correspondence to different density levels of the plasma generated inside said processing chamber.
US10/830,355 2003-04-25 2004-04-23 Plasma processing apparatus Abandoned US20040261712A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US12/405,432 US20090255631A1 (en) 2003-04-25 2009-03-17 Plasma Processing Apparatus and the Upper Electrode Unit
US12/894,803 US8083891B2 (en) 2003-04-25 2010-09-30 Plasma processing apparatus and the upper electrode unit

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP2003121214A JP4286576B2 (en) 2003-04-25 2003-04-25 Plasma processing equipment
JPJP2003-121214 2003-04-25
JPJP2003-154844 2003-05-30
JP2003154844A JP4280555B2 (en) 2003-05-30 2003-05-30 Plasma processing equipment
JPJP2003-327186 2003-09-19
JP2003327186A JP4399219B2 (en) 2003-09-19 2003-09-19 Plasma processing apparatus and upper electrode unit

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/405,432 Division US20090255631A1 (en) 2003-04-25 2009-03-17 Plasma Processing Apparatus and the Upper Electrode Unit

Publications (1)

Publication Number Publication Date
US20040261712A1 true US20040261712A1 (en) 2004-12-30

Family

ID=33545073

Family Applications (3)

Application Number Title Priority Date Filing Date
US10/830,355 Abandoned US20040261712A1 (en) 2003-04-25 2004-04-23 Plasma processing apparatus
US12/405,432 Abandoned US20090255631A1 (en) 2003-04-25 2009-03-17 Plasma Processing Apparatus and the Upper Electrode Unit
US12/894,803 Expired - Fee Related US8083891B2 (en) 2003-04-25 2010-09-30 Plasma processing apparatus and the upper electrode unit

Family Applications After (2)

Application Number Title Priority Date Filing Date
US12/405,432 Abandoned US20090255631A1 (en) 2003-04-25 2009-03-17 Plasma Processing Apparatus and the Upper Electrode Unit
US12/894,803 Expired - Fee Related US8083891B2 (en) 2003-04-25 2010-09-30 Plasma processing apparatus and the upper electrode unit

Country Status (1)

Country Link
US (3) US20040261712A1 (en)

Cited By (371)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050241579A1 (en) * 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
US20060193102A1 (en) * 2005-01-28 2006-08-31 Kallol Bera Method and apparatus to confine plasma and to enhance flow conductance
US20070247075A1 (en) * 2006-04-21 2007-10-25 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
US20070249173A1 (en) * 2006-04-21 2007-10-25 Applied Materials, Inc. Plasma etch process using etch uniformity control by using compositionally independent gas feed
US20070251917A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content
US20070254486A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process with separately fed carbon-lean and carbon-rich polymerizing etch gases in independent inner and outer gas injection zones
US20070251918A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process using polymerizing etch gases with different etch and polymer-deposition rates in different radial gas injection zones with time modulation
US20070254483A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process using polymerizing etch gases and an inert diluent gas in independent gas injection zones to improve etch profile or etch rate uniformity
US20080102202A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US20080100223A1 (en) * 2006-10-30 2008-05-01 Richard Lewington Plasma reactor for processing a workpiece and having a tunable cathode
US20080100222A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US20080099432A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Process for etching a transparent workpiece including backside endpoint detection steps
US20080102001A1 (en) * 2006-10-30 2008-05-01 Chandrachood Madhavi R Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US20080099434A1 (en) * 2006-10-30 2008-05-01 Chandrachood Madhavi R Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US20080099450A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Mask etch plasma reactor with backside optical sensors and multiple frequency control of etch distribution
EP1975986A1 (en) * 2006-01-20 2008-10-01 Tokyo Electron Ltd. Plasma processing equipment
US20080282979A1 (en) * 2007-05-18 2008-11-20 Tokyo Electron Limited Method and system for introducing process fluid through a chamber component
US20090246374A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited Gas distribution system and method for distributing process gas in a processing system
US20090255631A1 (en) * 2003-04-25 2009-10-15 Tokyo Electron Limited Plasma Processing Apparatus and the Upper Electrode Unit
US20090286405A1 (en) * 2006-06-13 2009-11-19 Tokyo Electron Limited Shower plate, and plasma processing apparatus, plasma processing method and electronic device manufacturing method using the shower plate
US20090311869A1 (en) * 2006-07-20 2009-12-17 Tokyo Electron Limited Shower plate and manufacturing method thereof, and plasma processing apparatus, plasma processing method and electronic device manufacturing method using the shower plate
US20090314432A1 (en) * 2008-06-23 2009-12-24 Tokyo Electron Limited Baffle plate and substrate processing apparatus
WO2010070240A1 (en) * 2008-12-19 2010-06-24 Alcatel Lucent Method for lowering the pressure in a charge-discharge lock and associated equipment
US20100178775A1 (en) * 2006-10-23 2010-07-15 Tokyo Electron Limited Shower plate sintered integrally with gas release hole member and method for manufacturing the same
US20100230387A1 (en) * 2006-06-13 2010-09-16 Tokyo Electron Limited Shower Plate, Method for Manufacturing the Shower Plate, Plasma Processing Apparatus using the Shower Plate, Plasma Processing Method and Electronic Device Manufacturing Method
US20100243167A1 (en) * 2009-03-30 2010-09-30 Tokyo Electron Limited Substrate processing apparatus
US20100243166A1 (en) * 2009-03-31 2010-09-30 Tokyo Electron Limited Gas flow path structure and substrate processing apparatus
US20110042008A1 (en) * 2008-02-22 2011-02-24 Nu Eco Engineering Co., Ltd. Plasma generator
US20110220609A1 (en) * 2010-03-11 2011-09-15 Tokyo Electron Limited Plasma etching method and plasma etching apparatus
US20110226420A1 (en) * 2010-03-16 2011-09-22 Tokyo Electron Limited Electrode and plasma processing apparatus
CN102262999A (en) * 2010-05-25 2011-11-30 东京毅力科创株式会社 Plasma processing apparatus
CN102420089A (en) * 2010-09-27 2012-04-18 东京毅力科创株式会社 Electrode plate for plasma etching and plasma etching apparatus
US20120100307A1 (en) * 2010-10-22 2012-04-26 Asm Japan K.K. Shower Plate Having Different Aperture Dimensions and/or Distributions
US20120135145A1 (en) * 2009-07-08 2012-05-31 Sung Tae Je Substrate-processing apparatus and substrate-processing method for selectively inserting diffusion plates
US20120222815A1 (en) * 2011-03-04 2012-09-06 Mohamed Sabri Hybrid ceramic showerhead
CN102797012A (en) * 2012-07-27 2012-11-28 京东方科技集团股份有限公司 Etching equipment and upper part electrode thereof
US20130014895A1 (en) * 2011-07-08 2013-01-17 Tokyo Electron Limited Substrate processing apparatus
TWI394200B (en) * 2008-06-04 2013-04-21 Tokyo Electron Ltd Method and system for introducing process fluid through a chamber component
WO2013070179A1 (en) * 2011-11-09 2013-05-16 Freeze Brent Method and apparatus for compressing plasma to a high energy state
WO2013081843A1 (en) * 2011-11-29 2013-06-06 Lam Research Corporation Gas feed insert in a plasma processing chamber and methods therefor
US20130276983A1 (en) * 2011-01-13 2013-10-24 Hitachi Kokusai Electric Inc. Injection member for manufacturing semiconductor device and plasma processing apparatus having the same
US20130292047A1 (en) * 2006-01-20 2013-11-07 Tokyo Electron Limited Manufacturing method of top plate of plasma processing apparatus
CN103871818A (en) * 2012-12-17 2014-06-18 世界中心科技股份有限公司 Upper electrode of dry etching chamber and method for manufacturing same
TWI469694B (en) * 2006-12-18 2015-01-11 Lam Res Corp Showerhead electrode assembly with gas flow modification for extended electrode life
JP2016015496A (en) * 2011-10-07 2016-01-28 東京エレクトロン株式会社 Plasma processing device
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US20160148822A1 (en) * 2014-11-26 2016-05-26 Phillip Criminale Substrate carrier using a proportional thermal fluid delivery system
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US20170092513A1 (en) * 2014-06-19 2017-03-30 Tokyo Electron Limited Plasma processing apparatus
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10276405B2 (en) * 2014-12-16 2019-04-30 Tokyo Electron Limited Plasma processing apparatus
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10494717B2 (en) 2015-05-26 2019-12-03 Lam Research Corporation Anti-transient showerhead
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
WO2020082551A1 (en) * 2018-10-23 2020-04-30 山东大学 Precisely adjustable dielectric barrier discharge device and method
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10763138B2 (en) * 2012-04-30 2020-09-01 Semes Co., Ltd. Adjustment plate and apparatus for treating substrate having the same
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11043389B2 (en) * 2019-05-22 2021-06-22 Tokyo Electron Limited Substrate processing method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US20220275514A1 (en) * 2019-07-02 2022-09-01 Jusung Engineering Co., Ltd. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769652B2 (en) * 2018-07-31 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Devices and methods for controlling wafer uniformity in plasma-based process
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2020-11-23 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4900956B2 (en) * 2007-06-25 2012-03-21 東京エレクトロン株式会社 Gas supply mechanism and substrate processing apparatus
JP4875190B2 (en) * 2009-08-31 2012-02-15 株式会社日立国際電気 Substrate processing apparatus and semiconductor device manufacturing method
WO2016016868A1 (en) 2014-07-31 2016-02-04 G.D Societa' Per Azioni Rigid box for smoking articles, and relative production method
US9914999B2 (en) * 2015-04-28 2018-03-13 Applied Materials, Inc. Oxidized showerhead and process kit parts and methods of using same
US11670490B2 (en) * 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
CN108364845B (en) * 2018-03-20 2020-05-05 武汉华星光电技术有限公司 Dry etching equipment
US11127572B2 (en) * 2018-08-07 2021-09-21 Silfex, Inc. L-shaped plasma confinement ring for plasma chambers
JP7304799B2 (en) * 2019-11-28 2023-07-07 東京エレクトロン株式会社 Substrate processing equipment and piping assemblies
TWI775083B (en) * 2020-05-26 2022-08-21 毅力科技有限公司 Vacuum lamination system and vacuum lamination method

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5599513A (en) * 1989-11-13 1997-02-04 Showa Denko K.K. Gas distribution plate for use with fluidized-bed gas-phase polymerizer
US5746875A (en) * 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6113734A (en) * 1997-01-09 2000-09-05 Samsung Electronics Co., Ltd. Apparatus for opening/closing a process chamber door of ovens used for manufacturing semiconductor devices
US6432259B1 (en) * 1999-12-14 2002-08-13 Applied Materials, Inc. Plasma reactor cooled ceiling with an array of thermally isolated plasma heated mini-gas distribution plates
US6474570B2 (en) * 2000-12-29 2002-11-05 Macronix International Co., Ltd. Flexible nozzle system for gas distribution plate of plasma reaction chamber
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US20030037880A1 (en) * 2000-11-01 2003-02-27 Applied Materials, Inc. Dielectric etch chamber with expanded process window
US20030111961A1 (en) * 2001-12-19 2003-06-19 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US20050109460A1 (en) * 2003-05-30 2005-05-26 Dedontney Jay B. Adjustable gas distribution system
US20050173569A1 (en) * 2004-02-05 2005-08-11 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970003885B1 (en) * 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 Etching method and apparatus thereof
FR2639567B1 (en) * 1988-11-25 1991-01-25 France Etat LASER MICRO-BEAM MACHINE FOR WORKING ON THIN FILM OBJECTS, PARTICULARLY FOR CHEMICAL ENGRAVING OR DEPOSITION OF MATERIAL IN THE PRESENCE OF A REACTIVE GAS
US5084125A (en) * 1989-09-12 1992-01-28 Matsushita Electric Industrial Co., Ltd. Apparatus and method for producing semiconductor substrate
JPH03127822A (en) * 1989-10-13 1991-05-30 Fuji Electric Co Ltd Parallel flat plate type plasma cvd equipment
JPH03203317A (en) * 1989-12-29 1991-09-05 Matsushita Electric Ind Co Ltd Plasma processor
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5354413A (en) * 1993-03-18 1994-10-11 Advanced Micro Devices, Inc. Electrode position controller for a semiconductor etching device
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JP3683332B2 (en) 1996-04-02 2005-08-17 アネルバ株式会社 Plasma processing equipment
US5904487A (en) * 1996-10-08 1999-05-18 Advanced Micro Devices, Inc. Electrode reshaping in a semiconductor etching device
US5879461A (en) * 1997-04-21 1999-03-09 Brooks Automation, Inc. Metered gas control in a substrate processing apparatus
US6231716B1 (en) * 1998-11-09 2001-05-15 Applied Materials, Inc. Processing chamber with rapid wafer exchange
US6350317B1 (en) * 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
TW529085B (en) * 2000-09-22 2003-04-21 Alps Electric Co Ltd Method for evaluating performance of plasma treatment apparatus or performance confirming system of plasma treatment system
CN1956618B (en) * 2001-06-15 2013-06-12 东京毅力科创株式会社 Dry etching method
US20030024900A1 (en) * 2001-07-24 2003-02-06 Tokyo Electron Limited Variable aspect ratio plasma source
US20030042227A1 (en) * 2001-08-29 2003-03-06 Tokyo Electron Limited Apparatus and method for tailoring an etch profile
JP4574987B2 (en) * 2002-01-10 2010-11-04 東京エレクトロン株式会社 Processing equipment
JP4385086B2 (en) * 2003-03-14 2009-12-16 パナソニック株式会社 CVD apparatus cleaning apparatus and CVD apparatus cleaning method
JP4173389B2 (en) * 2003-03-19 2008-10-29 東京エレクトロン株式会社 Plasma processing equipment
US20040261712A1 (en) * 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
US20040211519A1 (en) * 2003-04-25 2004-10-28 Tokyo Electron Limited Plasma reactor
US20050066902A1 (en) * 2003-09-26 2005-03-31 Tokyo Electron Limited Method and apparatus for plasma processing
US20050145181A1 (en) * 2003-12-31 2005-07-07 Dickinson Colin J. Method and apparatus for high speed atomic layer deposition
US20080061034A1 (en) * 2006-09-08 2008-03-13 Jusung Engineering Co., Ltd. Etching apparatus and etching method using the same
TWI349720B (en) * 2007-05-30 2011-10-01 Ind Tech Res Inst A power-delivery mechanism and apparatus of plasma-enhanced chemical vapor deposition using the same
US8039052B2 (en) * 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
US20090120364A1 (en) * 2007-11-09 2009-05-14 Applied Materials, Inc. Gas mixing swirl insert assembly
US8273178B2 (en) * 2008-02-28 2012-09-25 Asm Genitech Korea Ltd. Thin film deposition apparatus and method of maintaining the same

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5599513A (en) * 1989-11-13 1997-02-04 Showa Denko K.K. Gas distribution plate for use with fluidized-bed gas-phase polymerizer
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5746875A (en) * 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6113734A (en) * 1997-01-09 2000-09-05 Samsung Electronics Co., Ltd. Apparatus for opening/closing a process chamber door of ovens used for manufacturing semiconductor devices
US6432259B1 (en) * 1999-12-14 2002-08-13 Applied Materials, Inc. Plasma reactor cooled ceiling with an array of thermally isolated plasma heated mini-gas distribution plates
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US20030037880A1 (en) * 2000-11-01 2003-02-27 Applied Materials, Inc. Dielectric etch chamber with expanded process window
US6474570B2 (en) * 2000-12-29 2002-11-05 Macronix International Co., Ltd. Flexible nozzle system for gas distribution plate of plasma reaction chamber
US20030111961A1 (en) * 2001-12-19 2003-06-19 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US20050109460A1 (en) * 2003-05-30 2005-05-26 Dedontney Jay B. Adjustable gas distribution system
US20050173569A1 (en) * 2004-02-05 2005-08-11 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing

Cited By (508)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8083891B2 (en) 2003-04-25 2011-12-27 Tokyo Electron Limited Plasma processing apparatus and the upper electrode unit
US20110030898A1 (en) * 2003-04-25 2011-02-10 Tokyo Electron Limited Plasma Processing Apparatus and the Upper Electrode Unit
US20090255631A1 (en) * 2003-04-25 2009-10-15 Tokyo Electron Limited Plasma Processing Apparatus and the Upper Electrode Unit
US20050241579A1 (en) * 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
US20060193102A1 (en) * 2005-01-28 2006-08-31 Kallol Bera Method and apparatus to confine plasma and to enhance flow conductance
US7618516B2 (en) * 2005-01-28 2009-11-17 Applied Materials, Inc. Method and apparatus to confine plasma and to enhance flow conductance
EP1975986A1 (en) * 2006-01-20 2008-10-01 Tokyo Electron Ltd. Plasma processing equipment
EP1975986A4 (en) * 2006-01-20 2013-09-11 Tokyo Electron Ltd Plasma processing equipment
US8925351B2 (en) * 2006-01-20 2015-01-06 Tokyo Electron Limited Manufacturing method of top plate of plasma processing apparatus
US20130292047A1 (en) * 2006-01-20 2013-11-07 Tokyo Electron Limited Manufacturing method of top plate of plasma processing apparatus
US8187415B2 (en) 2006-04-21 2012-05-29 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
US20070249173A1 (en) * 2006-04-21 2007-10-25 Applied Materials, Inc. Plasma etch process using etch uniformity control by using compositionally independent gas feed
US20070247075A1 (en) * 2006-04-21 2007-10-25 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
US20070254486A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process with separately fed carbon-lean and carbon-rich polymerizing etch gases in independent inner and outer gas injection zones
US20070251917A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content
US20070251918A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process using polymerizing etch gases with different etch and polymer-deposition rates in different radial gas injection zones with time modulation
US7431859B2 (en) * 2006-04-28 2008-10-07 Applied Materials, Inc. Plasma etch process using polymerizing etch gases with different etch and polymer-deposition rates in different radial gas injection zones with time modulation
US20070254483A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process using polymerizing etch gases and an inert diluent gas in independent gas injection zones to improve etch profile or etch rate uniformity
US7541292B2 (en) 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process with separately fed carbon-lean and carbon-rich polymerizing etch gases in independent inner and outer gas injection zones
US7540971B2 (en) 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content
US20090286405A1 (en) * 2006-06-13 2009-11-19 Tokyo Electron Limited Shower plate, and plasma processing apparatus, plasma processing method and electronic device manufacturing method using the shower plate
US20100230387A1 (en) * 2006-06-13 2010-09-16 Tokyo Electron Limited Shower Plate, Method for Manufacturing the Shower Plate, Plasma Processing Apparatus using the Shower Plate, Plasma Processing Method and Electronic Device Manufacturing Method
US8372200B2 (en) * 2006-06-13 2013-02-12 Tokyo Electron Ltd. Shower plate, method for manufacturing the shower plate, plasma processing apparatus using the shower plate, plasma processing method and electronic device manufacturing method
US20090311869A1 (en) * 2006-07-20 2009-12-17 Tokyo Electron Limited Shower plate and manufacturing method thereof, and plasma processing apparatus, plasma processing method and electronic device manufacturing method using the shower plate
US9767994B2 (en) 2006-10-23 2017-09-19 Tokyo Electron Limited Shower plate sintered integrally with gas release hole member and method for manufacturing the same
US20100178775A1 (en) * 2006-10-23 2010-07-15 Tokyo Electron Limited Shower plate sintered integrally with gas release hole member and method for manufacturing the same
US8915999B2 (en) * 2006-10-23 2014-12-23 Tokyo Electron Limited Shower plate sintered integrally with gas release hole member and method for manufacturing the same
US20080100222A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US8002946B2 (en) 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US9218944B2 (en) 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US10170280B2 (en) 2006-10-30 2019-01-01 Applied Materials, Inc. Plasma reactor having an array of plural individually controlled gas injectors arranged along a circular side wall
US20080099432A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Process for etching a transparent workpiece including backside endpoint detection steps
US20080102001A1 (en) * 2006-10-30 2008-05-01 Chandrachood Madhavi R Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US20080102202A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US20080099434A1 (en) * 2006-10-30 2008-05-01 Chandrachood Madhavi R Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US7967930B2 (en) 2006-10-30 2011-06-28 Applied Materials, Inc. Plasma reactor for processing a workpiece and having a tunable cathode
US7976671B2 (en) * 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US20080099450A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Mask etch plasma reactor with backside optical sensors and multiple frequency control of etch distribution
US8012366B2 (en) 2006-10-30 2011-09-06 Applied Materials, Inc. Process for etching a transparent workpiece including backside endpoint detection steps
US8017029B2 (en) 2006-10-30 2011-09-13 Applied Materials, Inc. Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US20080100223A1 (en) * 2006-10-30 2008-05-01 Richard Lewington Plasma reactor for processing a workpiece and having a tunable cathode
US9093483B2 (en) 2006-12-18 2015-07-28 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
TWI469694B (en) * 2006-12-18 2015-01-11 Lam Res Corp Showerhead electrode assembly with gas flow modification for extended electrode life
US8100082B2 (en) * 2007-05-18 2012-01-24 Tokyo Electron Limited Method and system for introducing process fluid through a chamber component
US8276540B2 (en) 2007-05-18 2012-10-02 Tokyo Electron Limited Method and system for introducing process fluid through a chamber component
US20080282979A1 (en) * 2007-05-18 2008-11-20 Tokyo Electron Limited Method and system for introducing process fluid through a chamber component
US20110042008A1 (en) * 2008-02-22 2011-02-24 Nu Eco Engineering Co., Ltd. Plasma generator
US20090246374A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited Gas distribution system and method for distributing process gas in a processing system
US8252114B2 (en) * 2008-03-28 2012-08-28 Tokyo Electron Limited Gas distribution system and method for distributing process gas in a processing system
TWI394200B (en) * 2008-06-04 2013-04-21 Tokyo Electron Ltd Method and system for introducing process fluid through a chamber component
US8152925B2 (en) * 2008-06-23 2012-04-10 Tokyo Electron Limited Baffle plate and substrate processing apparatus
US20090314432A1 (en) * 2008-06-23 2009-12-24 Tokyo Electron Limited Baffle plate and substrate processing apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
WO2010070240A1 (en) * 2008-12-19 2010-06-24 Alcatel Lucent Method for lowering the pressure in a charge-discharge lock and associated equipment
FR2940322A1 (en) * 2008-12-19 2010-06-25 Alcatel Lucent PRESSURE DESCENT METHOD IN LOADING AND UNLOADING SAS AND EQUIPMENT THEREFOR
US8852390B2 (en) 2009-03-30 2014-10-07 Tokyo Electron Limited Substrate processing apparatus
US10026596B2 (en) 2009-03-30 2018-07-17 Tokyo Electron Limited Substrate processing apparatus
US20100243167A1 (en) * 2009-03-30 2010-09-30 Tokyo Electron Limited Substrate processing apparatus
KR101661222B1 (en) * 2009-03-30 2016-09-29 도쿄엘렉트론가부시키가이샤 Substrate processing apparatus
KR20100109477A (en) * 2009-03-30 2010-10-08 도쿄엘렉트론가부시키가이샤 Substrate processing apparatus
US8623172B2 (en) * 2009-03-31 2014-01-07 Tokyo Electron Limited Gas flow path structure and substrate processing apparatus
US20100243166A1 (en) * 2009-03-31 2010-09-30 Tokyo Electron Limited Gas flow path structure and substrate processing apparatus
KR101486781B1 (en) 2009-03-31 2015-01-28 도쿄엘렉트론가부시키가이샤 Gas flow path structure and substrate processing apparatus
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US20120135145A1 (en) * 2009-07-08 2012-05-31 Sung Tae Je Substrate-processing apparatus and substrate-processing method for selectively inserting diffusion plates
US8771418B2 (en) * 2009-07-08 2014-07-08 Eugene Technology Co., Ltd. Substrate-processing apparatus and substrate-processing method for selectively inserting diffusion plates
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110220609A1 (en) * 2010-03-11 2011-09-15 Tokyo Electron Limited Plasma etching method and plasma etching apparatus
US20110226420A1 (en) * 2010-03-16 2011-09-22 Tokyo Electron Limited Electrode and plasma processing apparatus
US8920598B2 (en) * 2010-03-16 2014-12-30 Tokyo Electron Limited Electrode and plasma processing apparatus
US8858754B2 (en) * 2010-05-25 2014-10-14 Tokyo Electron Limited Plasma processing apparatus
CN102262999A (en) * 2010-05-25 2011-11-30 东京毅力科创株式会社 Plasma processing apparatus
US20110290419A1 (en) * 2010-05-25 2011-12-01 Tokyo Electron Limited Plasma processing apparatus
CN102420089A (en) * 2010-09-27 2012-04-18 东京毅力科创株式会社 Electrode plate for plasma etching and plasma etching apparatus
US20120100307A1 (en) * 2010-10-22 2012-04-26 Asm Japan K.K. Shower Plate Having Different Aperture Dimensions and/or Distributions
US8845806B2 (en) * 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
US20130276983A1 (en) * 2011-01-13 2013-10-24 Hitachi Kokusai Electric Inc. Injection member for manufacturing semiconductor device and plasma processing apparatus having the same
US10400333B2 (en) 2011-03-04 2019-09-03 Novellus Systems, Inc. Hybrid ceramic showerhead
US20120222815A1 (en) * 2011-03-04 2012-09-06 Mohamed Sabri Hybrid ceramic showerhead
US9441296B2 (en) * 2011-03-04 2016-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9460893B2 (en) * 2011-07-08 2016-10-04 Tokyo Electron Limited Substrate processing apparatus
US20130014895A1 (en) * 2011-07-08 2013-01-17 Tokyo Electron Limited Substrate processing apparatus
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
JP2016015496A (en) * 2011-10-07 2016-01-28 東京エレクトロン株式会社 Plasma processing device
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
WO2013070179A1 (en) * 2011-11-09 2013-05-16 Freeze Brent Method and apparatus for compressing plasma to a high energy state
WO2013081843A1 (en) * 2011-11-29 2013-06-06 Lam Research Corporation Gas feed insert in a plasma processing chamber and methods therefor
KR101958510B1 (en) * 2011-11-29 2019-03-14 램 리써치 코포레이션 Gas feed insert in a plasma processing chamber and methods therefor
US9111731B2 (en) 2011-11-29 2015-08-18 Lam Research Corporation Gas feed insert in a plasma processing chamber and methods therefor
CN104040028A (en) * 2011-11-29 2014-09-10 朗姆研究公司 Gas feed insert in a plasma processing chamber and methods therefor
KR20140097504A (en) * 2011-11-29 2014-08-06 램 리써치 코포레이션 Gas feed insert in a plasma processing chamber and methods therefor
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US10763138B2 (en) * 2012-04-30 2020-09-01 Semes Co., Ltd. Adjustment plate and apparatus for treating substrate having the same
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
CN102797012A (en) * 2012-07-27 2012-11-28 京东方科技集团股份有限公司 Etching equipment and upper part electrode thereof
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
CN103871818A (en) * 2012-12-17 2014-06-18 世界中心科技股份有限公司 Upper electrode of dry etching chamber and method for manufacturing same
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US11804366B2 (en) * 2014-06-19 2023-10-31 Tokyo Electron Limited Plasma processing apparatus
US11101114B2 (en) * 2014-06-19 2021-08-24 Tokyo Electron Limited Plasma processing apparatus
US20210375597A1 (en) * 2014-06-19 2021-12-02 Tokyo Electron Limited Plasma processing apparatus
US20170092513A1 (en) * 2014-06-19 2017-03-30 Tokyo Electron Limited Plasma processing apparatus
TWI645066B (en) * 2014-06-19 2018-12-21 日商東京威力科創股份有限公司 Plasma processing device
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US10490429B2 (en) * 2014-11-26 2019-11-26 Applied Materials, Inc. Substrate carrier using a proportional thermal fluid delivery system
US11615973B2 (en) 2014-11-26 2023-03-28 Applied Materials, Inc. Substrate carrier using a proportional thermal fluid delivery system
US20160148822A1 (en) * 2014-11-26 2016-05-26 Phillip Criminale Substrate carrier using a proportional thermal fluid delivery system
US10276405B2 (en) * 2014-12-16 2019-04-30 Tokyo Electron Limited Plasma processing apparatus
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10494717B2 (en) 2015-05-26 2019-12-03 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11769652B2 (en) * 2018-07-31 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Devices and methods for controlling wafer uniformity in plasma-based process
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
WO2020082551A1 (en) * 2018-10-23 2020-04-30 山东大学 Precisely adjustable dielectric barrier discharge device and method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11043389B2 (en) * 2019-05-22 2021-06-22 Tokyo Electron Limited Substrate processing method
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US20220275514A1 (en) * 2019-07-02 2022-09-01 Jusung Engineering Co., Ltd. Substrate processing apparatus
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11923190B2 (en) 2020-08-07 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11923181B2 (en) 2020-11-23 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2020-11-24 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Also Published As

Publication number Publication date
US8083891B2 (en) 2011-12-27
US20110030898A1 (en) 2011-02-10
US20090255631A1 (en) 2009-10-15

Similar Documents

Publication Publication Date Title
US8083891B2 (en) Plasma processing apparatus and the upper electrode unit
US11804366B2 (en) Plasma processing apparatus
JP7425109B2 (en) Gas supply system and gas supply method
KR101731003B1 (en) Plasma processing apparatus
EP2390897B1 (en) Plasma processing apparatus
KR101913889B1 (en) Method for plasma etching and plasma etching device
JP6877133B2 (en) Plasma processing equipment and plasma processing method
JP2018120881A (en) Vacuum processing apparatus
US20170278730A1 (en) Plasma processing apparatus and plasma processing method
KR102621517B1 (en) Substrate processing apparatus
KR20160009542A (en) Plasma etching device and plasma etching method
JP6523714B2 (en) Plasma processing system
US20180061619A1 (en) Plasma processing apparatus
JP2019145721A (en) Vacuum processing apparatus
JP5004614B2 (en) Vacuum processing equipment
JP2011049567A (en) Separable electrode, plasma processing device using the electrode, and electrode replacement method
US20100164315A1 (en) Processing apparatus
JP4399219B2 (en) Plasma processing apparatus and upper electrode unit
US20120135164A1 (en) Plasma processing apparatus and plasma processing method
JP5661513B2 (en) Plasma processing equipment
KR101117922B1 (en) Electrode structure and substrate processing apparatus
US11705346B2 (en) Substrate processing apparatus
TWI806606B (en) Plasma treatment device
JP2016219578A (en) Plasma processing apparatus

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HAYASHI, DAISUKE;NAGASEKI, KAZUYA;SATO, TETSUJI;REEL/FRAME:015746/0825;SIGNING DATES FROM 20040819 TO 20040823

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION