US20120196450A1 - Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ uv cure - Google Patents

Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ uv cure Download PDF

Info

Publication number
US20120196450A1
US20120196450A1 US13/365,226 US201213365226A US2012196450A1 US 20120196450 A1 US20120196450 A1 US 20120196450A1 US 201213365226 A US201213365226 A US 201213365226A US 2012196450 A1 US2012196450 A1 US 2012196450A1
Authority
US
United States
Prior art keywords
film
deposition
deposited
stress
silicon nitride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/365,226
Inventor
Mihaela Balseanu
Victor Nguyen
Li-Qun Xia
Derek R. Witty
Hichem M'Saad
Mei-Yee Shek
Isabelita Roflox
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/400,275 external-priority patent/US8129290B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US13/365,226 priority Critical patent/US20120196450A1/en
Publication of US20120196450A1 publication Critical patent/US20120196450A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/66583Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with initial gate mask or masking layer complementary to the prospective gate location, e.g. with dummy source and drain contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Stress of a silicon nitride layer may be enhanced by deposition at higher temperatures. Employing an apparatus that allows heating of a substrate to substantially greater than 400° C. (for example a heater made from ceramic rather than aluminum), the silicon nitride film as-deposited may exhibit enhanced stress allowing for improved performance of the underlying MOS transistor device. In accordance with some embodiments, a deposited silicon nitride film is exposed to curing with plasma and ultraviolet (UV) radiation, thereby helping remove hydrogen from the film and increasing film stress. In accordance with other embodiments, a silicon nitride film is formed utilizing an integrated process employing a number of deposition/curing cycles to preserve integrity of the film at the sharp corner of the underlying raised feature. Adhesion between successive layers may be promoted by inclusion of a post-UV cure plasma treatment in each cycle.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application is a divisional of U.S. application Ser. No. 11/762,590, filed Jun. 13, 2007, which claims priority to U.S. Provisional Application No. 60/805,324, filed Jun. 20, 2006, the disclosures of which are incorporated herein by reference in their entirety for all purposes. U.S. application Ser. No. 11/762,590, filed Jun. 13, 2007, is a continuation-in-part of U.S. application Ser. No. 11/400,275, filed Apr. 7, 2006, which claims priority to U.S. Provisional Application Nos. 60/685,365, filed on May 26, 2005 and 60/701,854, filed on Jul. 21, 2005, the disclosures of which are incorporated herein by reference in their entirety for all purposes. The present application is also related to U.S. application Ser. Nos. 11/398,146, filed Apr. 5, 2006, and 11/398,436, also filed Apr. 5, 2006, the disclosures of which are incorporated herein by reference in their entirety for all purposes.
  • BACKGROUND OF THE INVENTION
  • In the processing of a substrate to fabricate circuits and displays, the substrate is typically exposed to an energized process gas capable of depositing or etching material on the substrate. In chemical vapor deposition (CVD) processes, process gas energized by a high frequency voltage or microwave energy is used to deposit material on the substrate, which may be a layer, a filling of contact holes, or other selective deposition structures. The deposited layer can be etched or otherwise processed to form active and passive devices on the substrate, such as for example, metal-oxide-semiconductor field effect transistors (MOSFETs) and other devices. A MOSFET typically has a source region, a drain region, and a channel region between the source and drain. In the MOSFET device, a gate electrode is formed above and separated from the channel by a gate dielectric to control conduction between the source and drain.
  • The performance of such devices can be improved by, for example, reducing supply voltage, gate dielectric thickness, or channel length. However, such conventional methods face mounting problems as the size and spacing of the devices become ever smaller. For example, at very small channel lengths, the advantages of reducing channel length to increase the number of transistors per unit area and saturation current are offset by undesirable carrier velocity saturation effects. Similar benefits which are obtained from reducing gate dielectric thickness, such as decreased gate delay, are limited in small devices by increased gate leakage current and charge tunneling through the dielectric which can damage the transistor over time. Reducing supply voltage allows lower operating power levels but such reductions are also limited by the threshold voltage of the transistor.
  • In a relatively newly developed method of enhancing transistor performance, the atomic lattice of a deposited material is stressed to improve the electrical properties of the material itself, or of underlying or overlying material that is strained by the force applied by a stressed deposited material. Lattice strain can increase the carrier mobility of semiconductors, such as silicon, thereby increasing the saturation current of the doped silicon transistors to thereby improve their performance. For example, localized lattice strain can be induced in the channel region of the transistor by the deposition of component materials of the transistor which have internal compressive or tensile stresses. For example, silicon nitride materials used as etch stop materials and spacers for the silicide materials of a gate electrode can be deposited as stressed materials which induce a strain in the channel region of a transistor. The type of stress desirable in the deposited material depends upon the nature of the material being stressed. For example, in CMOS device fabrication, negative-channel (NMOS) doped regions are covered with a tensile stressed material having positive tensile stress; whereas positive channel MOS (PMOS) doped regions are covered with a compressive stressed material having negative stress values.
  • Thus, it is desirable to form stressed materials that have predetermined types of stresses, such as tensile or compressive stresses. It is further desirable to control the level of stress generated in the deposited material. It is also desirable to deposit such stressed materials to generate uniform localized stresses or strains in the substrate. It is also desirable to have a process that can form stressed materials over active or passive devices on the substrate without damaging the devices. It is still further desirable that the deposited films be highly conformal to underlying topography.
  • Moreover, as device geometries of integrated circuits and transistors have decreased, the gate drive current required by the transistors has increased. A gate drive current of a transistor increases as its gate capacitance increases, and the gate capacitance of a transistor is equal to k*A/d, where k is the dielectric constant of the gate dielectric (which is usually silicon oxide), d is the dielectric thickness, and A is the gate contact area. Thus, decreasing the dielectric thickness and increasing the dielectric constant of the gate dielectric are two ways of increasing the gate capacitance and the drive current.
  • Attempts have been made to reduce the thickness of dielectrics, such as reducing the thickness of silicon dioxide (SiO2) dielectrics to below 20 Å. However, the use of SiO2 dielectrics with thicknesses below 20 Å often results in undesirable performance and decreased durability. Nitridation of the SiO2 layer has been employed as a way to reduce the thickness of the SiO2 dielectric layer to below 20 Å.
  • Forming dielectric layers on a substrate by chemical reaction of gases is one of the primary steps in the fabrication of modern semiconductor devices. These deposition processes are referred to as chemical vapor deposition (CVD). Plasma enhanced chemical vapor deposition (PECVD) uses plasma in combination with traditional CVD techniques.
  • CVD and PECVD processes help form vertical and horizontal interconnects. Damascene or dual damascene methods involve the deposition and patterning of one or more material layers. In the damascene method, the low k dielectric (i.e., having a dielectric constant (k) of less than 4.0) or other dielectric materials are deposited and pattern etched to form vertical interconnects, also known as vias, and horizontal interconnects, also known as lines.
  • However, when low k materials are used in damascene formation, it is difficult to produce features with little or no surface defects or feature deformation. During deposition, the material may overloaf, that is, deposit excess material on the shoulders of a via and deposit too little material in the base of the via, forming a shape that looks like the side of a loaf of bread. The phenomena is also known as footing because the base of the via has a profile that looks like a foot. In extreme cases, the shoulders of a via may merge to form a joined, sealed surface across the top of the via. The film thickness non-uniformity across the wafer can negatively impact the drive current improvement from one device to another. Modulating the process parameters alone does not significantly improve the step coverage and pattern loading problems.
  • Therefore, a need exists in the art for a deposition method useful for semiconductor processing, which provides a conformal film over formed features.
  • BRIEF SUMMARY OF THE INVENTION
  • Stress of a silicon nitride layer may be enhanced by deposition at higher temperatures. Employing an apparatus that allows heating of a substrate to substantially greater than 400° C. (for example a heater made from ceramic rather than aluminum), the silicon nitride film as-deposited may exhibit enhanced stress allowing for improved performance of the underlying MOS transistor device. In accordance with some embodiments, a deposited silicon nitride film is exposed to curing with plasma and ultraviolet (UV) radiation, thereby helping remove hydrogen from the film and increasing film stress. In accordance with still other embodiments, a silicon nitride film is formed utilizing an integrated process employing a number of deposition/curing cycles to preserve integrity of the film at the sharp corner of the underlying raised feature. Adhesion between successive layers may be promoted by inclusion of a post-UV cure plasma treatment in each cycle.
  • A further understanding of the objects and advantages of the present invention can be made by way of reference to the ensuing detailed description taken in conjunction with the accompanying drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a simplified cross-sectional view of a substrate showing a partial view of a transistor structure with an overlying deposited tensile stressed silicon nitride material;
  • FIG. 2 plots compressive stress and refractive index for CVD SiN films formed under a number of different process conditions;
  • FIG. 3 shows FT-IR spectra for CVD SiN films formed under a number of different process conditions;
  • FIG. 4A plots compressive stress and refractive index for CVD SiN films deposited with different silane flow rates;
  • FIG. 4B plots compressive stress and refractive index for CVD SiN films deposited with different faceplate-to-wafer spacings;
  • FIG. 4C plots compressive stress and refractive index for CVD SiN films deposited with different hydrogen gas flow rates;
  • FIG. 4D plots compressive stress and refractive index for CVD SiN films deposited at different applied power levels;
  • FIG. 5A plots compressive stress and refractive index for CVD SiN films deposited with and without hydrogen gas;
  • FIG. 5B plots compressive stress and refractive index for CVD SiN films deposited at different powers and at different temperatures;
  • FIG. 6 is a graph showing measured tensile stresses for increasing power level of the high RF voltage and different nitrogen plasma treatment process cycles;
  • FIG. 7 is a graph showing the tensile stress values and refractive indices obtained for layers deposited under different deposition and nitrogen plasma treatment process cycles;
  • FIG. 8 is a graph showing the change in tensile stress values of deposited materials with N2 plasma treatment time;
  • FIG. 9 is a graph showing the effect of N2 plasma treatment time on the tensile stress value for processes having different purge and pump cycles;
  • FIG. 10 plots film tensile film stress versus throughput for CVD SiN films formed with different dep/treat cycles;
  • FIG. 11A shows FT-IR spectra for a CVD SiN film resulting from deposition at 400° C. under the various cycle and times shown in Table IV.
  • FIG. 11B plots the ratio of N—H:Si—N bonds of the CVD SiN films resulting from deposition under the various cycle conditions shown in Table IV.
  • FIGS. 12A-D plotting film stress of a CVD SiN film formed at 450° C. under a variety of different process conditions.
  • FIG. 13A charts tensile stress and plots reduction in hydrogen content for CVD SiN films exposed to post-deposition treatment with plasma formed from gases containing different levels of Argon, at 400° C.;
  • FIG. 13B plots tensile stress, H content, and FT-IR spectrum peak area for N—H and Si—H bonds, for the CVD SiN films deposited in FIG. 13A;
  • FIG. 13C charts tensile stress and plots reduction in hydrogen content for CVD SiN films exposed to post-deposition treatment with an Ar plasma at different power levels;
  • FIG. 13D plots tensile stress, H content, and FT-IR spectrum peak area for N—H and Si—H bonds, for the CVD SiN films deposited in FIG. 13C;
  • FIG. 13E charts tensile stress and plots reduction in hydrogen content for CVD SiN films exposed to post-deposition treatment with plasma formed from gases containing different levels of Argon, at 550° C.;
  • FIG. 13F plots tensile stress, H content, and FT-IR spectrum peak area for N—H and Si—H bonds, for the CVD SiN films deposited in FIG. 13F;
  • FIG. 14 plots film thickness versus number of dep/treatment cycles, for CVD SiN films deposited with and without Ar plasma cleaning between each cycle;
  • FIG. 15 plots film thickness versus number of dep/treatment cycles for, CVD SiN films deposited without Ar plasma cleaning between each cycle;
  • FIG. 16 plots film thickness versus number of dep/treatment cycles, for CVD SiN films formed under a number of conditions between successive cycles;
  • FIG. 17 plots films thickness versus number of dep/treatment cycles, for CVD SiN films formed under a number of conditions between successive cycles;
  • FIG. 18 is a schematic view of an exposure chamber suitable for exposing a silicon nitride material to a suitable energy beam source;
  • FIG. 19 is a bar graph showing the change in tensile stress values of material deposited at different process conditions (A, and B) for increasing ultraviolet radiation exposure time;
  • FIG. 20 is a graph showing a Fourier Transformed Infrared (FT-IR) spectrum of a stressed silicon nitride material in the as-deposited state (as dep. —continuous line), and after treatment with ultraviolet radiation (treated film—dashed line);
  • FIGS. 21A to 21E are graphs showing the increase in tensile stress of deposited silicon nitride materials with time of ultraviolet radiation exposure, and in FIG. 21A, to both single wavelength (Treatment 1) and broadband (Treatment 2) ultraviolet exposure;
  • FIG. 22A plots tensile stress and shrinkage versus deposition temperature for CVD SiN films exposed to post-deposition treatment with UV radiation;
  • FIG. 22B plots total H content, and the ratio of FT-IR spectrum peak areas of Si—H and N—H bonds, for the CVD SiN films of FIG. 22A;
  • FIG. 23 shows FT-IR spectra of a CVD SiN film as-deposited, and after treatment with UV radiation;
  • FIG. 24 shows FT-IR spectra of CVD SiN films exposed to post-deposition treatment plasma as generated from different gas mixtures;
  • FIGS. 25A-D are cross-sectional electron micrographs showing conformality of CVD SiN films formed under different processing conditions;
  • FIGS. 26A-B are enlarged cross-sectional electron micrographs showing morphology of CVD SiN films formed under different processing conditions;
  • FIG. 27 plots the rate of deposition of material versus exposure dose.
  • FIG. 28A plots deposition rate versus exposure dose. FIG. 28B shows a cross-sectional micrograph showing a feature bearing a layer deposited after a SiH4 exposure dose of 500 mT*s.
  • FIGS. 29A-H are cross-sectional electron micrographs showing morphology of CVD SiN films formed under different processing conditions;
  • FIG. 30 is a schematic view of an embodiment of a substrate processing chamber that is a PECVD deposition chamber;
  • FIG. 31 is a simplified cross-sectional view of a conventional higher pressure processing chamber, and a chamber modified in accordance with an embodiment of the instant invention to operate at lower pressures;
  • FIG. 32 is a perspective view of the modified chamber shown in cross-sectional in FIG. 31.
  • FIG. 33 is a bar graph showing deposited and post annealed particle performance with the addition of an oxide layer.
  • FIGS. 34A-C are graphs showing deposited and post annealed particle performance when an increased initiation layer is used.
  • FIG. 35 is a bar graph showing deposited and post annealed particle performance when an oxide layer is used in combination with an increased initiation layer.
  • FIG. 36 is a bar graph showing deposited and post annealed particle performance when various approaches are used to improve compressive stress reliability.
  • FIG. 37A is a drawing highlighting the Fresnel Principle.
  • FIG. 37B is a cross sectional micrograph illustrating the Fresnel Principle.
  • FIG. 38A-B are graphs showing the Brewster angle theory.
  • FIGS. 39A-M illustrate simplified cross-sectional views of an integration process flow employing stress from a plurality of sources to enhance device performance.
  • FIG. 40 is graph showing the response of stressed nitride films when used with Rapid Thermal Processing versus the composition (Si—H/N—H) and total hydrogen content of the film.
  • FIG. 41 is graph showing a higher etch rate on isolated areas for a post deposition NF3 etch-back process.
  • FIG. 42 is an electron micrograph showing profile changes and Pattern Loading Effect (PLE) improvement after an NF3 etch-back process in accordance with an embodiment of the present invention.
  • FIG. 43 shows cleavage of bonds in excited electronic states and formation of new strained silicon nitride.
  • FIG. 44 plots energy change versus % increase in bond length, in the excited and ground state. FIG. 44A is an enlarged view of a portion of the plot of FIG. 44.
  • FIG. 45A shows a chain-like cluster modeling hydrogenated SiN.
  • FIG. 45B shows a ring cluster modeling hydrogenated SiN.
  • FIG. 46 compares calculated US observed bank gaps for silicon oxide and silicon nitride.
  • FIG. 47 plots energy change versus N—H bond length in a chain-like cluster.
  • FIG. 48A plots energy change versus S—N bond length at different states.
  • FIG. 48B plots energy change versus Si—H bond length at different states.
  • FIG. 49A plots energy change versus bond length for a larger stretched N—H bond in a ring cluster.
  • FIG. 49B plots energy change versus bond length for a larger stretched Si—H bond in a ring cluster.
  • FIG. 50A plots energy change versus Si—N bond length in different states for a chain cluster.
  • FIG. 50B plots energy change versus bond length for a larger stretched Si—H bond in a ring cluster.
  • FIG. 51 plots % reduction of Si—H and N—H content, and increase in Si—N content over time of a UV cure of a film.
  • FIG. 52A shows dissociation of a Si—N bond in a chain cluster.
  • FIG. 52B shows dissociation of a Si—N bond in a ring cluster.
  • FIG. 52C shows restoration of SiN bond between ring clusters in SiN bulk material.
  • FIG. 53A shows interaction of bulk SiN material with UV radiation to release atomic H.
  • FIG. 53B shows reaction of H with bulk SiN material to release molecular hydrogen gas.
  • FIG. 53C shows abstraction of H by bulk SiN material.
  • FIG. 54 is a simplified schematic diagram showing deposition of silicon nitride under different conditions.
  • FIG. 55A is a bar chart of stress for nitride films deposited under different conditions.
  • FIG. 55B shows FTIR absorbance spectra of the nitride films deposited in FIG. 55A.
  • FIG. 56A are bar charts showing various characteristics of the nitride films deposited in FIG. 55A.
  • FIG. 57 plots stress versus deposition temperature exhibited by nitride films deposited under different conditions.
  • FIG. 58 plots atomic hydrogen concentration versus depth into a silicon nitride film formed over a silicon substrate.
  • FIGS. 59A-B plot various characteristics of silicon nitride films deposited under different conditions.
  • FIG. 60 plots stress and refractive index of silicon nitride films deposited at different temperatures.
  • FIGS. 61A-B are bar charts of stress and deposition rate of silicon nitride films formed under various conditions.
  • FIGS. 62A-C are bar charts of various properties of silicon nitride films deposited under different conditions.
  • FIGS. 63A-B plot stress and shrinkage respectively, of silicon nitride films formed under different conditions.
  • FIG. 64A is an electron micrograph of a densely patterned structure bearing a deposited silicon nitride film. FIG. 64AB is a bar chart of stress of films formed over densely patterned features under different conditions.
  • FIG. 64B is an electron micrograph of an isolated feature bearing a deposited silicon nitride film. FIG. 64BA is a bar chart of stress of films formed over isolated features under different conditions.
  • FIGS. 65A-B are bar charts showing hydrogen content and wet etch rate ratio (WERR) of silicon nitride films formed under various conditions.
  • FIGS. 66A-B are electron micrographs of features bearing silicon nitride films before and after UV curing, respectively.
  • FIG. 67A is a simplified schematic diagram showing stress of an NMOS structure. FIG. 67B is a simplified cross-sectional view of an NMOS gate experiencing stress.
  • FIGS. 68A-F are electron micrographs showing silicon nitride films formed under different conditions over dense and isolated structures.
  • FIGS. 69A-C are electron micrographs showing the corners of raised features bearing silicon nitride films formed under different conditions.
  • FIGS. 70A-F are electron micrographs showing silicon nitride films formed over raised features under different conditions.
  • FIGS. 71A-B are bar charts showing thickness and stress, respectively, of silicon nitride films formed under different conditions.
  • FIG. 72 plots FTIR spectra of silicon nitride films formed under different conditions.
  • FIGS. 73A-B show electron micrographs of raised features bearing silicon nitride films formed under different conditions.
  • FIGS. 74A-C are electron micrographs of silicon nitride films formed under different conditions over isolated features.
  • FIGS. 75A-C are electron micrographs of silicon nitride films formed under different conditions over densely patterned features.
  • FIG. 76 plots hydrogen concentration versus depth into a silicon nitride film formed under different conditions.
  • FIGS. 77A-B plot stress versus cure time for silicon nitride films exposed to different UV curing conditions.
  • FIG. 78A plots atomic concentration of different elements versus depth into a silicon nitride film.
  • FIG. 78B is a bar chart of stress of silicon nitride films formed under different conditions.
  • FIG. 79A is a simplified schematic diagram of an embodiment of an apparatus in accordance with the present invention which may be used to form a stressed silicon nitride film.
  • FIG. 79B is a screen shot showing of a sequence of steps employed by the tool of FIG. 79A.
  • FIG. 80 plots density and wet etch rate of a deposited SiN film as a function of deposition temperature.
  • FIG. 81 is a schematic diagram illustrating the effect of adding dopant to the chemistry for depositing silicon nitride.
  • FIG. 82 is a flow chart of one embodiment of a deposition process.
  • FIG. 83 is a flow chart of an additional embodiment of a deposition process.
  • FIG. 84 is a graph depicting the effect of one embodiment on the post-UV cure wet etch rate (WER) and stress.
  • FIG. 85 is a graph plotting relative hydrogen content versus film stress and shrinkage.
  • DETAILED DESCRIPTION OF THE INVENTION
  • A plurality of techniques may be employed alone or in combination, to enhance conformality and stress in a film formed by chemical vapor deposition (CVD). Embodiments in accordance with the present invention are particularly suited for forming conformal layers exhibiting tensile or compressive stress which impose strain on an underlying silicon lattice.
  • In one exemplary application, the tensile or compressive stressed silicon nitride material is formed on a substrate 32 or workpiece in the fabrication of a MOSFET structure 392—which is illustrated in the simplified cross-sectional diagram of FIG. 1. The relatively high internal stress of the deposited and treated silicon nitride material 20 induces a strain in a channel region 28 of the transistor 24. The induced strain improves carrier mobility in the channel region 28 which improves transistor performance, such as for example, by increasing the saturation current of the transistor 24. The silicon nitride material 20 can also have other uses within the MOSFET 24, for example, as an etch stop material. The highly stressed silicon nitride material 20 is also useful in other structures, such as other transistors including without limitation, bipolar junction transistors, capacitors, sensors, and actuators. The substrate can be a silicon wafer or can be made from other materials such as germanium, silicon germanium, gallium arsenide and combinations thereof. The substrate or workpiece 32 can also be a dielectric, such as glass, which is used in the fabrication of displays.
  • The transistor 24 illustrated in FIG. 1 is a negative channel, or n-channel, MOSFET (NMOS) having source and drain regions 36, 40 that are formed by doping the substrate 32 with a Group VA element to form an n-type semiconductor. In the NMOS transistor, the substrate or workpiece 32 outside of the source and drain regions 36, 40 is typically doped with a Group IIIA element to form a p-type semiconductor. For the NMOS channel regions, the overlying stressed silicon nitride material is fabricated to have a tensile stress.
  • In another version, the MOSFET transistor 24 comprises a positive channel or p-channel MOSFET (PMOS), (not shown) which has source and drain regions that are formed by doping the substrate with a Group IIIA element to form a p-type semiconductor. In a PMOS transistor, the transistor 24 may comprise a substrate or workpiece 32 comprising an n-type semiconductor or may have a well region (not shown) comprising an n-type semiconductor formed on a substrate or workpiece 32 comprising a p-type semiconductor. The PMOS channel regions are covered with a compressive stressed silicon nitride.
  • In the version shown, the transistor 24 comprises a trench 44 to provide isolation between transistors 24 or groups of transistors 24 on the substrate 32, a technique known as shallow trench isolation. The trench 44 is typically formed prior to the source and drain regions 36, 40 by an etch process. A trench side wall liner material (not shown) may be formed in the trench 44 by, for example, a rapid thermal oxidation in an oxide/oxynitride atmosphere, which may also round sharp corners on the trench 44 (and elsewhere). In one version, the trench 44 may be filled with material 46 having a tensile stress, which can also be used to provide a tensile stress to the channel region 28. The deposition of the trench material 46 which may include the use of a High Aspect Ratio Process (HARP), which may include using an O3/tetraethoxy silane (TEOS) based sub-atmospheric chemical vapor deposition (SACVD) process. Excess trench material 46 may be removed by, for example, chemical mechanical polishing.
  • The transistor comprises a gate oxide material 48 and a gate electrode 52 on top of the channel region 28 between the source and drain regions 36, 40. In the version shown, the transistor 24 also comprises silicide materials 56 on top of the source and drain regions 36, 40 as well as the gate electrode 52. The silicide materials 56 are highly conductive compared to the underlying source and drain regions 36, 40 and gate electrode 52, and facilitate the transfer of electric signals to and from the transistor 24 through metal contacts 54. Depending on the materials and formation processes used, the silicide materials 56 may also comprise a tensile stress and produce tensile strain in the channel region 28. The transistor shown also comprises spacers 60 and oxide-pad materials 64 which may be located on opposite sidewalls 68 of the gate electrode 52 to keep the silicide materials 56 separated during a silicidation process to form the silicide materials 56. During silicidation, a continuous metal material (not shown) is deposited over the oxide-containing source and drain regions 36, 40 and gate electrode 52, as well as the nitride containing spacers 60. The metal reacts with the underlying silicon in the source and drain regions 36, 40 and gate electrode 52 to form metal-silicon alloy silicide materials, but are less reactive with the nitride materials in spacers 60. Thus, the spacers 60 allow the overlying, unreacted metal to be etched away while not affecting the metal alloy in silicide materials 56.
  • The length of the channel region 28 is shorter than the length of the gate oxide material 48. The length of the channel region 28 measured between the edges of the source region 36 and the drain region 40 may be about 90 nm or less, for example, from about 90 nm to about 10 nm. As the length of channel region 28 gets smaller, implants 72, also known as halos, may be counter-doped into the channel region 28 to prevent charge carriers from uncontrollably hopping from the source region 36 to the drain region 40 and vice versa.
  • In the version shown in FIG. 1, the silicon nitride material 20 is formed above the silicide materials 56. The silicon nitride material 20 typically acts as a contact-etch stop material as well as providing strain to the channel region 28. The silicon nitride material 20 is capable of being deposited to have a stress values ranging from compressive to tensile stresses. Selection of stress in the silicon nitride material 20 selects the type of strain provided to the channel region 28 of the transistor 24.
  • As just described, film stress and conformality are two key characteristics of a film that is designed to impose strain on an underlying silicon lattice. Incorporated by reference herein for all purposes is U.S. nonprovisional patent application Ser. No. 11/055,936, filed Feb. 11, 2005 and entitled “TENSILE AND COMPRESSIVE STRESSED MATERIALS FOR SEMICONDUCTORS”. This previously-filed patent application describes a number of techniques which may be employed to control stress of a deposited film.
  • The instant provisional application describes additional techniques for controlling stress and conformality of a film formed by chemical vapor deposition (CVD). It has been discovered that both types of stress, namely tensile or compressive, and the stress value of the deposited silicon nitride stressed material can be set in the deposited material by controlling processing parameters or by treating the deposited material, as described below. The processing parameters are described separately or in particular combinations; however, the invention should not be limited to the exemplary separate or combinations described herein, but may include other separate or combinations of parameters as would be apparent to one of ordinary skill in the art.
  • The following sections address controlling over compressive film stress, tensile film stress, and film conformality, respectively.
  • I. Compressive Stressed Materials
  • Deposition process and treatment conditions can be tailored to deposit a compressive stressed material on the substrate or to treat a material during or after deposition to increase its compressive stress value. Without being limited by the explanation, it has been discovered that a silicon nitride stressed material having higher compressive stress values can be obtained by increasing the RF bombardment to achieve higher film density by having more Si—N bonds in the deposited material and reducing the density of Si—H and N—H bonds. Higher deposition temperatures and RF power improved the compressive stress levels of the deposited film. In addition, higher compressive stresses levels were obtained in the deposited material at higher kinetic energy levels of plasma species. It is believed that bombardment of energetic plasma species, such as plasma ions and neutrals, generates compressive stresses in the deposited material because film density increases.
  • The process gas used to deposit compressive stressed silicon nitride includes the silicon-containing and nitrogen-containing gases described below in connection with the formation of tensile stressed materials. Also the general deposition process conditions, such as radio frequency type and power levels, gas flow rates and pressure, substrate temperature and other such process are about the same as those used for the deposition of tensile stressed materials, unless otherwise specified.
  • To deposit a compressive stressed silicon nitride material, the process gas introduced into the chamber comprises a first component that includes a silicon-containing gas, a second component that includes a nitrogen-containing gas, and a third component containing carbon, boron, or germanium. The silicon-containing compound can be, for example, silane, disilane, trimethylsilyl (TMS), tris(dimethylamino)silane (TDMAS), bis(tertiary-butylamino)silane (BTBAS), dichlorosilane (DCS), and combinations thereof. The carbon-containing compound can be, in addition to the compounds mentioned above, ethylene (C2H2), propylene (C3H6), toluene (C7H8), and combinations thereof. The boron and germanium containing compounds can be diborane (B2H6), boron chlorides (B2C14), and germane (GeH4), respectively. For example, a suitable silane flow rate is from about 10 to about 200 sccm. The nitrogen-containing gas can be, for example, ammonia, nitrogen, and combinations thereof. A suitable ammonia flow rate is from about 50 to about 600 sccm. The process gas can also include a diluent gas that is provided in a much larger volume than the reactive gas components. The diluent gas can also serve both as a diluent and at least partially as a reactant nitrogen-containing gas, for example, nitrogen in a flow rate of from about 500 to about 20,000 sccm. Other gases that can be included can be inert gases, such as for example, helium or argon or Xenon, in a flow rate of from about 100 to about 5,000 sccm. The process gas may also contain additional gases such as an oxygen-containing gas, for example, oxygen, when depositing silicon oxy-nitride materials. Unless otherwise specified, in these processes, the electrode power level is typically maintained at from about 100 to about 400 Watts; electrode spacing is from about 5 mm (200 mils) to about 12 mm (600 mils); process gas pressure is from about 1 Torr to about 4 Ton; and substrate temperature is from about 300 to about 600° C.
  • It has been discovered that the introduction of H2 gas into the deposition chemistry may substantially increase compressive stress in the resulting films that are formed. Table I below lists three separate conditions for deposition of silicon nitride films.
  • TABLE I
    FILM # SiH4 (sccm) NH3 (sccm) N2 (L) Ar (L) H2 (L)
    1 60 30 1 3 0
    2 60 30 1 3 1
    3 60 30 0 3 1
  • FIG. 2 plots film stress and refractive index for SiN films deposited under the three separate deposition conditions listed above in Table I. FIG. 2 shows the effect of adding H2 upon the compressive stress exhibited by the resulting film. FIG. 2 shows that the highest compressive stress is achieved when the SiH4/NH3 ratio is optimized for given H2 and Ar flows, with an N2/Ar/H2 ratio of 0/3/1.
  • FIG. 3 plots FT-IR absorption spectra for silicon nitride film #'s 1 and 3 listed above in Table I. The FT-IR spectrum for CVD SiN film #3 may fairly be contrasted with that of CVD SiN film #1. The spectra of FIG. 2 indicate that the nitride film #3 deposited in the presence of hydrogen gas, exhibits an increase in intensity at about wavenumber 3330 cm−1. This region of the spectrum corresponds to N—H deformation characteristic of tensile stress, indicating an increase in compressive stress.
  • Within given relative ratios of process gases, other parameters can be varied to further enhance compressive stress. For example, SiN films were deposited by CVD at the 0/3/1 N2/Ar/H2 flow rate ratio just described, under different flow rates of SiH4 and NH3. These experiments revealed a center point of maximum compressive stress (G˜−2.8 GPa) with a SiH4 flow rate of 60 sccm, and a NH3 flow rate of 150 sccm.
  • FIGS. 4A-D indicate that the level of compressive stress in the deposited film can be further enhanced to above about −2.8 GPa by varying other process parameters. For example, FIG. 4A plots stress and refractive index for CVD SiN films deposited at three different SiH4 flow rates. FIG. 4A shows that SiH4 flow rate may be optimized to enhance compressive stress.
  • FIG. 4B plots stress and refractive index for CVD SiN films deposited at three different wafer-to-faceplate spacing distances. FIG. 4B also shows that this spacing difference may be optimized to enhance compressive stress.
  • FIG. 4C plots stress and refractive index for CVD SiN films deposited at three different H2 flow rates. FIG. 4C shows that the H2 flow rate parameter may be optimized to enhance compressive stress.
  • FIG. 4D plots stress and refractive index for CVD SiN films deposited at three different RF powers. FIG. 4D shows that a maximum compressive stress may be achieved by controlling this process parameter.
  • FIGS. 5A and 5B show that variation of a number of process parameters in combination, may allow for a CVD SiN film to exhibit a compressive stress approaching −3.0 GPa. Specifically, FIG. 5A plots stress and refractive index of SiN films deposited with and without hydrogen gas, at three different temperatures. FIG. 5A shows that the film deposited at 480° C. with hydrogen gas, exhibited a compressive film stress approaching −3 GPa. 5B plots stress and refractive index for SiN film deposited with H2 and Ar at 480° C., with a high frequency power of either 75 W or 100 W. FIG. 5B shows that the film deposited with a low frequency of 75 W achieved a compressive stress of −3 GPa. For this combination of gases/pressure/spacing, the optimum power of 75 W resulted in a film having the highest compressive stress.
  • As just described, deposition of silicon nitride in the presence of hydrogen gas can produce a desirable enhancement in the compressive stress exhibited by the resulting SiN film. However, it is well known that hydrogen gas can easily diffuse through dielectric materials. Moreover, the penetration of such hydrogen into semiconducting regions can degrade reliability of the device, especially at the transistor level. This phenomenon is even more pronounced when high stress films are used as etch stop layers.
  • It has also been observed that hydrogen may accumulate at the nitride/device (NiSix) interface, and this accumulated hydrogen can also create physical defects, such as blistering and delamination during subsequent processing steps. An analysis of the residue at the delamination site revealed a presence of Zn and Na, typical metal contaminants. The probability of such physical defects increases with the level of compressive stress, and is more pronounced when the nitride film is deposited at a lower temperature.
  • In accordance with various embodiments of the present invention, three approaches may be utilized alone or in combination, to eliminate the occurrence of defects and thus improve the device reliability when high compressive stress nitride films are formed by deposition in the presence of hydrogen gas. In accordance with one embodiment, defects may be reduced by pre-deposition plasma treatment of the surface that is to receive the high compressive stress silicon nitride. In accordance with another embodiment, defects may be reduced by forming a buffer layer over the surface that this to receive the high compressive stress nitride layer, prior to deposition of that nitride layer. In accordance with still a further embodiment of the present invention, defects may be reduced by forming a SiN layer in the absence of hydrogen, prior to deposition of an overlying high stress SiN film in the presence of hydrogen gas. Each of these approaches is now discussed in turn below.
  • In accordance with the first embodiment just mentioned, a plasma pre-treatment step prior to deposition of silicon nitride in the presence of hydrogen can be employed. This pre-deposition plasma treatment cleans the wafer surface, removing contamination that could render the surface susceptible to penetration by hydrogen, such as residual silane or metallic contaminants such as Zn and Na. The plasma utilized for this pre-treatment step may be formed from a number of different ambients, including but not limited to N2O, O2 and NH3-containing plasmas which have been successfully used to reduce the number of defects of the nitride film post anneal. The pre treatment may be applied in the same or in a different processing chamber in which the SiN is deposited. The plasma treatment may conclude prior to the subsequent deposition step, or may be continuous and extend into the SiN deposition step. The specific parameters of this plasma pre-treatment, such as duration, power, temperature, and ambient, may vary according to the particular application in order to achieve the desired effects.
  • In accordance with the second embodiment of the present invention mentioned above, defects can be reduced and reliability improved, by forming a buffer layer on the surface that is to receive the high compressive stress nitride. Such a buffer layer, typically comprising oxide, will then be located at the nitride/NiSix interface. This oxide serves as a buffer layer, blocking hydrogen diffusing through the deposited SiN film. Atomic hydrogen reaching the oxide buffer will attempt to combine with other hydrogen atoms to form molecular hydrogen, but will be unsuccessful in doing so owing to the strength of the Si—N, Si—H, and N—H bonds. Specifically, hydrogen diffuses by hopping from one Si—H or N—H bond to another. To migrate out of the nitride layer into the oxide layer, and Si—O bond needs to break and an Si—N bond will form. This reaction id not energetically favorable, so the hydrogen will remain trapped in the nitride layer. In this manner, the oxide buffer layer acts as a wall, preventing gas accumulation at the Si/SiN interface, and decreasing blistering and post anneal delamination.
  • FIG. 33 compares contamination exhibited by high compressive stress silicon nitride layers deposited over oxide buffer layers of three different thicknesses. FIG. 33 shows that even the use of a very thin oxide buffer layers results in a post-anneal area count of about 2 adders (defects per wafer) or less.
  • In accordance with the third embodiment of the present invention mentioned above, defect count may be reduced, and reliability enhanced, by forming an initiation layer prior to introduction of the hydrogen gas utilized to form the high compressive stress nitride layer. As described above, the desired high compressive stress characteristic of the nitride layer derives from the presence of hydrogen gas during deposition. In accordance with this third embodiment, the penetration of this hydrogen gas may be reduced by performing the initial stages of the deposition in the absence of hydrogen, such that the resulting silicon nitride initiation layer does not exhibit high compressive stress. Once the initiation layer is formed, hydrogen gas is introduced into the deposition gas mixture to imbue the overlying silicon nitride with the desired level of compressive stress.
  • The role of the initiation layer is to protect the devices from the potential surges of electrons occurring in the plasma during the deposition of the high compressive stress. Proper adjustment of the initiation layer thickness can also allow it to serve as a barrier for hydrogen diffusion. The initiation layer essentially forms a barrier which aids in eliminating hydrogen accumulation.
  • FIG. 34A shows the number of adders observed by a high compressive stress silicon nitride layer is formed at 400° C. and annealed for 5 hours at 400° C., over initiation layers of different thicknesses. FIG. 34A shows that use of a thicker initiation layer improves particle performance post-anneal. FIG. 34A also shows that the post-anneal area count decreases to less than about 3 adders only for initiation layer thicknesses larger than about 90 Å (deposited for 12 sec), as compared with initiation layers having a thickness of about 35 Å (deposited for 5 sec).
  • FIG. 34B shows particle performance of high compressive stress films of varying thicknesses formed at 480° C., over an initiation layer of the same thickness (deposited for 10 seconds). FIG. 34B shows that deposition of an initiation layer for 10 seconds improved particle performance for films up to at least 1500 Å in thickness.
  • FIG. 34C plots film stress versus film thickness for high compressive stress films including an initiation layer deposited for 5 seconds or 10 seconds. FIG. 34C reveals that increasing the initiation from 5 seconds to 10 seconds does not significantly change the stress for film having a thickness of greater than about 350 Å.
  • Though the three aforementioned approaches for increasing compressive stress reliability have been explained separately, they may also be used in various combinations with one another. For example, FIG. 35 plots particle count for four different high compressive stress silicon nitride film stacks deposited at 480° C. and then annealed for 5 hours at 400° C. The first and second film stacks include initiation layers deposited for 5 and 10 seconds, respectively. The third and fourth films include initiation layers formed over oxide layers deposited for the times indicated.
  • FIG. 35 shows that use of the thicker initiation layer resulted in good particle performance in the “as deposited” film. FIG. 35 shows that film stacks utilizing an oxide buffer layer (having a thickness of 30-50 Å) under the initiation layer, also exhibited improved particle performance.
  • FIG. 35 plots particle count and area count for high compressive stress silicon nitride layers formed under a variety of different conditions. FIG. 35 shows that pre-deposition plasma treatment with ammonia (NH3) is the most efficient technique for improving resistance to blistering. The use of an oxide buffer layers and initiation layers also showed good results.
  • The three embodiments of the present invention just described, can be employed to solve integration-related issues with other dielectric films such as low-k dielectrics and high tensile stress silicon nitride using hydrogen or deuterium in the deposition. In accordance with still other embodiments of the present invention, Deuterium can also be utilized instead of hydrogen during deposition, in order to form silicon nitride films with compressive stress greater than 3 GPa.
  • Post Deposition NF3 Etch-Back Process
  • As described above, silicon nitride dielectric films may be used as a barrier or etch stop layer for various applications. The film thickness non-uniformity across the wafer (e.g., bottom vs. top vs. sidewall thickness) can negatively impact the drive current improvement from one device to another. PECVD dielectric films may suffer from a high deposition rate in isolated areas as well as at the poly-gate upper corner (e.g., bread-loafing). Modulating process parameters may not significantly improve step coverage or pattern loading.
  • In accordance with one embodiment of the present invention, an NF3 etch-back process modulates a PECVD nitride step coverage and pattern loading. Diluted NF3 plasma can be used to etch back the nitride film after deposition to modulate the step coverage profile. This etch-back process results in a low etch rate and desired etch uniformity. The etch-back profile may be similar to that of a PECVD deposition profile. In one embodiment, the NF3 etch-back process is performed in the same chamber as the deposition process and can be run at the end of the deposition. Alternatively, the etch-back process can be run in a deposition/etch sequence. NF3 process parameters may be adjusted so that the etch profile can be modulated to match the deposition profile.
  • FIG. 41 illustrates results of a post deposition NF3 etch-back process. In FIG. 41, a higher etch rate is shown for the isolated areas. Furthermore, a diluted NF3 etch-back reduces bottom coverage loading by about 30% without affecting film stress. This can potentially be used to modulate the step coverage for other PECVD dielectric films.
  • FIG. 42 shows the profile change and Pattern Loading Effect (PLE) improvement after NF3 etch-back of the silicon nitride layer labeled M3. M3 illustrates the nitride bread-loafing profile. The M3 profile has been changed after an NF3 etch-back process. After post deposition NF3 etch-back of the M3 compressive nitride, the PLE is improved.
  • II. Tensile Stressed Materials
  • Without being limited by an explanation, it has been discovered that a silicon nitride stressed material having higher tensile stress values can be obtained by a number of techniques employed alone or in combination reducing the net hydrogen content, or the amount of silicon-hydrogen and nitrogen-hydrogen bonds (Si—H and N—H bonds respectively) in the deposited silicon nitride material. It is believed that lowering the hydrogen content in the deposited material, which results in a detectably smaller amount of Si—H and N—H bonds in the silicon nitride material, gives rise to higher tensile stress values in the deposited material. It has further been discovered that several different deposition process parameters, treatments of deposited material, or combinations thereof, can be used to achieve lower hydrogen content in the deposited material, as described herein.
  • Incorporated by reference herein for all purposes is “Mechanism of SiNx Deposition from NH3—SiH4 Plasma”, Smith et al., J. Electrochem. Soc., Vol. 137, No. 2 (February 1990). This article attributes the formation of tensile stress in a CVD SiN film, to densification of the film in a subsurface zone by the elimination of the volatile ammonia (NH3) species. Specifically, nitrogen radicals in the plasma may abstract hydrogen to release the ammonia, leaving dangling Si and N bonds separated by voids. Stretched Si—N bonds then form and are detectable by FT-IR analysis by a characteristic peak at 840 cm−1. Constrained by the surrounding material, these stretched Si—N bonds cannot relax, resulting in tensile stress.
  • Various techniques may be employed to enhance the level of the tensile stress that is created. As described in detail below, in accordance with one technique, tensile stress may be enhanced by forming the material in multiple layers in a plurality of successive deposition/treatment (dep/treat) cycles. In accordance with still another embodiment, tensile stress may be enhanced by depositing the material at a lower temperature prior to subsequent curing by exposure to radiation.
  • To deposit a tensile stressed silicon nitride material, the process gas introduced into the chamber may comprise a first component that includes a silicon-containing gas, a second component that includes a nitrogen-containing gas, and a third component containing carbon, boron, or phosphorus. The silicon-containing gas can be, for example, silane, disilane, trimethylsilyl (TMS), tris(dimethylamino)silane (TDMAS), bis(tertiary-butylamino)silane (BTBAS), dichlorosilane (DCS), and combinations thereof. The carbon-containing compound can be, in addition to the gases mentioned above, ethylene (C2H4), propylene (C3H6), toluene (C7H8), and combinations thereof. The boron and phosphorus containing compounds can be diborane (B2H6), boron chlorides (B2C14), and phosphine (PH3), respectively. For example, a suitable silane flow rate is from about 5 to about 100 sccm. The nitrogen-containing gas can be, for example, ammonia, nitrogen, and combinations thereof. A suitable ammonia flow rate is from about 10 to about 200 sccm. The process gas can also include a diluent gas that is provided in a much larger volume that the reactive gas components. The diluent gas can also serve both as a diluent and at least partially as a reactant nitrogen-containing gas, for example, nitrogen in a flow rate of from about 5000 to about 30,000 sccm. The process gas may also contain additional gases such as an oxygen-containing gas, for example, oxygen, when depositing silicon oxy-nitride materials. Unless otherwise specified, in these processes, typical gas pressures are from about 3 to about 10 Torr; substrate temperatures are from about 300 to 600° C.; electrode spacing is from about 5 mm (200 mils) to about 12 mm (600 mils); and RF power levels are from about 5 to about 100 Watts.
  • A. Nitrogen Plasma Treatment Cycles
  • It was further discovered that the stress values of the as-deposited silicon nitride material could be increased by treating the deposited silicon nitride film with a nitrogen plasma treatment step (treat). Such a treatment cycle can be performed by modifying the deposition process to have two process steps. In the first or deposition process step (dep), a process gas comprising a first component comprising silicon-containing gas and nitrogen-containing gas, and a second component comprising a diluent nitrogen gas, is introduced into the chamber and a plasma is formed from the process gas by applying a high or low frequency voltage to the chamber electrodes. In the second or nitrogen plasma treatment cycle, the flow of the first component of the process gas which includes the silicon-containing gas and the nitrogen-containing gas is shut off or substantially terminated; while the flow of the second component comprising the diluent nitrogen gas is still left on, and the high or low frequency voltage supplied to the electrodes to form the plasma is also maintained. These two process cycles are repeated a number of times during deposition of the silicon nitride material.
  • Again, without being limited by the explanation, it is believed that the nitrogen plasma cycles further reduce the hydrogen content in the deposited silicon nitride. It is believed that the nitrogen plasma cycle promotes the formation of silicon-nitrogen bonds in the deposited silicon nitride material by removing silicon-hydrogen bonds from the deposited material. However, since the nitrogen plasma treatment can only affect a thin surface region of the deposited silicon nitride film, a nitrogen treatment cycle is formed after short deposition process cycles in which only a film of silicon nitride is deposited on the substrate that is sufficiently thin to allow nitrogen plasma treatment to penetrate substantially the entire thickness of the deposited film. If the nitrogen plasma treatment was performed after deposition of the entire thickness of the silicon nitride film, only a thin surface region of the deposited material would be properly treated.
  • The modified deposition process comprises a sufficient number of deposition cycles followed by plasma treatment cycles to achieve the desired film thickness. For example, a deposition process comprising twenty (20) process cycles that each comprises a first deposition cycle and a second nitrogen plasma treatment cycle, deposited a tensile stressed silicon nitride material having a thickness of 500 angstroms.
  • Each deposition cycles was performed for about 2 to about 10 seconds and more typically about 5 seconds; and each nitrogen plasma treatment cycle was performed for about 10 to about 30 seconds, and more typically 20 seconds. The resultant deposited tensile stressed silicon nitride material had a thickness of 500 angstroms, and the tensile stress value of the deposited material was increased by the nitrogen plasma treatment to 1.4 GPa. This represented a 10 to 20% improvement over the tensile stress of the as-deposited silicon nitride material, as shown below in Table II.
  • TABLE II
    Tensile Film Stress (GPa)
    Temperature
    400° C. 430° C. 450° C. 480° C. 500° C.
    Baseline 1.0 1.1 1.2 1.3 1.35
    (Single Material)
    NPT (1) - 20 s Treat 1.3 1.35 1.44 1.44 1.43
    NPT (2) - 10 s Treat 1.3 1.35 1.4 1.4 1.43
    NPT = Nitrogen Plasma Treatment
  • Table II shows the improvement in tensile stress of a deposited silicon nitride material with increased substrate temperature during deposition, and with/without multiple nitrogen plasma treatment cycles. The baseline (single material) silicon nitride film was deposited in a single deposition process cycle using the process conditions described above, without nitrogen plasma treatment cycles. The baseline film showed an increase in tensile stress from 1 GPa to about 1.35 GPa as the substrate temperature was increased from 400 to 500° C. The NPT (nitrogen plasma treatment) films were deposited with multiple deposition and nitrogen plasma process cycles—where NPT (1) corresponds to 20 second nitrogen plasma treatment cycles and NPT (2) corresponds to 10 second nitrogen plasma treatment cycles. It is seen that for both NPT films, the tensile stress increased from the baseline film with the nitrogen plasma treatment and also increased with substrate temperature.
  • FIG. 6 shows the effect of increasing power level of the high RF voltage applied to the electrodes 105, 109, for different nitrogen plasma treatment process conditions, on the tensile stress values of the deposited materials. The first process (A) comprised a deposition stage for 7 seconds, followed by a plasma treatment stage of 40 seconds, repeated for 20 cycles. The second process (B) involved a deposition stage for 5 seconds, followed by plasma treatment for 40 seconds, repeated for 30 cycles. The third process involved plasma stabilizing stage for 4 seconds, deposition for 5 seconds, and plasma treatment for 40 seconds, for 30 cycles. The first and third processes resulted in the highest tensile stress values, when the high radio frequency was set to a power level of a little over 40 Watts, with tensile stress values decreasing on either side of that peak level. The third process steadily decreased in tensile stress value for increasing power levels from a tensile stress value of a little over a 1000 MPa at a power of 0 Watts to 900 MPa at a power of 100 Watts. Thus a power level of 20 to 60 watts, and more preferably 45 watts, was selected for nitrogen plasma/deposition processes.
  • FIG. 7 shows the tensile stress values and refractive indices obtained for layers deposited under different deposition processes and different nitrogen plasma treatment cycles. The top line indicates the measured tensile stress values and the bottom line indicated the measured refractive indices. The processes included: a deposition only process; a process with a 40 second purge to see the effect without RF power, that is only thermal impact; a process with a 20 second purge then 20 second plasma step; a process with a 40 second plasma step; a process with a 20 second plasma step then 20 second purge; a process with a 3 second fast purge than 20 second plasma step; a process with a 3 second pump and 20 second plasma step, and a process with a 3 second fast purge and 10 second plasma step. The layers were formed by a performing a sequence of 30 consecutive cycles.
  • The highest tensile stress values were achieved with the 3 second pump, 20 second plasma and 3 second fast purge, 10 second plasma processes. The lowest tensile stress values were measured for the deposition only and 10 second purge processes. Generally, the stress value obtained maximizes and evens out for plasma treatment durations longer than 10 sec; however, the stress values do not saturate for treatment durations that were longer than 20 sec when a pump down cycle was added.
  • Table III below illustrates the exemplary process conditions for each step during the cycle shown in FIG. 7.
  • TABLE III
    SiH4 NH3 N2 Press. Power Duration
    STEP (sccm) (sccm) (L) (T) (W) (sec)
    Stabilize 25 50 20 6 0 4
    Deposit 25 50 20 6 45 5
    Pump 0 0 0 TFO 0 30
    Fast Purge 0 0 20 TFO 0 30
    Purge 0 0 20 6 0  5-40
    Treat 0 0 20 6 45 20-40
    All steps performed at 400° C., with a wafer-to-faceplate spacing of 430 mils
    TFO = throttle valve fully open.
  • FIG. 8 shows the effect of the duration of N2 plasma treatment on the tensile stress values of deposited materials. The tensile stress values increase until a treatment duration of about 10 seconds is reached, after which the tensile stress values appears to “saturate” and do not get much larger. The refractive index increases slightly with increasing treatment time.
  • FIG. 9 shows the effect of the treatment duration on the tensile stress value for processes having a 3 second fast purge and a 3 second pump. The tensile stress values in FIG. 9 do not appear to “saturate” as much as those in FIG. 8, even for treatment times up to about 20 seconds.
  • It has been discovered that the implementation of additional steps in the nitrogen plasma treatment can result in an even greater enhancement of the level of tensile stress in the resulting film. Table IV summarizes the process sequence for various different cycles of N2 plasma exposure.
  • TABLE IV
    Step Time Throughput
    Process (x # cycles) Thickness Stress Twin Tool
    Sequence (sec) (Å) RI (MPa) (Wafers/hr)
    Dep only 134 500 1.847 1000 20
    (Baseline)
    Dep/Treat 10/20 (x5) 525 1.874 1100 10
    (DP)
    Stab/Dep/ 4/5/20 (x25) 580 1.892 1180 6
    Treat
    (SDT)
    Stab/Dep/ 4/5/3/5/20 (x30) 510 1.891 1230 4.5
    Pump/
    Purge/
    Treat
    (SDPPuT)
  • FIG. 10 plots film stress versus process throughput, for a twin chamber tool, for each of the N2 plasma exposure cycles shown in Table IV. FIG. 10 shows that the addition of steps to each cycle reduces process throughput.
  • FIG. 11 shows FT-IR spectra for a 2800 Å-thick CVD SiN film resulting from deposition at 400° C. under the various cycles and times shown in Table IV. FIG. 11 shows that the N2 treatment removes hydrogen from both Si—H and N—H bonds, and the peaks at 2200 cm−1 and 3330 cm−1 respectively decrease. An additional indication that N—H is reduced by the N2 treatment is the decrease in the peak/shoulder at 1167 cm−1, which corresponds to the Si—NH—Si bond. This peak 1167 cm−1 becomes more pronounced when there are a significant number of N—H bonds in the film.
  • Without wishing to be limited by any particular theory, it is believed that N2 treatment reduces the hydrogen content in the film leading to the formation of strained Si—N bonds. By introducing additional steps (such as purge and/or pump) after deposition, the effect of the N2 treatment is enhanced because there are no more deposition gases in the chamber. By contrast, where residual SiH4 and NH3 remains in the chamber during treatment, some deposition continues and treatment is not able to penetrate as well into the material already deposited.
  • FIG. 11A plots the ratio of N—H:Si—N bonds of the CVD SiN films resulting from deposition under the various cycle conditions shown in Table IV. FIG. 11A shows that the addition of steps to the N2 plasma exposure cycle can reduce N—H content by up to about 40%.
  • Table V presents stress results for CVD SiN films formed at increasing temperatures utilizing a Producer® SE tool.
  • TABLE V
    Tensile Film Stress (GPa)
    Temperature (° C.)
    Cycle 400 430 450
    Baseline 1.0 1.1 1.2
    D/T 1.1 1.2
    S/D/T 1.2 1.   1.44
    S/D/P/Pu/T 1.3 1.4

    Table V shows that a CVD SiN film having a tensile stress of 1.5 GPa may be formed within a thermal budget of 450° C., utilizing the modified tensile process regime.
  • FIGS. 12A-D confirm this result, plotting various attributes of a CVD SiN film formed at 450° C. under different process conditions. FIG. 12A plots film stress versus NH3 flow and indicates that a tensile stress of 1.5 GPa was achieved. FIG. 12B plots film stress versus N2 flow, and indicates that a tensile stress of 1.5 GPa was achieved with lower N2 flow rates. FIG. 12C plots film stress versus the total SiH4 and NH3 flow rate, and shows that film stress is not a strong function of this process parameter. FIG. 12D plots film stress versus applied RF power, and shows that a tensile film stress of 1.5 GPa was achieved with a lower RF power.
  • The treatment with a nitrogen-containing plasma can be performed with several variations. For example, exposure to the nitrogen-containing plasma can take place in the same or a different chamber than the chamber in which material was initially deposited. In addition, the nitrogen plasma exposure may take place only after the rate of flow of one or more gases into the chamber has been stabilized. Furthermore, the nitrogen-containing plasma may be generated in the chamber, or may be generated remotely and then flowed into the chamber.
  • B. Argon (Plasma Treatment)
  • As described above, exposure of a CVD film to a plasma including a nitrogen containing gas may enhance tensile stress of the film. In accordance with another embodiment of the present invention, stress of a CVD film may also be enhanced by exposing the film during and/or after deposition to a plasma including Argon gas.
  • FIGS. 13A-F illustrate properties of a CVD SiN film formed by a dep/treat cycle under the conditions shown below in Table VI:
  • TABLE VI
    SiH4 NH3 N2 N2 + Ar RF Power
    CYCLE STEP (sccm) (sccm) (L) (L) (W)
    Deposition (Dep) 60 900 1 100
    Treatment (Treat) 0 0 20 varied
    Pressure = 8.5 Torr
    Wafer-to-faceplate spacing = 300 mils
  • FIGS. 13A-B show the effect of varying the % of Ar gas flowed during the post deposition treatment, where deposition and treatment are performed at 400° C. FIGS. 13A-B show that the amount of tensile stress resulting in the deposited film directly correlates with reduction in hydrogen content ([H]) in the resulting film. FIGS. 13A-B also show that the increase in tensile stress is a function of Argon gas content and saturates at a % Ar of greater than about 25%.
  • FIGS. 13C-D show the effect of varying the RF power level applied during the post-deposition Ar treatment, where Ar is 25% of the gas flow and both the deposition and treatment take place at 400° C. FIGS. 13C-D show that the increase in tensile stress is fairly insensitive to the treatment RF power.
  • FIGS. 13E-F show the effect of varying the temperature upon deposited films treated with plasma including varying amounts of Argon. Specifically, the dep/treat cycles of FIGS. 13E-F were performed at 550° C. FIGS. 13E-F confirm that the increase is tensile stress of the resulting film directly correlates with reduction in hydrogen content of the film. FIGS. 13E-F also indicate that the treatment is less effective when the deposition takes place at a higher temperature (i.e., 550° C. vs. 400° C.). FIGS. 13E-F show the total hydrogen content in the “as deposited” film is lower when compared to the film deposited at 400° C. (FIGS. 13A-B) which leads to a lower reduction in total hydrogen content during plasma treatment.
  • While the above-description has focused upon the exposure of deposited films to plasma including argon, other types of plasmas may be used as well. For example, a plasma suitable of use in post-deposition exposure could include gas mixtures including argon and/or xenon.
  • C. Ultraviolet Radiation Exposure
  • The tensile stress of an as-deposited silicon nitride material can be further increased by treating the deposited material with exposure to a suitable energy beam, such as ultraviolet radiation or electron beams. It is believed that ultraviolet and electron beam exposure can be used to further reduce the hydrogen content in the deposited material. The energy beam exposure can be performed within the CVD chamber itself or in a separate chamber. For example, a substrate having the deposited stressed material could be exposed to ultraviolet or electron beam radiation inside the CVD processing chamber. In such an embodiment, the exposure source could be protected from the CVD reaction by a shield or by introducing the exposure source into the chamber subsequent to the flow of process gas. The ultraviolet or electron beams could be applied to the substrate, in-situ in the CVD deposition chamber during a CVD reaction to deposit the stressed material. In this version, it is believed that ultraviolet or e-beam exposure during the deposition reaction would disrupt undesirable bonds as they are formed, thereby enhancing the stress values of the deposited stressed material.
  • FIG. 18 shows an exemplary embodiment of an exposure chamber 200 which can be used to expose a substrate 32 to ultraviolet radiation or electron beam treatment. In the version shown, the chamber 200 includes a substrate support 104 moveable between a released position distal from the exposure source 204, and a lifted position proximate to the source 204 to allow adjustment of the spacing therebetween. A substrate support 104 supports the substrate 32 in the chamber 200. During insertion and removal of the substrate 32 from the exposure chamber 200, the substrate support 104 can be moved to a loading position, and thereafter, during exposure of the substrate 32 having the deposited silicon nitride material to ultraviolet radiation or electron beams, the support 104 is raised into the lifted position to maximize exposure levels. The chamber 200 further comprises a heater 206, such as a resistive element, which can be used to heat the substrate 32 to a desired temperature during exposure of the substrate 32. A gas inlet 208 is provided to introduce a gas into the exposure chamber 200 and a gas outlet 210 is provided to exhaust the gas from the chamber 200.
  • The exposure chamber 200 further includes an exposure source 204 that provides a suitable energy beam, such as ultraviolet radiation or electron beams. A suitable ultraviolet radiation source can emit a single ultraviolet wavelength or a broadband of ultraviolet wavelengths. A suitable single wavelength ultraviolet source comprises an excimer ultraviolet source that provides a single ultraviolet wavelength of 172 nm or 222 nm. A suitable broadband source generates ultraviolet radiation having wavelengths of from about 200 to about 400 nm. Such ultraviolet sources can be obtained from Fusion Company, USA or Nordson Company, USA. The stressed silicon nitride material may be exposed to ultraviolet radiation having other wavelengths that are generated by lamps that contain gas that radiates at specific wavelengths when electrically stimulated. For example, suitable ultraviolet lamp may comprise Xe gas, which generates ultraviolet radiation having a wavelength of 172 nm. In other versions, the lamp may comprise other gases having different corresponding wavelengths, for example, mercury lamps radiate at a wavelength of 243 nm, deuterium radiates at a wavelength of 140 nm, and KrCl2 radiates at a wavelength of 222 nm. Also, in one version, generation of ultraviolet radiation specifically tailored to modify the stress value in the deposited stressed material can be accomplished by introducing a mixture of gases into the lamp, each gas capable of emitting radiation of a characteristic wavelength upon excitation. By varying the relative concentration of the gases, the wavelength content of the output from the radiation source can be selected to simultaneously expose all of the desired wavelengths, thus minimizing the necessary exposure time. The wavelength and intensity of the ultraviolet radiation can be selected to obtain predetermined tensile stress value in the deposited silicon nitride material.
  • The CVD deposition chamber 80 and exposure chamber 200 may also be integrated together on a multi-chamber processing platform (not shown) served by a single robot arm. The exposure source 204 and the support of the exposure chamber 200, and the components of the CVD deposition chamber 80 that include the substrate support 104, motor, valves or flow controllers, gas delivery system, throttle valve, high frequency power supply, and heater 206, and the robot arm of the integrated processing system, may all be controlled by a system controller over suitable control lines. The system controller relies on feedback from optical sensors to determine the position of movable mechanical assemblies such as the throttle valve and substrate support 104 which are moved by appropriate motors under the control of the controller.
  • For exposure treatment in the described exposure chamber 200, a substrate having a silicon nitride material according to any of the deposition processes described or other deposition processes known in the art, is inserted into the exposure chamber 200 and placed upon the substrate support 104 in the lowered position. The substrate support 104 is then raised to a lifted position, the optional heater 206 in the support powered on, and the exposure source 204 is activated. During exposure, a gas may be circulated through the exposure chamber 200, such as helium, to improve thermal heat transfer rates between the substrate and the support. Other gases may also be used. After a period of radiation exposure, the exposure source 204 is deactivated and the substrate support 104 is lowered back into the released position. The substrate bearing the exposed silicon nitride stressed material is then removed from the exposure chamber 200.
  • FIG. 19 is a bar graph showing the effect of ultraviolet radiation treatment on the tensile stress values of materials deposited at different process conditions including A: compressive film (45 sccm SiH4/600 sccm NH3/2000 sccm He/30 W HF/30 W LF/2.5T/480 mils/430° C.); and B: tensile film (75 sccm SiH4/1600 sccm NH3/5000 sccm N2/50 W HF/5 W LF/6 T/480 mils/430° C.). Different broadband UV treatment times at 400° C. of 5 minutes and 10 minutes were used. For all deposited films, ultraviolet radiation exposure increased tensile stress values, with the greatest improvement occurring for the materials having the lowest tensile stress values, namely materials A and B. A and B increased in a tensile stress of level from about −1500 MPa to around about −1300 MPa. Materials C and D also increased. Thus, the ultraviolet treatment can increase the tensile stress value for deposited materials.
  • It was determined that exposure of the deposited silicon nitride material to ultraviolet radiation or electron beams is capable of reducing the hydrogen content of the deposited material, and thereby increasing the tensile stress value of the material. It is believed that exposure to ultraviolet radiation allows replacement of unwanted chemical bonds with more desirable chemical bonds. For example, the wavelength of UV radiation delivered in the exposure may be selected to disrupt unwanted hydrogen bonds, such as the Si—H and N—H bond that absorbs this wavelength. The remaining silicon atom then forms a bond with an available nitrogen atom to form the desired Si—N bonds. For example, FIG. 20 shows a Fourier Transformed Infrared spectrum (FT-IR) of a stressed silicon nitride material in the as-deposited state (as dep. —continuous line), and after treatment with ultraviolet radiation (treated film—dashed line). From the FT-IR spectrum, it is seen that after treatment with the ultraviolet radiation, the size of both the N—H stretch peak and the Si—H stretch peak significantly decrease, while the size of the Si—N stretch peak increases. This demonstrates that after ultraviolet treatment, the resultant silicon nitride material contains fewer N—H and Si—H bonds, and an increased number of Si—N bonds which are desirable to increase the tensile stress of the deposited material.
  • FIGS. 21A to 21E show the improvement in tensile stress value of an as-deposited silicon nitride material that is subjected to different periods of ultraviolet exposure treatment times. The silicon nitride material of FIG. 21A was deposited under the following process conditions 60 sccm flow rate of silane; 900 sccm flow rate of ammonia; 10,000 sccm flow rate of nitrogen; 6 Ton process gas pressure; electrode power level of 100 watt; and electrode spacing of 11 mm (430 mils). The tensile stress of the deposited silicon nitride film was measured in the as-deposited state to be about 700 MPa. The points label 0 to 6 on the x-axis each correspond to different ultraviolet treatment time of 0 minutes (as deposited), 10 minutes, 30 minutes, 45 minutes, one hour, two hours, and three hours, respectively. The as-deposited silicon nitride material of the line labeled with tetrahedrons (treatment 1) was exposed to a broadband ultraviolet radiation source, while the as-deposited silicon nitride material of the line labeled with squares (treatment 2) was exposed to a single wavelength ultraviolet source at 172 nm. It was determined that the broadband ultraviolet radiation source provided increased tensile stress in the deposited material as compared with a single wavelength ultraviolet radiation source.
  • Generally, as ultraviolet treatment time increased, the tensile stress of the as-deposited film also increased from the original value of 700 MPa to values exceeding about 1.6 GPa. The silicon nitride material of FIGS. 21B and 21C were deposited under the same conditions as the sample shown in FIG. 21A, with the following exceptions—the sample of FIG. 21B was deposited using 60 sccm flow rate of silane; 600 sccm flow rate of ammonia; and electrode power level of 150 watts; and the sample of FIG. 21C was deposited using 60 sccm flow rate of silane; 300 sccm flow rate of ammonia; and an electrode power level of 150 watts. In FIGS. 21B and 21C, the as-deposited material was treated only with a broadband ultraviolet radiation, and the treatment times also varied from 0 minutes to 3 hours but at different time intervals corresponding to 8 or 9 segments, as shown. The best result obtained is shown in FIG. 21C, where the as-deposited silicon nitride material increased in tensile stress after approximately three hours of ultraviolet exposure from 800 MPa to 1.8 GPa, which was almost double the original tensile stress value.
  • The material deposited shown in FIG. 21D was deposited using 60 sccm flow rate of silane; 900 sccm flow rate of ammonia; 10,000 sccm nitrogen; electrode power of 100 watt; pressure of 7 Torr; and 11 mm spacing. Line (a) was treated with a Fusion H UV light source which provided UV wavelengths of about 200 to 400 nm; and Line (b) was treated with an Excimer UV source which provided UV wavelengths of about 172 nm. For both treatments, tensile stresses increased from about 800 MPa (for the as-deposited silicon nitride) to 1.8 and 1.4 GPa, respectively, after about 50 minutes of ultraviolet exposure material. The cure time can be significantly reduced by further optimizing the UV lamp to increase the light intensity reaching the wafer. The sample of FIG. 21E was deposited using 60 sccm flow rate of silane; 300 sccm flow rate of ammonia; 10,000 sccm nitrogen; electrode power of 150 watt; pressure of 6 Ton; and 11 mm spacing. The deposited material was treated with a Fusion H source. As before, the as-deposited silicon nitride material increased in tensile stress after approximately 50 minutes of treatment from about 700 MPa to 1.6 GPa.
  • In the manner just described, tensile stress of a CVD material may be enhanced by post-deposition exposure to ultraviolet radiation. This stress enhancement may be accomplished by varying process parameters such as UV treatment time, and diluent gas content at the time of deposition.
  • It was also determined that the effect of ultraviolet exposure could be enhanced by optimizing the composition of the “as deposited” film. It has further been discovered that the tensile stress increases by increasing hydrogen content in the “as deposited” film and adjusting the ratio of Si—H/N—H bonds to about 1:1. The total hydrogen content in the film can be increased by decreasing the deposition temperature prior to UV exposure. Specifically, reducing the temperature at the time of deposition can enhance the tensile stress imparted to a film that is subsequently cured by exposure to UV radiation.
  • Table VIII lists FT-IR spectral data for two CVD silicon nitride films exposed to UV radiation post-deposition. The first CVD nitride film was deposited at 400° C., and the second nitride film was deposited at 300° C.
  • TABLE VIII
    FT-IR SPECTRA DATA OF DEPOSITED FILM AFTER UV CURE
    (FT-IR data normalized to 3000 Å)
    NH Peak SiH Peak SiH Peak
    DEPOSITION Center NH Peak Center SiH Peak Center SiN Peak
    TEMPERATURE (cm−1) Area (cm−1) Area (cm−1) Area
    400° C. As Dep 3355 0.033 2159 0.031 842 0.491
    UV Treated 3355 0.022 2170 0.024 836 0.497
    % Change 33.8 23.5 1.1
    300° C. As Dep 3359 0.042 2146 0.05 847 .43
    UV Treated 3360 0.011 2171 0.01 833 0.5
    % Change 73.8 70.8 17.0
  • Table VIII indicates that post-deposition UV treatment reduces the numbers of both Si—H and N—H bonds, while increasing the network of Si—N bonds. Without being limited to a particular explanation, Table VIII likely indicates that deposition of a lower temperature allows more film restructuring during the UV cure step, leading to higher tensile stress in the resulting film.
  • FIG. 22A plots stress and film shrinkage after UV cure, of a plurality of CVD nitride films deposited at different temperatures. FIG. 22A indicates an increase in stress with decreased deposition temperature. FIG. 22A also indicates an increase in shrinkage with decreased deposition temperature. The correlation of increased shrinkage with reduced deposition temperature of FIG. 22A confirms the greater restructuring undergone by the films initially deposited at low temperatures.
  • FIG. 22B plots total H content ([H]), and SiH/NH peak area ratio; of SiN films formed by CVD at different temperatures. FIG. 22B shows that tensile stress of the film increases with hydrogen content at lower deposition temperatures.
  • FIG. 23 plots FT-IR spectra of a CVD nitride film exhibiting as deposited at 300° C., and then after exposure to UV radiation at 400° C. Table IX lists composition of the CVD SiN film as-deposited, and after UV curing, as determined by Rutherford Backscattering Spectrometry (RBS) and the Hydrogen-Forward Scattering (HFS) method:
  • TABLE IX
    RBS/HFS H(%) N(%) Si(%) Si/N
    As Dep Film 25 43 32 0.74
    Post UV Treat Film 16.5 48.5 35 0.73

    FIG. 23 and Table IX indicate that a lower deposition temperature results in formation of more SiN bonds after the UV cure, leading to higher stress in the cured film.
  • Both the N2 treatment and UV treatment are based on the same principle. Specifically, Si—H and N—H bonds are broken and hydrogen is removed from the film. This hydrogen removal leaves Si and N dangling bonds in the film, allowing new Si—N bonds to be formed. Those new Si—N bonds are strained, because the Si and N atoms are locked in place by the network and can't more to relieve the strain.
  • However, the N2 treatment technique is limited by de penetration depth of the N radicals/ions, and the energy of those N radicals/ions. Increasing the energy may be detrimental, because the N will become implanted in the film, decreasing tensile stress.
  • By contrast, the UV treatment technique has a bulk effect. The entire film can be treated at once and the process is more efficient and can break more bonds. Also, because a broadband UV source emitting wavelengths down to 200 nm is being used, the UV energy also favors re-bonding of the dangling bonds to form the strained Si—N bonds. Specifically, some dangling bonds remain during the formation of all films. These dangling bonds have the effect of degrading electrical properties of the film. These dangling bonds can survive subsequent treatment, especially if the distance between a Si dangling bond and a N dangling bond is too large. The UV treatment technique provides the necessary activation energy to allow the two types (Si and N) of dangling bonds to form a desired Si—N bond.
  • Without being limited to any particular explanation, it is believed that application of ultraviolet energy in wavelength range of (200-300 nm) promotes cleavage of Si—H and N—H bonds in excited electronic states, and formation of new strained Si—N. FIG. 43 shows cleavage of bonds in excited electronic states and formation of new strained silicon nitride.
  • Further understanding regarding the effect of UV irradiation on bond cleavage and film stress can be obtained from Ab initio modeling. Predictions from such ab initio modeling can be compared with data from FTIR analysis of UV cure time, to identify the impact of UV irradiation on bond cleavage/formation.
  • In general, bond cleavage is preceded by bond stretch that requires energy. FIG. 44 is a generic plot of energy change versus % increase in bond length, in the excited and ground state. FIG. 44A is an enlarged view of a portion of the plot of FIG. 44. These figures show the measure of the impact of UV irradiation in the difference between energy for initial bond stretch in excited state vs. energy for initial bond stretch in ground state. It is assumed that differences in initial stretches for ground and excited states correlates with differences bond strengths in ground and excited states
  • A small bond stretch allows vertical electronic excitation approach. Vertical excitation involves the same geometry in excited and ground states.
  • Time-dependent DFT is appropriate for vertical excitations. In an excited state by TDDFT, the DFT functional B3LYP; basis 6-31+g (d,p). The geometry is the same in excited and ground state—B3LYP/6-31g(d,p). Bond stretch by increments up to about 12% increase in length. Two different clusters were used to model hydrogenated SiN. FIG. 45A shows a chain-like cluster modeling hydrogenated SiN. FIG. 45B shows a ring cluster modeling hydrogenated SiN.
  • The TDDFT method was validated as follows. FIG. 46 compares calculated (λ) vs. observed band gaps for silicon oxide and silicon nitride. Calculated λ values are close to observed band gaps for oxide, and slightly lower than observed band gaps for nitride.
  • An example of interpretation of initial bond stretch is as follows. FIG. 47 plots energy change (ΔE) versus N—H bond length in a chain-like cluster, from an equilibrium bond stretch length of 1.015 Å, in increments of 0.2 Å. FIG. 47 shows that it is easier to Stretch N—H Bond in Excited States than in Ground State
  • The modeled effect of UV irradiation on initial bond stretch of N—H and Si—H Bonds is shown in FIGS. 48A-B. FIG. 48A plots energy change versus S—N bond length at different states. This figures shows N—H initial stretches are more favorable in excited state. FIG. 48B plots energy change versus Si—H bond length at different states. ΔE for Si—H stretches in excited and ground states are close. Modeling of the chain cluster yields a similar result. In FIGS. 48A-B, the bond strengths in ground state (N—H=4.8 eV; Si—H=4.0 eV) do not correlate with bond strengths in the excited state. Comparison of FIGS. 48A-B indicates that N—H Bonds are more likely to break under UV cure than are Si—H bonds.
  • The effect of UV radiation on large bond stretches of a ring cluster for N—H and Si—H bonds is shown in FIGS. 49A-B. FIG. 49A plots energy change versus bond length for a larger stretched N—H bond in a ring cluster. ΔE for N—H stretch is only 0.5 eV for the excited state, but increases sharply up to 3 eV for the ground state. FIG. 49B plots energy change versus bond length for a larger stretched Si—H bond in a ring cluster. ΔE for Si—H stretch in the excited state is less than in the ground state only when ΔE reaches 1.5 eV. As the ground state increase in Si—H is not as sharp as the increase for N—H, in large stretches the N—H bonds are more likely to break than Si—H bonds under exposure to UV radiation.
  • FIGS. 50A-B illustrate the results of modeling the effect of UV irradiation on Si—N bonds. FIG. 50A plots energy change versus Si—N bond length in different states for a chain cluster. FIG. 50A shows that the Si—N bond is noticeably weakened in excited state for chain model. FIG. 50B plots energy change versus bond length for a larger stretched Si—H bond in a ring cluster. The smaller weakening of the Si—N bond in the excited state for the ring is due to restrictions imposed by ring. Specifically, restoration of broken Si—N bonds is highly probable in this configuration. Such restoration of Si—N bonds in the network could be occur due to the limited mobility of Si and N atoms.
  • Transmission FTIR analysis shows reduction of Si—H and N—H content and an increase on Si—N content during exposure of SiN to UV from a broad band source. FIG. 51 plots % reduction of Si—H and N—H content, and increase in Si—N content, versus UV cure time. This Figure shows that Si—H and N—H content decrease at approximately the same rate. Disagreement with the modeling predictions may be attributable to additional reactions involving released H.
  • For example, FIGS. 53A-C show several different possible reactions involving released H atoms. FIG. 53A shows interaction of bulk SiN material with UV radiation to release atomic H. FIG. 53B shows reaction of H with bulk SiN material to release molecular hydrogen gas. Specifically, H is easily abstracted from Si—H by the H, with no barrier (DFT). As a result of this reaction, the number of Si—H bonds decreases. FIG. 53C shows the abstraction of H by bulk SiN material Specifically, H is abstracted from N—H by the H, with a barrier of 0.5 Ev (DFT). As a result of this reaction, the number of N—H bonds increases. The reactions shown in FIGS. 53A-B can lead to the same loss rate of H for both bonds
  • Si—N bonds may dissociate and then be restored in a number of different ways. FIG. 52A shows dissociation of a Si—N bond in a chain cluster. Resistance to stretch is located mostly within the Si—N bond. FIG. 52B shows dissociation of a Si—N bond in a ring cluster. Here, resistance to stretch is spread to adjacent bonds. FIG. 52C shows restoration of SiN bond between ring clusters in SiN bulk material. In sum, these figures show that UV exposure hardly leads to irreversible cleavage of the Si—N Bond.
  • The modeling and experimental observations discussed above may be summarized as follows. First, ab initio modeling predicts higher probability of the H abstraction by UV cure from N—H than from Si—H. Second, it is unlikely that UV cure leads to irreversible cleavage of the Si—N bond. Finally, FTIR transmission analysis reveals that the content of N—H and Si—N bonds decrease at about the same rate with UV cure time. Any disagreement between ab initio modeling and observed FTIR results may be attributable to other reactions involving released H atoms.
  • As discussed above, UV treatment is one of the techniques used to increase the tensile stress of the nitride layers. The efficiency of the UV cure is directly correlated with the optical properties of the silicon nitride layer and the substrate topography.
  • An increase of UV cure efficiency can improve the stress level and the manufacturability of high stress nitride film. The Fresnel principle as shown in FIG. 34A, illustrates that if the gate to gate spacing is of the same order of magnitude as the UV light wavelength, diffraction will occur leaving some areas un-treated, such as sidewall and bottom corner of the poly gate. FIG. 34B shows an illuminated region photo with dark bands against the edge followed by light and dark bands. FIG. 34B confirms the Fresnel principle by showing plenty of dark areas around the bottom and side walls.
  • UV cure efficiency can be improved by properly engineering the incidence of the UV light and the device sidewall profile in order to avoid the Fresnel effect and take advantage of the Brewster angle theory. According to this theory, the light absorption is optimal for a critical angle calculated from the refractive index ratio of the vacuum and nitride. For some SiNx film, this angle is calculated to be between 63 and 66 degrees. FIG. 35A illustrates this theory by defining the geometrical orientation and the p- and s-components of polarization. FIG. 35B shows the reflectance for each component as a function of the angle of incidence, indicating a minimum at Brewster's angle for the p-component. At this Brewster angle, absorption is at a maximum as there is no diffraction.
  • Two methods may be utilized in accordance with embodiments of the present invention in order to take advantage of the Brewster angle theory and ensure even treatment of different locations on the film by the UV light. In accordance with a first embodiment, the substrate may be moved relative to the UV light source, to ensure that light is incident over a variety of angles, including the Brewster angle. In accordance with an alternative embodiment, the raised features on the substrate may be formed having sidewalls of less than 90 degrees, thereby allowing light incident at the Brewster angle to penetrate reach the substrate surface.
  • As mentioned above, the maximum absorption occurs when the angle between the film normal and the direction of UV incidence is between 63 and 66 degrees. For blanket wafers or features covering large areas, the film has only one orientation relative to the wafer surface and makes a fixed angle with the incident light. Thus in accordance with one embodiment of the present invention, the direction of UV incidence can be modified by rotating the source around its axis and/or on a hemisphere above the wafer, or by rotating the substrate relative to the source. This rotation ensures that every section of the nitride film on the wafers is exposed to a UV light with a 63-66 degree angle of incidence.
  • In accordance with an alternative embodiment of the present invention, UV absorption by the nitride film can be enhanced where the device structure is modified to offer an angle less than 90 degrees with the wafer surface. On patterned wafers, the film follows the device contour and the angle between the UV incidence and film normal, varying from 0 to 180 degrees. Where the features form less than a 90 degree angle, the probability of satisfying the Brewster angle criterion is increased which leads to an enhancement in UV absorption and a direct increase in the tensile stress.
  • The UV source rotation embodiment and the device angle engineering embodiment may also be used together to enhance efficiency of UV curing. These two embodiments can also be applied for enhancing the post-UV cure properties of other films such a low-k dielectrics.
  • In accordance with still other embodiments of the present invention, UV cure efficiency can be enhanced by the addition of porogens. The effect of the UV cure is directly correlated to the UV lamp efficiency and the curing potential of the deposited film. The curing potential relates to the change in the film structure dining cure. The structural change involves elimination of hydrogen and reconstruction of the nitride amorphous network. These structural changes in turn lead to proportional changes in film properties, namely, film refractive index and density increase, the film shrinks, and residual stress in the film becomes more tensile. To maximize the post-cure tensile stress, the hydrogen content in the film needs to be maximized while maintaining a balance between SiH and NH content in the film. The hydrogen content in the nitride films is a strong function of the deposition temperature and is limited to about 30%.
  • In accordance with an embodiment of present invention, various temperature-labile molecules can be introduced to the deposition chemistry for forming a silicon nitride film, in order to enhance its curing potential. Such temperature labile molecules are usually of large size, and are incorporated into the film during deposition without breakage.
  • After deposition, the molecule can be removed using UV treatment or in-situ plasma treatment. During the post-deposition cure process, the space in the film previously occupied by the temperature labile molecule will close, resulting in strained Si—N bonds and increasing tensile stress in the film. The temperature-liable molecules can include but are not limited to those listed in the following TABLE X:
  • TABLE X
    TEMPERATURE LABILE MOLECULES (POROGENS)
    Name Chemical Formula
    alpha-terpinene (ATRP) C10H10
    Toluene C7H8
    Limonene C10H16
    Pyran C6H10O2
    Vinyl acetate C4H6O2
    Cyclo-pentene C8H14
    1 methyl cyclo-penetne C6H10
    5 vinyl bicyclo hept-2-ene C9H12
    Cyclo-pentene oxide C5H8O
  • While the above discussion has focused upon the application of UV energy to enhance tensile stress in a silicon nitride layer, embodiments in accordance with the present invention are not limited to this particular application. In accordance with alternative embodiments, UV radiation can be employed to enhance compressive stress in a deposited film. Such UV curing can modify the bond configuration and crystallographic structure of the film. Examples include but are not limited to grain growth assisted by UV in atmosphous silicon and polysilicon films or crystallization of amorphous silicon nitride films.
  • III. Strain-Inducing Spacer
  • Still another embodiment in accordance with the present invention offers an integration scheme useful for further enhancing performance of an NMOS device by taking advantage of the change in stress of the nitride layer induced by the spike annealing process. FIGS. 39A-M illustrate simplified cross-sectional views of the process steps for this integration.
  • As shown in FIG. 39A, the starting point for the process integration is a precursor CMOS structure 3900 comprising PMOS region 3902 separated from adjacent NMOS region 3904 by shallow trench isolation (STI) structure 3906. Gate oxide layer 3908 and overlying gate polysilicon layer 3910 are formed in a stack over precursor CMOS structure 3900.
  • FIG. 39B shows the patterning of photoresist mask 3912 to define gap 3912 a exposing the gate polysilicon/oxide stack at the location of the NMOS gate. FIG. 39C illustrates the pre-amorphization processes performed on the polysilicon in the exposed region. Two possible methods for such pre-amorphization include 1) the implantation of germanium into the NMOS poly, or 2) recessing the NMOS poly-silicon gate followed by selective SiGe deposition. With regard to the second alternative, an oxide mask may be used to ensure results.
  • FIG. 39D shows performance of standard processing steps to form gates 3903 and 3905 of the PMOS and NMOS transistor structures, respectively. This conventional processing includes use of disposable (sacrificial) spacers for source/drain implants, followed by the Halo implants.
  • The imposition of tensile stress enhances the speed of electron flow across the NMOS channel region. Conversely, the imposition of compressive stress enhances the speed of movement of holes in the PMOS channel region. Accordingly, FIG. 39E shows the deposition of the tensile-stressed nitride film 3930 over the PMOS and NMOS gates prior to rapid thermal processing (RTP).
  • FIGS. 39F-G show removal of the tensile stressed nitride layer over PMOS regions. As shown in FIG. 39F, a mask 3931 is first patterned to expose the SiN overlying the PMOS region. In FIG. 39G, the exposed SiN is selectively etched utilizing the mask, which is then removed.
  • FIG. 39H show performance of a RTP spike annealing step, which elevates the stress of the conformal nitride film from <1 GPa to about 2 GPa. This RTP spike anneal creates a stress-inducing texture 3932 in the polysilicon gate. Alternatively, the annealing during this step may take the form of a dynamic surface anneal used to activate dopants. These annealing methods or any other annealing methods may be used to recrystallize the polysilicon of the NMOS gate, thereby increasing nitride stress to 2.0 GPa. The tensile stress imposed by this film serves to enhance the performance of the NMOS device.
  • The composition of the silicon nitride layer can be optimized to result in highest tensile stress of the SiN film after RTP. FIG. 40 plots film stress versus the RTP temperature spike, for deposited SiN films of different compositions. FIG. 40 shows the response of PECVD nitride films to RTP, vs. the composition (Si—H/N—H) and total hydrogen content of the film. The stress in the SiN film post RTP is 2 GPa (tensile), and this stress value can potentially be increased by further optimizing the deposition chemistry.
  • FIGS. 39I-L shows the next series of steps in the integration process flow, wherein nitride spacers are formed adjacent to gate oxide/polysilicon stack, to complete formation of the gate structures. Specifically, in FIG. 391, nitride layer 3934 of neutral or compressive stress is formed over the entire structure. As shown in FIGS. 39J-K, lithography and etching are employed to remove nitride layer 3934 from the NMOS region.
  • FIGS. 39L illustrates formation of the spacer structures 3950 and 3952 for the NMOS and PMOS devices, through etching of the tensile stress SiN layer 3930 and the neutral/compressive SiN layer 3934, respectively.
  • Finally, FIG. 39M shows the dual stress layer integration. Halo implants are performed, and conducting contacts such as NiSix are formed, followed by formation of the nitride etch stop layer (ESL). Over the NMOS device, a SiN ESL 3936 exhibiting tensile stress is created. Over the PMOS device, a SiN ESL 3938 exhibiting compressive stress is created.
  • Once deposited, the silicon nitride etch stop layer may be treated to enhance its tensile stress. For example the deposited etch stop layer may be subjected to in-situ plasma treatment. Alternatively or in conjunction with plasma treatment, the deposited etch stop layer may be subjected to a UV cure with, or without a capping layer to modulate the radiation experienced by the film. Examples of such capping layers include but are not limited to amorphous carbon, oxynitride, or other materials having extinction coefficients different from the high stress nitride layer.
  • The integration scheme shown FIGS. 40A-L take advantage of several different sources of tensile stress in order to improve device performance. First, the tensile stress of the nitride spacer layer is utilized. A second source of stress is that induced in the polysilicon gate by the RTP step. A third source of stress is from the nitride etch stop layer formed over the gate.
  • IV. Enhanced Film Conformality
  • The above description has focused upon the enhancement of film stress. However, as shown and described above in connection with FIG. 1, another important property of a film intended to impose strain on a silicon lattice is conformality. Embodiments in accordance with the present invention allow the enhancement of conformality of a CVD film, by permitting deposition and treatment to be performed at low pressures, thereby obviating the need for separate, time-consuming purge steps between film deposition and treatment.
  • The substrate processing techniques described so far have been performed at pressures of about 1 Torr or greater. As shown and described above, however, a cycle in a process for CVD of a film exhibiting controlled properties, may involve successive deposition and treatment under different conditions.
  • Where the processing chamber is operating at pressures of about 1 Torr and above, such changed conditions may generally necessitate pumping or purging steps in order to achieve optimum results. However, as shown and illustrated above in connection with Table IV and FIG. 10, such an intervening pumping/purging steps can consume significant amounts of processing time, substantially reducing throughput.
  • Accordingly, embodiments of the present invention also relate to methods and apparatuses for depositing films by chemical vapor deposition at relatively low pressures (i.e. between about 20-150 mTorr). The pumping required to maintain the chamber in this low pressure range ensures a short residence time for gases employed for deposition and treatment, thereby obviating the need for a separate pumping or purging steps.
  • It has further been discovered that CVD processing at low pressures, and the concurrent elimination of separate intervening gas pumping/purging steps, sufficiently reduces processing time and elevates throughput enough to render commercially practicable the formation of highly conformal SiN films. In particular, the highly conformal CVD SiN films are formed by repeated cycles in which an initial step involving a silicon precursor in the absence of a plasma, results in deposition of a highly conformal layer of amorphous silicon (a-Si). This deposition step is followed by a treatment step in which the conformal a-Si film is exposed to a nitrogen-containing plasma. This cyclic processing regime is rendered commercially practicable by eliminating the need for separate gas pumping and purging steps intervening between the successive deposition and treatment steps of the cycle.
  • In accordance with one embodiment of the present invention, a conformal SiN layer may be formed by employing a cyclic deposition process at low pressure wherein a silane soak deposition step in the absence of plasma is followed by treatment with a plasma formed from N2 as the nitrogen-containing species. In certain embodiments, the plasma may also include argon, which may bombard the deposited film and/or assist in the dissociation of N2, thereby decreasing N—H content in the deposited film and forming dense N—H bonds.
  • In accordance with an alternative embodiment of the present invention, a conformal SiN layer may be formed by employing a cyclic deposition process at low pressure, wherein a silane soak deposition step in the absence of plasma is followed by treatment with a plasma formed from N2 and NH3 as the nitrogen-containing species.
  • FIG. 24 shows an FT-IR spectra of CVD SiN films formed by a 20 sec silane soak followed by treatment by a 10 sec exposure to a plasma formed from N2+Ar, or from N2+NH3. FIG. 24 shows that the presence of argon during treatment may have resulted in a decrease in N—H content, and the formation of dense SiN bonds.
  • In another experiment, this SiN process regime was performed with, and without, a post SiH4 soak purging step. It was discovered that removal of the post-SiH4 soak purging step processing at low pressures, did not impact the thickness of the SiN layer formed per cycle. Specifically, the low pressure and efficient pumping effectively interrupted the SiH4 soaking step.
  • It was also discovered that the thickness of the SiN material deposited per cycle was improved with treatment by the N2/Hr plasma relative to the NH3/N2 plasma. Specifically, treatment with the N2/Ar plasma resulted in a thickness of material deposited per cycle of about 3-5 Å, whereas treatment with the N2/NH3 plasma resulted in a thickness of material deposited per cycle of about 2-5 Å.
  • FIGS. 25A and 25B show electron micrographs of densely-packed topographic features bearing SiN CVD films formed at wafer temperatures of 350° C., utilizing N2+NH3 and N2+Ar plasma treatment, respectively. Comparison of FIG. 25A with FIG. 25B reveals the presence of Ar in the treatment step to have increased N2 dissociation, and improved film morphology and step coverage.
  • FIG. 25C shows an electron micrograph of densely-packed topographic features bearing a SiN CVD film formed from N2+Ar plasma at a higher wafer temperature of 430° C. Comparison of FIG. 25C with FIG. 25B reveals the increased temperature to have improved step coverage of the resulting film.
  • FIG. 25D shows an electron micrograph of less dense topography bearing a CVD SiN film formed from N2+Ar plasma at the 430° C. wafer temperature. Comparison of FIG. 25D with FIG. 25C reveals that the Pattern Loading Effect (PLE) is also improved by this deposition regime.
  • Without being bound by any particular theory, the N2 treatment reduces the hydrogen content in the film leading to the formation of strained Si—N bonds. By introducing additional steps (such as purge and/or pump) after deposition, the effect of the N2 treatment is enhanced because there are no more deposition gases in the chamber. When residual SiH4 and NH3 are still in the chamber, the deposition continues during the treatment as well, and the treatment is able to penetrate through the material already deposited during the intentional deposition step.
  • FIGS. 26A-B are enlarged cross-sectional micrographs showing the upper portion of a raised feature bearing a SiN layer formed by a SiH4 soaking, followed by treatment with N2/Ar and N2/NH3 plasmas, respectively. Comparison of FIGS. 26A-B shows that the nitride layer treated with the N2/NH3 plasma exhibits a columnar, grainy film morphology as compared with the film exposed to the Ar/N2 plasma.
  • The character of the resulting film may depend upon the SiH4 soak deposition step, as well as upon the subsequent treatment with a nitrogen-containing plasma. For example, the quality of morphology of the resulting deposited film may be influenced by the exposure dose during the SiH4 soak step. For purposes of the following discussion, the exposure dose is defined by Equation (I) below:

  • D=T×PP; where  (I)
      • D=exposure dose;
      • T=time of exposure; and
      • PP=partial pressure of SiH4.
  • The thickness of the saturated film per dep/treat cycle is dependent upon the incoming flux of SiH4 reaching the surface, and the rate of desorption of SiH4 from that surface. The incoming SiH4 flux is dependent upon the exposure dose, and the rate of SiH4 desorption is dependent upon the temperature. Accordingly, FIG. 27 plots the rate of deposition of material versus exposure dose. FIG. 27 shows that this rate of deposition will decline with increasing temperature.
  • FIG. 28A plots deposition rate versus exposure dose. FIG. 28B shows a cross-sectional micrograph showing a feature bearing a layer deposited after a SiH4 exposure dose of 500 mT*s. FIG. 28B shows the step coverage performance of the silicon nitride film deposited with the process conditions corresponding to the fourth data point of FIG. 28A.
  • FIGS. 29A-H are cross-sectional electron micrographs showing the morphology of films deposited utilizing a SiH4 soak deposition step followed by treatment by exposure to a nitrogen-containing plasma under the plurality of different conditions shown below in Table XI.
  • TABLE XI
    FIG.
    # Purge? Temp (° C.) Treat Plasma Relative Film Morphology
    29A No
    400 NH3 Columnar
    29B N2 + Ar Homogenous
    29C
    500 NH3 Columnar
    29D N2 + Ar Homogenous
    29E Yes
    400 NH3 Columnar
    29F N2 + Ar Homogenous
    29G
    500 NH3 Columnar
    29H N2 + Ar Homogenous
  • FIGS. 29A-H emphasize the effect of temperature and addition of Ar during treatment, upon step coverage and morphology of the resulting deposited film. These figures indicate that higher temperature improves both step coverage and film morphology. In particular, step coverage from feature side wall-to-top (S/T), is improved from 30% at 400° C., to 60% at 500° C. Film morphology is improved from columnar/grainy, to dense and homogeneous films.
  • Without being bound by any theory, in comparing treatment by NH3 (typically diluted w/N2) with treatment by N2+Ar, the latter is more beneficial because the addition of Ar increases plasma density by improving the N2 dissociation. This provides more N-radicals and ions to react with the SiH4 already present on the surface from the previous SiH4 soak.
  • It has further been discovered that exposure to a plasma including Argon gas can substantially enhance the rate of deposition of a film formed in accordance with embodiments of the present invention.
  • For example, while the discussion so far has focused upon a processing regime wherein SiN is deposited from a plasma including both SiH4 and NH3, this is not required by the present invention. In accordance with alternative embodiments of the present invention, a ratio of NH3:SiH4 can be zero (0) with material deposited in the absence of a plasma. In such an embodiment, an amorphous silicon layer (a-Si) is initially deposited from SiH4 at low pressures. This amorphous silicon layer is then subsequently treated with a plasma containing nitrogen, and also potentially Argon and Helium, in order to result in formation of the SiN.
  • The efficiency of the deposition process is in part limited by the surface coverage of the first precursor. The silicon-source precursor has to be chemisorbed on both the initial as well as the newly formed surfaces with a 100% surface coverage. However, it is known that nitrogen-containing precursors inhibit the adsorption of the silane (SiH4) on the surface, which may lead to a decrease in the deposition rate with the number of cycles.
  • Maintaining a constant deposition rate throughout the deposition process is important to control film thickness. A substantially constant deposition rate can be achieved using a surface activation process which removes the un-reacted precursors and enhances the chemisorption of SiH4 on the SiNx surface. The surface activation process may be realized by employing an argon (Ar) cleaning step. The role of the Ar radicals is to sputter off the excess precursor adsorbed on the surface.
  • In accordance with embodiments of the present invention, Ar is either introduced into the chamber for stabilization or after being stabilized through the divert line. The Ar is radicalized using either a capacitive plasma discharge inside the chamber, or using a Remote Plasma Unit (RPS). The plasma power, gas flow and cleaning time are parameters influencing the surface recovery.
  • FIG. 14 shows the difference in the plots film thickness versus number of cycles for regimes including and not including a post-treatment Ar plasma cleaning step. Without the Ar clean, the deposition rate decreases 10 times over 120 cycles. When using the Ar clean, a constant deposition rate of about 0.5 ML/cycle is achieved. A similar post-treatment cleaning concept can also be used to form other types of films, for example other barrier dielectric films.
  • FIG. 15 plots SiN film thickness versus a number of dep-soak (SiH4)/treat (NH3) cycles under the specific conditions listed below in Table XII:
  • TABLE XII
    Cycle Step
    Process Parameter SiH4 NH3 NH3
    Step soak Purge stab plasma Purge
    Step time (sec) 20 10 10 10 10
    Pressure (Torr) 4.8 4.8 4.8 4.8 4.8
    HF RF Power (W) 0 0 0 400 0
    Heater temperature (C.) 400 400 400 400 400
    Lift Pos (steps) 450 450 450 450 450
    SiH4 Flow (sccm) 230 0 0 0 0
    NH3 Flow (sccm) 0 0 100 100 0
    N2 Flow (sccm) 2000 2000 2000 2000 2000
  • FIG. 15 indicates that the deposition rate decreases over time from 8 Å/cycle to less than 1 Å/cycle. This decrease in deposition rate may be due to the accumulation of NH3 and NH3-derived species on the surface of the film after each dep/treat cycle. Accordingly, a cleaning step may be employed at the end of each cycle to regenerate and prepare the surface to adsorb SiH4 in the deposition stage of the next cycle.
  • FIG. 16 plots thickness of SiN films deposited utilizing the cyclic dep process regime described in Table XII, where the surface is exposed to different conditions between successive dep/treat cycles. FIG. 16 shows exposure to an Argon plasma to be the most effective inter-cycle cleaning approach.
  • FIG. 17 plots thickness of SiN films deposited utilizing the cyclic process regime described in Table XII, where the surface is exposed to different conditions between successive dep/treat cycles. FIG. 17 shows that reduction in power applied to generate the Ar cleaning plasma, resulted in a further improvement in deposition rate.
  • V. Embodiments of Substrate Processing Chambers
  • An embodiment of a substrate processing chamber 80 that can be used for depositing stressed materials is schematically illustrated in FIG. 30. While an exemplary chamber is used to illustrate the invention, other chambers as would be apparent to one of ordinary skill in the art may also be used. Accordingly, the scope of the invention should not be limited to the exemplary embodiment of the chamber or other components provided herein. Generally, the chamber 80 is a plasma enhanced chemical vapor deposition (PE-CVD) chamber suitable for processing a substrate 32, such as a silicon wafer. For example, a suitable chamber is a Producer® SE type chamber from Applied Materials, Santa Clara, Calif. The chamber 80 comprises enclosure walls 84, which include a ceiling 88, sidewalls 92, and a bottom wall 96, that enclose a process zone 100. The chamber 80 may also comprise a liner (not shown) that lines at least a portion of the enclosure walls 84 about the process zone 100. For processing a 300 mm silicon wafer, the chamber typically has a volume of about 20,000 to about 30,000 cm3, and more typically about 24,000 cm3.
  • During a process cycle, the substrate support 104 is lowered and a substrate 32 is passed through an inlet port 110 and placed on the support 104 by a substrate transport 106, such as a robot arm. The substrate support 104 can be moved between a lower position for loading and unloading, and an adjustable upper position for processing of the substrate 32. The substrate support 104 can include an enclosed electrode 105 to generate a plasma from process gas introduced into the chamber 80. The substrate support 104 can be heated by heater 107, which can be an electrically resistive heating element (as shown), a heating lamp (not shown), or the plasma itself. The substrate support 104 typically comprises a ceramic structure which has a receiving surface to receive the substrate 32, and which protects the electrode 105 and heater 107 from the chamber environment. As discussed below, use of ceramic materials for the chamber components allows processing to take place at temperatures in excess of 400° C., which is typically the upper limit of conventional materials such as aluminum. Examples of ceramic materials allowing a heater to perform processing at elevated temperatures include aluminum nitride (up to 900° C.), graphite (>1000° C.), silicon carbide (>1000° C.), alumina—Al2O3 (<500° C.), and Ytria—Y2O3 (>1000° C.).
  • In use, a radio frequency (RF) voltage is applied to the electrode 105 and a direct current (DC) voltage is applied to the heater 107. The electrode 105 in the substrate support 104 can also be used to electrostatically clamp the substrate 32 to the support 104. The substrate support 104 may also comprise one or more rings (not shown) that at least partially surround a periphery of the substrate 32 on the support 104.
  • After a substrate 32 is loaded onto the support 104, the support 104 is raised to a processing position that is closer to the gas distributor 108 to provide a desired spacing gap distance, ds, therebetween. The spacing distance can be from about 2 mm to about 12 mm. The gas distributor 108 is located above the process zone 100 for dispersing a process gas uniformly across the substrate 32. The gas distributor 108 can separately deliver two independent streams of first and second process gas to the process zone 100 without mixing the gas streams prior to their introduction into the process zone 100, or can premix the process gas before providing the premixed process gas to the process zone 100. The gas distributor 108 comprises a faceplate 111 having holes 112 that allow the passage of process gas therethrough. The faceplate 111 is typically made of metal to allow the application of a voltage or potential thereto, and thereby serve as electrode in the chamber 80. A suitable faceplate 111 can be made of aluminum with an anodized coating. The substrate processing chamber 80 also comprises first and second gas supplies 124 a, b to deliver the first and second process gas to the gas distributor 108, the gas supplies 124 a, b each comprising a gas source 128 a, b, one or more gas conduits 132 a, b, and one or more gas valves 144 a, b. For example, in one version, the first gas supply 124 a comprises a first gas conduit 132 a and a first gas valve 144 a to deliver a first process gas from the gas source 128 a to a first inlet 110 a of the gas distributor 108, and the second gas supply 124 b comprises a second gas conduit 132 b and a second gas valve 144 b to deliver a second process gas from the second gas source 128 b to a second inlet 110 b of the gas distributor 108.
  • The process gas can be energized by coupling electromagnetic energy, for example, high frequency voltage energy to the process gas to form a plasma from the process gas. To energize the first process gas, a voltage is applied between (i) the electrode 105 in the support 104, and (ii) a second electrode 109 which may be the gas distributor 108, ceiling 88 or chamber sidewall 92. The voltage applied across the pair of electrodes 105, 109 capacitively couples energy to the process gas in the process zone 100. Typically, the voltage applied to the electrode 105, 109 is at a radio frequency. Generally, radio frequencies cover the range of from about 3 kHz to about 300 GHz. For the purposes of the present application, low radio frequencies are those which are less than about 1 MHz, and more preferably from about 100 KHz to 1 MHz, such as for example a frequency of about 300 KHz. Also for the purposes of the present application, high radio frequencies are those from about 3 MHz to about 60 MHz, and more preferably about 13.56 MHz. The selected radio frequency voltage is applied to the first electrode 105 at a power level of from about 10 W to about 1000 W, and the second electrode 109 is typically grounded. However, the particular radio frequency range that is used, and the power level of the applied voltage, depend upon the type of stressed material to be deposited.
  • The chamber 80 also comprises a gas exhaust 182 to remove spent process gas and byproducts from the chamber 80 and maintain a predetermined pressure of process gas in the process zone 100. In one version, the gas exhaust 182 includes a pumping channel 184 that receives spent process gas from the process zone 100, an exhaust port 185, a throttle valve 186 and one or more exhaust pumps 188 to control the pressure of process gas in the chamber 80. The exhaust pumps 188 may include one or more of a turbo-molecular pump, cryogenic pump, roughing pump, and combination-function pumps that have more than one function. The chamber 80 may also comprise an inlet port or tube (not shown) through the bottom wall 96 of the chamber 80 to deliver a purging gas into the chamber 80. The purging gas typically flows upward from the inlet port past the substrate support 104 and to an annular pumping channel. The purging gas is used to protect surfaces of the substrate support 104 and other chamber components from undesired deposition during the processing. The purging gas may also be used to affect the flow of process gas in a desirable manner.
  • A controller 196 is also provided to control the activities and operating parameters of the chamber 80. The controller 196 may comprise, for example, a processor and memory. The processor executes chamber control software, such as a computer program stored in the memory. The memory may be a hard disk drive, read-only memory, flash memory or other types of memory. The controller 196 may also comprise other components, such as a floppy disk drive and a card rack. The card rack may contain a single-board computer, analog and digital input/output boards, interface boards and stepper motor controller boards. The chamber control software includes sets of instructions that dictate the timing, mixture of gases, chamber pressure, chamber temperature, microwave power levels, high frequency power levels, support position, and other parameters of a particular process.
  • The chamber 80 also comprises a power supply 198 to deliver power to various chamber components such as, for example, the first electrode 105 in the substrate support 104 and the second electrode 109 in the chamber. To deliver power to the chamber electrodes 105, 109, the power supply 198 comprises a radio frequency voltage source that provides a voltage having the selected radio frequencies and the desired selectable power levels. The power supply 198 can include a single radio frequency voltage source, or multiple voltage sources that provide both high and low radio frequencies. The power supply 198 and also include an RF matching circuit. The power supply 198 can further comprise an electrostatic charging source to provide an electrostatic charge to an electrode often electrostatic chuck in the substrate support 104. When a heater 107 is used within the substrate support 104, the power supply 198 also includes a heater power source that provides an appropriate controllable voltage to the heater 107. When a DC bias is to be applied to the gas distributor 108 or the substrate support 104, the power supply 198 also includes a DC bias voltage source that is connected to a conducting metal portion of the faceplate 111 of the gas distributor 108. The power supply 198 can also include the source of power for other chamber components, for example, motors and robots of the chamber.
  • The substrate processing chamber 80 also comprises a temperature sensor (not shown) such as a thermocouple or an interferometer to detect the temperature of surfaces, such as component surfaces or substrate surfaces, within the chamber 80. The temperature sensor is capable of relaying its data to the chamber controller 196 which can then use the temperature data to control temperature of the processing chamber 80, for example, by controlling the resistive heating element in the substrate support 104.
  • The embodiment of the chamber described above in connection with FIG. 30 is typically configured to perform processing at pressures of about 1 Torr and above. As shown and described above, however, in order to deposit highly conformal films with reasonably high throughput, it may be advantageous to perform processing in a substantially lower pressure regime.
  • Accordingly, FIG. 31 shows a simplified cross-sectional view comparing the processing chambers of the Applied Materials' Producer® SE chamber configured to operate at higher (1 Ton) pressures, and an alternative chamber embodiment configured to operate at lower (−20-150 mTorr) pressures. FIG. 32 shows a perspective view of the modified chamber.
  • Lower pressure chamber 3100 differs from higher pressure chamber 3102 in the following respects. First the low pressure chamber 3100 has been modified to increase the volume 3102 under the heater 3104 in order to improve turbo pumping symmetry and efficiency. This allows the modified chamber to accommodate a higher power pump (not shown) with an adapter tube 3103 and a turbo throttle valve (not shown) and adding a new turbo adapter tube parts to accommodate these parts. The chamber was redesigned to create a low pressure pump port 3106 located at approximately the height of the wafer pedestal 3108. This in turn involved deepening the chamber body profile by about 2″, which in turn involved extending lift pin rods 3110 and heater adapter block 3112 by about the same distance.
  • In the region overlying the pedestal, isolators 3114 having a measured thickness were employed, and spacers (not shown) were used to raise lid components as necessary.
  • Different types of stressed materials can be deposited in accordance with embodiments of the present invention. One type of stressed material that is commonly deposited comprises silicon nitride. By silicon nitride it is meant a material having silicon-nitrogen (Si—N) bonds, including materials such as silicon oxy-nitride, silicon-oxygen-hydrogen-nitrogen, and other stoichiometric or non-stoichiometric combinations of silicon, nitrogen, oxygen, hydrogen and even carbon.
  • For example, silicon nitride films were traditionally used as an etch stop for the borophosphosilicate glass (BPSG) pre-metal dielectric (PMD) layer immediately overlying the active devices formed on the substrate. This is in part because silicon nitride films act as an excellent barrier to mobile ions when deposited at very high temperatures (i.e. >650 C). However, with the introduction of silicide contacts to the gate (such as NiSix), the thermal budget for deposition of SiN films was reduced to 480° C. In addition, other materials (such as low k SiOC, SiCN, BN, BCN, SiBCN and related materials) have been introduced at this level, both for etch stop and spacer applications.
  • Two methods have been identified to improve the barrier properties of silicon nitride dielectric films used for such etch stop and spacer applications. One approach is to employ a higher (480° C. vs. 400° C.) deposition temperature, and is discussed below.
  • Another approach is to introduce dopants into the SiN film. The role of dopant ions is two fold: to act as mobile-ion getter (i.e P), and increase the film density. Addition to of dopants to the deposition chemistry can be used to improve barrier performance at low temperature (<400° C.). Examples of such dopants include but are not limited to phosphorus, boron, carbon, chlorine, fluorine, sulfur, Ar, and Xe.
  • In the case of P-doped nitride, on average, every other phosphorus site would have “extra” non-bridging oxygen atom associated with it. FIG. 81 provides a simplified schematic diagram of this type of film. As indicated in FIG. 81, these atoms will have a significant local negative charge, and thus represent favorable sites for a positive ion such as sodium drifting through the lattice.
  • Exemplary methods to deposit silicon nitride stressed material are described herein to illustrate the invention; however, it should be understood that these methods can also be used to deposit other types of materials, including stressed silicon oxide, stressed dielectric layers, and others. Thus, the scope of the present invention should not be limited to the illustrative stressed silicon nitride embodiment described herein.
  • VI. Deposition Temperature
  • As discussed above, improvement in stress properties of a SiN layer can be achieved through RF bombardment with dilution gases. FIG. 54 is a simplified schematic diagram illustrating deposition of silicon nitride under different conditions.
  • FIG. 54 shows that the highest compressive stress (−3.3 GPa) was demonstrated using PECVD at a deposition temperature of 400° C. These conditions represent an extension of existing SiH4—NH3 deposition chemistry.
  • Further work has indicated that the temperature of deposition of the film can also affect its properties, including compressive stress. In particular, it has been found that compressive stress of a SiN film can be increased (to −3.5 GPa) by an increase in deposition temperature (480° C.). TABLE XIII shows three different conditions for formation of silicon nitride films.
  • TABLE XIII
    PARAMETER A2 M3i M3r
    High Frequency RF 100 80 90
    Low Frequency RF 75 80 30
    SiH4 Flow (sccm) 60 60 50
    NH3 Flow (sccm) 130 150 100
    Ar Flow (sccm) 3000 3000 3000
    N2 Flow (sccm) 1000
    H2 Flow (sccm) 1000 3500
  • FIG. 55A is a bar chart of stress for nitride films deposited under the three different conditions of TABLE XIII. This figure shows that under all three conditions, compressive stress is enhanced by increasing the deposition temperature.
  • FIG. 55B shows FTIR absorbance spectra of the nitride films deposited in FIG. 55A. This figure shows that Si—H Content is reduced by increasing deposition temperature leading to improved thermal stability
  • FIG. 56A-C are bar charts showing various characteristics of the nitride films deposited in FIG. 55A. These figures show that film properties such as density, wet etch rate (WER), and hydrogen content, are improved by increasing deposition temperature
  • FIG. 57 plots stress versus deposition temperature exhibited by nitride films deposited under different conditions. FIG. 57 indicates that stress hysteresis drops by 1 GPa by increasing the deposition temperature from 400° C. to 480° C.
  • TABLE XIV
    Film properties M3i compressive process M3i compressive process
    Deposition
    400° C. 480° C.
    Temperature (° C.)
    Deposition   6.2 6.1
    Rate (Å/s)
    Refractive Index    1.970 1.980
    (RI)
    Stress (GPa)  −2.8 −3.0
    Density (g/cm3)   2.9 3.0
    (measured by XRR)
    Si:N:H (RBS/HFS) 31:47:22 33:48.4:18.6
    Wet Etch Rate 15 8.5
    (Å/min) in 100:1 HF
    Thermal Stability
    300* <100 (80 MPa)
    (5 h/400° C.)
    ΔStress (MPa)

    TABLE XIV shows that the properties of film stress, density, wet etch rate, and hydrogen content are each improved by increasing deposition temperature
  • FIG. 58 plots atomic hydrogen concentration versus depth into a silicon nitride film formed over a silicon substrate. These results show lower hydrogen concentration in the film deposited at 480° C.
  • An increased temperature of depositions of the SiN film can also result in enhanced adhesion to the underlying material. TABLE XV shows the energy (Gc) required to delaminate layers of a various film stacks including SiN:
  • TABLE XV
    SiN dep Ave Gc
    Test stack temp (J/m2) Failure interface
    Si/NiSix 200A/850A SiN 480° C. 177.8 Top SiN/top epoxy layer
    Si/850A SiN 480° C. 230.7 Top SiN/top epoxy layer
    Si/NiSix 200A/850A SiN 400° C. 138.4 Top SiN/top epoxy layer
    Si/850A SiN 400° C. 207.2 Top SiN/top epoxy layer

    All test samples were delaminated at SiN/epoxy interface. No Gc could be generated for Si/SiN or NiSi/SiN interfaces, because the Si/SiN stack has never delaminated at Si/SiN interface. The adhesion is improved (higher Gc) by increasing deposition temperature from 400 to 480° C.
  • FIGS. 59A-B plot various characteristics of silicon nitride films deposited under different conditions. These figures show that compressive stress reaches −3.0 GPa at 480° C.
  • FIG. 60 plots stress and refractive index of silicon nitride films deposited at different temperatures. Here, a compressive stress of −3.3 GPa was demonstrated using PECVD at 400° C. These conditions represent an extension of existing SiH4-NH3 chemistry. Compressive stress of the deposited film increased to −3.5 GPa with a deposition temperature of 480° C.
  • Deposition of silicon nitride films at higher temperatures required the use of an apparatus having components that are capable of withstanding the higher temperatures. For example, a substrate heater may be comprised of ceramic rather than aluminum, in order to withstand temperatures of greater than 420° C.
  • Improved step coverage for a tensile stressed SiN film may be achieved under certain processing conditions. TABLE XVI lists three different sets of conditions for forming a SiN film:
  • TABLE XVI
    Process Parameters D1 D1-H D8
    HF RF (W) 45 45 100
    SiH4 (sccm) 25 25 75
    NH3 (sccm) 50 50 3,200
    N2 (sccm) 20,000 10,000 10,000
    Pressure (Torr) 6 6 5
    Spacing (mils) 430 430 480
    baseline Improved step coverage reference

    TABLE XVI illustrates that good step coverage is expected for process regimes with higher concentration of Si(NH2)3 in the gas phase
  • FIGS. 61A-B are bar charts of stress and deposition rate of silicon nitride films formed under various conditions. All stress nitride films characterized exhibit a stress of >1.0 GPa at 480° C. Thus, the higher deposition rates characteristic of higher deposition temperatures, can be achieved without significant stress degradation.
  • FIGS. 62A-C are bar charts of various properties of silicon nitride films deposited under different conditions. Density, wet etch rate ratio (WERR), and hydrogen content all improve with increasing deposition temperature.
  • FIG. 80 plots stress and wet etch rate of silicon nitride films deposited at different temperatures. This figure shows that density of the tensile nitride film increases with deposition temperature. The benefit of higher deposition temperature is also proven by device performance, with improved reliability achieved with higher deposition temperatures.
  • As discussed above, UV curing of deposited SiN films may result in enhanced stress. Parameters of this UV curing, such as temperature, may also affect properties such as stress of the resulting SiN film.
  • FIGS. 63A-B plot stress and shrinkage respectively, of silicon nitride films formed under different conditions. In these figures, the first temperature represents the temperature at deposition, and the second temperature represents the temperature at which the UV curing takes place. FIGS. 63A-B show that the low deposition temperature film exhibits the highest post UV cure stress, even though the stress of the film as-deposited is lower. Thus, deposition temperature has the most impact on film shrinkage during UV cure. The figures also indicate that a curing at a higher temperature improves cure efficiency, allowing a shorter cure time or higher stress for a given cure time.
  • The underlying topography upon which the SiN film is deposited may affect the stress. FIG. 64A is an electron micrograph of a densely patterned structure bearing a deposited silicon nitride film. FIG. 64AB is a bar chart of stress of films formed over densely patterned features under different conditions. FIG. 64B is an electron micrograph of an isolated feature bearing a deposited silicon nitride film. FIG. 64BA is a bar chart of stress of films formed over isolated features under different conditions.
  • These figures indicate that the combination of a deposition temperature of 400° C. with a UV curing temperature of 480° C. results in the highest stress on densely patterned structures. In these figures, the stress measurements were extracted based upon film shrinkage data.
  • FIGS. 65A-B are bar charts showing hydrogen content and wet etch rate ratio (WERR) of silicon nitride films formed under various conditions. These figures reveal that a higher UV cure temperature reduces overall H content of the film. In addition, a higher deposition temperature is beneficial for better film density, as indicated by reduction in the wet etch rate ratio.
  • TABLE XVII shows the properties of SiN films formed under different conditions:
  • TABLE XVII
    Film Properties U2 1x600A dep & 7 mins cure
    CVD temp (° C.) 300 400 480
    As-dep Stress 0.26 0.67 1.01
    (GPa)
    UV Cure temp 400 480 400 480 480
    (° C.)
    Post-cure Stress 1.68 1.76 1.60 1.74 1.55
    (GPa)
    Post-cure RI 1.81 1.82 1.85 1.86 1.89
    Average 16.7% 17.4% 8.8% 10.0% 4.1%
    shrinkage (%)
    Density (g/cm3) 2.5 2.5 2.4 2.5 2.5
    WERR (100:1 8.9 6.4 4.8 2.5
    DHF)
    Si:N:H 35:49:16 38:52:9 H~14% 40:49:11 40:48:12
    (RBS/HFS)
  • TABLE XVII indicates that the higher UV cure temperature reduces overall H content of the film. Higher deposition temperature is beneficial for better film density, as indicated by the reduction in wet etch rate ratio.
  • In summary, deposition temperature has the most impact on film shrinkage during UV cure. Lower deposition temperature yields highest post UV stress even though the stress of the film as-deposited is the lowest. Film shrinkage during UV cure decreases with deposition temperature, and higher deposition temperature is beneficial for wet etch rate reduction. Higher UV cure temperature removes more H from the film and increases post UV cure stress. Density, wet etch rate, and hydrogen content improve with increasing deposition temperature.
  • VII. Integrated Deposition/Cure Processes
  • Stressed SiN films may be formed over the raised gate structures of MOS transistors in order to impose stress. The sidewalls of such gates are typically substantially vertical, and thus one issue encountered with forming these stressed nitride layers is degradation of the integrity of the film at the sharp (90°) corners during UV curing due to film shrinkage.
  • FIGS. 66A-B are electron micrographs of features bearing silicon nitride films before and after UV curing, respectively. FIG. 66B shows that integrity of the film at the bottom corner is degraded during UV cure owing to shrinkage of the film.
  • FIG. 67A is a simplified schematic diagram showing stress of an NMOS structure. This figures shows the stress to be tensile along both the x- and z-axes, and compressive along the y-axis.
  • FIG. 67B is a simplified cross-sectional view of an NMOS gate structure that is experiencing stress. Electron and hole mobility change per 1 GPa stress, based upon piezoresistance effect.
  • However, shrinkage of the silicon nitride film at the bottom corners of the raised gate structure can pull the film away in opposite directions, leading to cracks and seams at these locations. Such film degradation at the gate corner reduces the overall mobility improvement by 50%. Therefore, ensuring continuity of the integrity of the stressed nitride film is desirable to achieve the highest improvement in the performance of the NMOS device.
  • FIGS. 68A-F are electron micrographs showing silicon nitride films formed under different conditions over dense and isolated structures. The SiN films of these figures had a thickness of 600 Å “as deposited”, and were exposed to a single UV cure at 480° C. for 7 min. These figures indicate that corner cracking is more probable for films deposited at low temperature due to high UV cure shrinkage.
  • FIGS. 69A-C are electron micrographs showing the corners of raised features bearing silicon nitride films deposited at a temperature of 400° C. and exposed to UV curing at 480° C. for 7 minutes. Comparison of these FIGS. with FIGS. 68A-F, reveals that a higher deposition temperature increases the cracking threshold. Specifically, FIG. 69B shows no cracking of a film having a thickness of <600 Å that was deposited at 400° C. By contrast, FIG. 68B shows cracking of a film having a thickness of <300 Å that was deposited at 300° C. However, this increased cracking threshold comes at the expense of the lower stress of these films (1.70 GPa versus 1.75 GPa, respectively).
  • One approach to resolving the issue of film cracking is to employ integrated UV curing to improve corner integrity. FIGS. 70A-F are electron micrographs showing silicon nitride films formed over raised features under different conditions.
  • Specifically, FIG. 70A shows a raised feature bearing a SiN film formed by three successive cycles of deposition followed by curing. FIG. 70B shows a raised feature bearing a SiN film formed by six successive cycles of deposition followed by curing. These figures show that an integrated multilayer deposition-cure approach helps improve integrity of the film at the corners. However, the layers resulting from the successive deposition-cure cycles may exhibit weak interfaces.
  • However, integration of a post-UV cure plasma treatment process may help enhance the interface between the films resulting from integrated deposition-curing. Specifically, exposure of a surface of a UV cured nitride layer to a plasma may result in the formation dangling bonds. Such dangling bonds activate the surface, promoting subsequent formation of overlying nitride on that surface and promoting adhesion between the surface and the overlying nitride.
  • FIG. 70C shows a raised feature bearing a SiN film formed by three successive cycles of deposition followed by curing and plasma treatment. FIG. 70D shows a raised feature bearing a SiN film formed by six successive cycles of deposition followed by curing and then plasma treatment. These figures show that post UV cure plasma treatment improves the interface between layers and preserves corner integrity.
  • Moreover, incorporation of such post-UV cure plasma treatment improves adhesion while not affecting the stress of the resulting film. FIGS. 70E-F show raised features bearing a film comprising a trio of 200 Å thick SiN films formed by integrated deposition-curing cycles, lacking and including treatment with an N2 plasma, respectively. These figures reveal that post UV cure plasma treatment improves the interface between layers and preserves corner integrity without stress degradation.
  • FIGS. 71A-B are bar charts showing thickness and stress, respectively, of SiN films formed under different conditions of integrated deposition-curing. These figures indicate that no significant shrinkage or stress difference was observed for multi-layer deposition-curing, with or without post-cure plasma treatment. The post-cure thickness of the film with integrated post plasma treatment is 20-30% the original thickness.
  • FIG. 72 plots Fourier Transform Infrared (FTIR) spectra of silicon nitride films formed under different integrated deposition-cure conditions. This figure indicates that decreasing the number of layers and introducing post-cure plasma treatment step does not affect the composition of the resulting films.
  • FIGS. 73A-B show electron micrographs of raised features bearing silicon nitride films formed under different conditions. Specifically, FIG. 73A shows a SiN film formed utilizing a single deposition-cure cycle. FIG. 73B shows a SiN film formed utilizing multiple deposition-cure cycles. These figures reveal that an integrated deposition and UV cure process sequence helps to improve film corner integrity without impacting stress of the film.
  • Moreover, utilizing an integrated deposition-cure process for forming a SiN film can resolve issues related to corner cracking FIGS. 74A-C show electron micrographs of isolated features bearing a total thickness of 600 Å of silicon nitride films deposited at 300° C. and exposed to UV curing at 480° C. In contrast with the film formed in a single deposition-cure cycle (FIG. 73A), the films formed utilizing multiple integrated deposition-cure cycles exhibited no significant film degradation at the corner observed in the isolated areas where volume change is higher. The thickness threshold can be increased to 300 Å depending on topography.
  • FIGS. 75A-C are electron micrographs of silicon nitride films formed according to the same conditions as in FIGS. 74A-C, except over densely patterned features. Again, no corned cracking was observed for 200 Å “as deposited” film per layer. And, the thickness threshold can be increased to 300 Å depending on topography.
  • FIG. 76 plots hydrogen concentration versus depth into a tensile-stressed silicon nitride film formed under different conditions. This figure indicates that the integrated multiple deposition/cure process produces lower total hydrogen content for the layer closest to the gate.
  • FIGS. 77A-B plot stress versus cure time for silicon nitride films exposed to different UV curing conditions. Specifically, FIG. 77A shows the effect upon stress, of a single pass of UV curing of nitride films of different thicknesses. Here, the 1200 Å as-deposited film yields a lower final stress as compared to the thinner film due to the longer H diffusion path. FIG. 77B shows the effect upon stress, of a multiple passes of UV curing of nitride films. This Figure shows that a multiple deposition-cure process sequence also improves stress over a single pass process for thick films, in addition to preventing corner cracking.
  • FIG. 78A plots atomic concentration of different elements versus depth into a silicon nitride film. This figure indicates that the SiN film as-deposited, exhibits some level of surface oxidation upon exposure to air, according to the following reaction:

  • Si—H+H—OH—→SiOH+H2
  • FIG. 78B is a bar chart of stress of silicon nitride films formed under different conditions. This figure indicates that the oxide film formed at the film surface acts as hydrogen barrier, reducing the tensile stress induced by the UV cure. Accordingly, an integrated deposition/curing process without a break in vacuum and corresponding exposure to air is desirable to maintain high stress levels and prevent film oxidation.
  • FIG. 79A is a simplified schematic diagram of an embodiment of an apparatus 7900 in accordance with the present invention, which may be used to form a stressed silicon nitride film. Transfer chamber 7902 is maintained at vacuum in order to prevent the unwanted growth of oxide between the deposition step performed in chamber 7904, and the UV curing performed in chamber 7906. Where the silicon nitride films are to be deposited at high temperatures, the heater and support of the deposition chamber 7904 should be formed from a material such as ceramic (rather than aluminum) able to withstand the elevated temperatures. The same is true for the elements of chamber 7906, where curing is to be performed at elevated temperatures in accordance with embodiments of the present invention.
  • FIG. 79B is a screen shot showing of a sequence of steps employed by the tool of FIG. 79A. Example parameters of recipes that may be run are shown in TABLE XVIII below:
  • TABLE XVIII
    Name N2 stab N2 treat Purge Stab DEP Purge Lift PUMP
    Mode Time Time Time End Time Time TimeOrEnd Time
    point point
    MaxTime
    10 10 5 25  18* 5 5 10
    Heater Temp 300 300 300 300 300 300 300 300
    (deg C.)
    PressMode Servo Servo Servo Servo Servo Servo Servo AbsCtrl
    Press (torr) 8.5 8.5 8.5 8.5 8.5 8.5 8.5 0
    TV position 0 0 0 0  0 0 0 90
    (deg)
    LiftPos Process Process Process Process Process Process Process Lift
    HtrSpace (in) 0.3 0.3 0.3 0.3 0.3 0.3 1.6 1.6
    RFTime (s) 0 10 0 0  18 0 0 0
    HighFreqRFPwr 0 50 0 0 100 0 0 0
    (W)
    RFMatchSet M4 M4 M4 M4 M4 M4 M4 M4
    SIH4 Flow Set 0 0 0 60  60 0 0 0
    (sccm)
    NH3 Flow Set 0 0 0 900 900 0 0 PumpThro
    (sccm) Final
    Ar-Dep 10000 10000 0 0  0 0 0 PumpThro
    Flow Set Final
    (sccm)
    N2 Flow Set 10000 10000 10000 1000 1000  2000 1000 PumpThro
    (sccm) Final
    Endpoint Pressure
    and Gas
    flow
  • In summary, a film deposited at low deposition temperature exhibits the highest stress post-UV cure, even though it exhibits the lowest stress as-deposited. This indicates that deposition temperature has the most impact on film shrinkage during UV cure. A higher UV cure temperature improves cure efficiency (resulting in a shorter cure time or a higher stress at a given cure time). Processes integrating multiple deposition/cure cycles improves corner integrity at the bottom of the gate, but require additional steps. However, throughput can be improved by increasing deposition temperature, which will increase the threshold for “as deposited” thickness per layer. Finally, such an integrated deposition/curing process should be performed without vacuum break in order to avoid film oxidation and to maintain high stress levels.
  • Embodiments in accordance with the present invention generally provide a method for forming a dielectric film on a substrate. In one embodiment, the method comprises placing a substrate with at least one formed feature across a surface of the substrate into a chamber. A dielectric layer is deposited on the surface of the substrate. The dielectric layer is treated with plasma. The dielectric layer is treated with a UV source. In one embodiment, the method further comprises repeating depositing the dielectric layer and treating the dielectric layer with plasma. In another embodiment, the dielectric layer comprises silicon oxide, silicon oxynitride, or silicon nitride. In one embodiment the depositing a dielectric layer and treating the dielectric layer with plasma are performed in the same chamber. In one embodiment, the plasma comprises a mixture of argon and nitrogen.
  • Also, embodiments in accordance with the present invention generally provide a method for forming a dielectric film on a substrate. The method comprises placing a substrate with at least one formed feature across a surface of the substrate into a chamber. A dielectric layer is deposited on the surface of the substrate. The dielectric layer is treated with plasma. The dielectric layer is treated with a UV source. The dielectric layer is treated with plasma. In one embodiment, the dielectric layer comprises silicon oxide, silicon oxynitride, or silicon nitride. In one embodiment, the plasma comprises a mixture of argon and nitrogen.
  • Embodiments in accordance with the present invention provide a method and apparatus for depositing a conformal dielectric film over a formed feature. The films that can benefit from this process include dielectric materials such as silicon oxide, silicon oxynitride, or silicon nitride. The films may be carbon doped, hydrogen doped, or contain some other chemical or element to tailor the dielectric properties. The layer may be carbon doped or nitrogen doped. Specifically, a combination of thin layers that have been individually deposited and plasma treated provide a more conformal film than a single thick dielectric layer. The chambers that are preferred for this process include the PRODUCER P3™ chamber, PRODUCER APF PECVD™ chamber, PRODUCER BLACK DIAMOND PECVD™ chamber, PRODUCER BLOK PECVD™ chamber, PRODUCER DARC PECVD™ chamber, PRODUCER HARP™ chamber, PRODUCER PECVD™ chamber, PRODUCER SACVD™ chamber, PRODUCER STRESS NITRIDE PECVD™ chamber, and PRODUCER TEOS FSG PECVD™ chamber, and each of these chambers is commercially available from Applied Materials, Inc. of Santa Clara, Calif. One exemplary system is described in U.S. patent application Ser. No. 11/414,869, entitled UV ASSISTED THERMAL PROCESSING, filed May 1, 2006, which is herein incorporated by reference to the extent it is not inconsistent with the current specification. The chambers of this process may be configured individually, but are most likely part of an integrated tool such as an ENDURA™ integrated tool and a CENTURA™ integrated tool which are commercially available from Applied Materials, Inc. of Santa Clara, Calif. The process may be performed on any substrate, such as a 200 mm or 300 mm substrate or other medium suitable for semiconductor or flat panel display processing.
  • FIG. 82 is a flow chart of an embodiment of a deposition process 8200. All of the process steps of deposition process 8200 may be performed in the same chamber. The process 8200 begins with start step 8210 that includes placing a substrate with at least one formed feature across its surface into a chamber. The formed feature may be any type of formed feature such as a via or interconnect. Next, a dielectric layer is deposited by CVD or PECVD during thin dielectric layer deposition step 8220. The thin dielectric layer may be silicon oxide, silicon oxynitride, or silicon nitride. The layer may be carbon doped or nitrogen doped. The thin dielectric layer may have a thickness of about 1 Å to about 8 Å. The pressure of the chamber is about 100 mTorr to about 8 Torr, and 2 to 8 Torr is preferred. The thin dielectric layer is deposited during deposition step 8220 for about 2 to about 5 seconds and then the thin dielectric layer is plasma treated during step 8230. Deposition methods for thin dielectric film layers are discussed in U.S. Provisional Patent Application No. 60/788,279, entitled METHOD TO IMPROVE THE STEP COVERAGE AND PATTERN LOADING FOR SILICON NITRIDE FILMS, filed Mar. 31, 2006, which is herein incorporate by reference to the extend it does not conflict with the current specification. The thin dielectric layer is then UV treated during step 8240.
  • The UV source for the UV treatment step 8240 may comprise UV lamps including sealed plasma bulbs filled with one or more gases such as xenon (Xe) or mercury (Hg) for excitation by a power source. In one embodiment, the power source may be a conventional UV power source or one or more transformers to include energize filaments of the magnetrons. In another embodiment, the power source can introduce radio frequency (RF) energy sources that are capable of excitation of the gases within the UV lamp bulbs. In one embodiment, the UV lamp bulb may have low pressure Hg or other low pressure UV producing discharges to produce radiation of 254 nm and 185 nm.
  • The process is completed during the end step 8260. During end step 8260 the substrate undergoes additional processing and is removed from the chamber.
  • FIG. 83 is a flow chart of an embodiment of a deposition process 8300 which includes a start step 8310. The process 8300 begins with start step 8310 that includes placing a substrate with at least one formed feature across its surface into a chamber. The formed feature may be any type of formed feature such as a via or interconnect. Next, a dielectric layer is deposited by CVD or PECVD during thin dielectric layer deposition step 8320. As discussed above, the thin dielectric layer may be silicon oxide, silicon oxynitride, or silicon nitride. The thin dielectric layer is plasma treated during step 8330. Plasma treatment step 8330 may be performed with a combination of any inert plasma and nitrogen but is preferably performed with a combination of argon and nitrogen. The thin dielectric layer is then UV treated during step 8340. The thin dielectric layer undergoes another plasma treatment step in step 8350. UV cure of patterned structures generally suffers from shadowing effects which reduce the cure efficiency at the gate corners. The addition of this second plasma treatment step, preferably performed with a nitrogen plasma, improves the corner integrity by healing the “partially cured” region at the bottom of the poly gate. This process can be optimized as a function of the desired film thickness for improved throughput performance. Furthermore, repeating the steps of depositing a dielectric layer, treating the dielectric layer with a plasma, treating the dielectric layer with a UV source, and treating the dielectric layer with a plasma improves step coverage. Dividing the deposition/cure sequence in multiple cycles allows for improved corner integrity by reducing the amount of shrinkage per layer and improved step coverage.
  • FIG. 84 is a graph depicting the effect of the deposition process on the post-UV cure wet etch rate (WER) and stress. FIG. 84 shows the significant decrease in WER by introducing the Argon/Nitrogen plasma treatment (C) after the deposition prior to UV cure with minor stress degradation in comparison to no plasma treatment (A) or plasma treatment at high temperature (B). NMOS device performance increases linearly with the tensile stress level of the SiNxHy contact liner. FIG. 84 shows that UV treatment combined with in-situ plasma can be used to increase the tensile stress of the nitride layers. However, to benefit from both the in-situ plasma treatment and the UV-cure, the composition of “as-deposited” nitride film should be tailored so the Si—H/N—H ratio is approximately one. The Ar addition to the nitrogen plasma modifies the plasma density thus increasing the concentration of nitrogen radicals responsible for removing hydrogen from the film. For example, this combination of in-situ plasma treatment and UV cure allows for the deposition of a nitride film with 1.55 GPa tensile stress and a low wet etch rate at a low temperature of approximately 400° C.
  • Silicon Nitride films with tensile stress up to 1.7 GPa can be deposited at 400° C. using UV cure by optimizing the Si—H/N—H ratio and total hydrogen content in the “as deposited film”. As shown in FIG. 85, exposing PECVD SiNx film to broadband UV light leads to a steep decrease of the hydrogen content and increase in the cross-linking of the nitride network. The stress increase is induced by a three-dimensional shrinkage of the film due to formation of Si—N bonds following the dissociation of Si—H and N—H bonds.
  • While the above embodiments have been described in connection with formation of silicon nitride films, the present invention is not limited to this particular example. Other types of films, including silicon oxynitride and doped silicon nitride films, could also be formed according to embodiments of the present invention. Examples of dopants in such films include but are not limited to carbon, oxygen, boron, phosphorous, germanium, and arsenic.
  • Although exemplary embodiments of the present invention are shown and described, those of ordinary skill in the art may devise other embodiments which incorporate the present invention, and which are also within the scope of the present invention. For example, other radiation treatments, such as infrared radiation or selected wavelengths of visible light may also be used to treat the deposited film. Also, a combination of different radiation exposures can also be used. Furthermore, the terms below, above, bottom, top, up, down, first and second and other relative or positional terms are shown with respect to the exemplary embodiments in the FIGS. and are interchangeable. Therefore, the appended claims should not be limited to the descriptions of the preferred versions, materials, or spatial arrangements described herein to illustrate the invention.

Claims (11)

1.-16. (canceled)
17. A method of forming a dielectric film on a substrate, comprising:
placing a substrate with at least one formed feature across a surface of the substrate into a chamber;
depositing a dielectric layer on the surface of the substrate;
treating the dielectric layer with plasma; and
treating the dielectric layer with a UV source.
18. The method of claim 17, further comprising repeating depositing a dielectric layer and treating the dielectric layer with a plasma.
19. The method of claim 17, wherein the dielectric layer comprises silicon oxide, silicon oxynitride, silicon nitride, or doped silicon nitride.
20. The method of claim 17, wherein the depositing a dielectric layer and treating the dielectric layer with plasma are performed in the same chamber.
21. The method of claim 17, wherein the treating of the dielectric layer with a UV source is performed in a second chamber comprising a UV source.
22. The method of claim 17, wherein the plasma includes argon, nitrogen, Xenon, or Helium.
23. The method of claim 17 further comprising treating the dielectric layer with plasma.
24. The method of claim 23, further comprising repeating depositing a dielectric layer, treating the dielectric layer with a plasma, treating the dielectric layer with a UV source, and treating the dielectric layer with a plasma.
25. The method of claim 23, wherein the depositing a dielectric layer, treating the dielectric layer with plasma, treating the dielectric layer with a UV source, and treating the dielectric layer with a plasma are performed in an integrated deposition/UV system.
26. The method of claim 23, wherein the plasma includes argon, nitrogen, helium, or Xenon.
US13/365,226 2005-05-26 2012-02-02 Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ uv cure Abandoned US20120196450A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/365,226 US20120196450A1 (en) 2005-05-26 2012-02-02 Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ uv cure

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US68536505P 2005-05-26 2005-05-26
US70185405P 2005-07-21 2005-07-21
US11/400,275 US8129290B2 (en) 2005-05-26 2006-04-07 Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US80532406P 2006-06-20 2006-06-20
US11/762,590 US8138104B2 (en) 2005-05-26 2007-06-13 Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US13/365,226 US20120196450A1 (en) 2005-05-26 2012-02-02 Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ uv cure

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/762,590 Division US8138104B2 (en) 2005-05-26 2007-06-13 Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure

Publications (1)

Publication Number Publication Date
US20120196450A1 true US20120196450A1 (en) 2012-08-02

Family

ID=38834274

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/762,590 Active US8138104B2 (en) 2005-05-26 2007-06-13 Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US13/365,226 Abandoned US20120196450A1 (en) 2005-05-26 2012-02-02 Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ uv cure

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/762,590 Active US8138104B2 (en) 2005-05-26 2007-06-13 Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure

Country Status (5)

Country Link
US (2) US8138104B2 (en)
KR (1) KR101081632B1 (en)
CN (1) CN101496145B (en)
TW (1) TWI466191B (en)
WO (1) WO2007149788A2 (en)

Cited By (305)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120276301A1 (en) * 2007-10-25 2012-11-01 Yong-Won Lee Adhesion improvement of dielectric barrier to copper by the addition of thin interface layer
CN103346080A (en) * 2013-07-09 2013-10-09 上海华力微电子有限公司 Method for reducing defects of metal silicide masking layer
US8753989B2 (en) 2005-05-26 2014-06-17 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US20140342514A1 (en) * 2013-05-17 2014-11-20 Globalfoundries Singapore Pte. Ltd. Methods for fabricating integrated circuits with the implantation of nitrogen
US9362109B2 (en) 2013-10-16 2016-06-07 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US20160181165A1 (en) * 2014-12-22 2016-06-23 Imec Vzw Method and apparatus for real-time monitoring of plasma etch uniformity
WO2016099705A1 (en) * 2014-12-15 2016-06-23 Applied Materials, Inc. Uv assisted cvd aln film for beol etch stop application
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
WO2022086974A1 (en) * 2020-10-23 2022-04-28 Applied Materials, Inc. Tensile nitride deposition systems and methods
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
TWI777245B (en) * 2019-10-16 2022-09-11 南韓商圓益Ips股份有限公司 Processing method for substrate
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Families Citing this family (123)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7582555B1 (en) * 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US9257302B1 (en) 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
DE102006019935B4 (en) * 2006-04-28 2011-01-13 Advanced Micro Devices, Inc., Sunnyvale Reduced body potential SOI transistor and method of manufacture
US7851232B2 (en) 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US7727903B2 (en) * 2007-10-29 2010-06-01 United Microelectronics Corp. Method of forming strain-causing layer for MOS transistors and process for fabricating strained MOS transistors
DE102007052050B4 (en) * 2007-10-31 2010-04-08 Advanced Micro Devices, Inc., Sunnyvale A semiconductor device and method for increasing the etch selectivity during patterning a contact structure of the semiconductor device
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
JP5064289B2 (en) * 2008-04-17 2012-10-31 パナソニック株式会社 Semiconductor device and manufacturing method thereof
DE102008026182B4 (en) * 2008-05-30 2010-05-12 Advanced Micro Devices, Inc., Sunnyvale Method for producing a microstructure component with a compressively stressed material with a small ε and semiconductor component or MOS transistor
US20090305515A1 (en) * 2008-06-06 2009-12-10 Dustin Ho Method and apparatus for uv curing with water vapor
US7998881B1 (en) 2008-06-06 2011-08-16 Novellus Systems, Inc. Method for making high stress boron-doped carbon films
US7906817B1 (en) 2008-06-06 2011-03-15 Novellus Systems, Inc. High compressive stress carbon liners for MOS devices
GB2462589B (en) * 2008-08-04 2013-02-20 Sony Comp Entertainment Europe Apparatus and method of viewing electronic documents
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US8252653B2 (en) * 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US9018109B2 (en) * 2009-03-10 2015-04-28 Semiconductor Energy Laboratory Co., Ltd. Thin film transistor including silicon nitride layer and manufacturing method thereof
US8198671B2 (en) * 2009-04-22 2012-06-12 Applied Materials, Inc. Modification of charge trap silicon nitride with oxygen plasma
US8362596B2 (en) * 2009-07-14 2013-01-29 International Business Machines Corporation Engineered interconnect dielectric caps having compressive stress and interconnect structures containing same
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
KR101758944B1 (en) * 2009-12-09 2017-07-18 노벨러스 시스템즈, 인코포레이티드 Novel gap fill integration
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
US20130157466A1 (en) * 2010-03-25 2013-06-20 Keith Fox Silicon nitride films for semiconductor device applications
US8741394B2 (en) * 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8288292B2 (en) 2010-03-30 2012-10-16 Novellus Systems, Inc. Depositing conformal boron nitride film by CVD without plasma
US8815671B2 (en) * 2010-09-28 2014-08-26 International Business Machines Corporation Use of contacts to create differential stresses on devices
US8460981B2 (en) 2010-09-28 2013-06-11 International Business Machines Corporation Use of contacts to create differential stresses on devices
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8685867B1 (en) 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
CN102543741B (en) * 2010-12-23 2016-03-30 中芯国际集成电路制造(上海)有限公司 The manufacture method of P-type mos pipe
CN102569090B (en) * 2010-12-31 2014-09-24 中芯国际集成电路制造(北京)有限公司 Method for forming NMOS (N-channel Metal Oxide Semiconductor) transistor
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN103329259B (en) * 2011-01-26 2015-05-27 应用材料公司 Plasma treatment of silicon nitride and silicon oxynitride
US8470678B2 (en) 2011-02-24 2013-06-25 International Business Machines Corporation Tensile stress enhancement of nitride film for stressed channel field effect transistor fabrication
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
KR20120106453A (en) * 2011-03-18 2012-09-26 삼성디스플레이 주식회사 Organic light emitting diode display
CN102412125B (en) * 2011-04-29 2013-12-04 上海华力微电子有限公司 Method for manufacturing silicon nitride film with high tensile stress
US8455883B2 (en) * 2011-05-19 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Stressed semiconductor device and method of manufacturing
CN102420122B (en) * 2011-06-07 2013-10-02 上海华力微电子有限公司 Method used for enhancing tensile stress of silicon nitride film
US8350334B2 (en) 2011-06-13 2013-01-08 United Microelectronics Corp. Stress film forming method and stress film structure
US8629046B2 (en) * 2011-07-06 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with a dislocation structure and method of forming the same
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
TWI663731B (en) * 2011-07-19 2019-06-21 聯華電子股份有限公司 Semiconductor device and method for fabricating the same
US20130217240A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-carbon-nitrogen layers for semiconductor processing
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US9165788B2 (en) 2012-04-06 2015-10-20 Novellus Systems, Inc. Post-deposition soft annealing
US8877599B2 (en) 2012-05-15 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a semiconductor device
CN103489778B (en) * 2012-06-11 2016-03-30 中芯国际集成电路制造(上海)有限公司 A kind of manufacture method of semiconductor device
JP5842750B2 (en) * 2012-06-29 2016-01-13 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
US9388491B2 (en) * 2012-07-23 2016-07-12 Novellus Systems, Inc. Method for deposition of conformal films with catalysis assisted low temperature CVD
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
CN103855092B (en) * 2012-11-28 2018-11-06 中国科学院微电子研究所 Method, semi-conductor device manufacturing method
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20140273531A1 (en) * 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
TW201441408A (en) 2013-03-15 2014-11-01 Applied Materials Inc PEALD of films comprising silicon nitride
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
CN103280400B (en) * 2013-05-09 2019-02-05 上海集成电路研发中心有限公司 A kind of preparation method of high pressure stress silicon nitride film
CN104143534B (en) * 2013-05-10 2018-05-15 中国科学院微电子研究所 Method, semi-conductor device manufacturing method
US9293534B2 (en) 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
CN104253049B (en) * 2013-06-28 2018-11-06 中国科学院微电子研究所 Method, semi-conductor device manufacturing method
CN103606519B (en) * 2013-10-23 2016-08-03 上海华力微电子有限公司 A kind of method forming multi-layer combined contact hole etching barrier layer
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US9735159B2 (en) * 2013-12-30 2017-08-15 Texas Instruments Incorporated Optimized layout for relaxed and strained liner in single stress liner technology
JP2015179700A (en) * 2014-03-18 2015-10-08 キヤノン株式会社 Manufacturing method of solid state image element
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
CN104465344A (en) * 2014-11-28 2015-03-25 上海华力微电子有限公司 Ion implantation method for improving performance of PMOS device
CN105895634A (en) * 2015-01-26 2016-08-24 中芯国际集成电路制造(上海)有限公司 Cmos device and manufacturing method thereof
US10483262B2 (en) 2015-05-15 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Dual nitride stressor for semiconductor device and method of manufacturing
CN106298527B (en) * 2015-06-01 2019-07-30 中芯国际集成电路制造(上海)有限公司 PMOS transistor and forming method thereof
CN106356337B (en) * 2015-07-17 2020-04-14 中芯国际集成电路制造(上海)有限公司 Method for manufacturing semiconductor device
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388515B2 (en) 2015-11-16 2019-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Treatment to control deposition rate
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9871067B2 (en) 2015-11-17 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Infrared image sensor component
KR102637922B1 (en) * 2016-03-10 2024-02-16 에이에스엠 아이피 홀딩 비.브이. Plasma stabilization method and deposition method using the same
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
CN110178201B (en) * 2017-01-13 2023-06-16 应用材料公司 Method and apparatus for low temperature silicon nitride films
US10263107B2 (en) * 2017-05-01 2019-04-16 The Regents Of The University Of California Strain gated transistors and method
EP3642168A1 (en) * 2017-06-23 2020-04-29 Sinmat, Inc. Film for applying compressive stress to ceramic materials
US10760159B2 (en) * 2017-07-13 2020-09-01 Applied Materials, Inc. Methods and apparatus for depositing yttrium-containing films
CN107564800B (en) * 2017-08-31 2020-02-18 长江存储科技有限责任公司 Preparation method of silicon nitride layer
CN107895724B (en) * 2017-11-13 2021-01-22 中国科学院微电子研究所 Three-dimensional memory and manufacturing method thereof
KR20190062695A (en) * 2017-11-29 2019-06-07 엘지디스플레이 주식회사 Thin film trnasistor, method for manufacturing the same and display device comprising the same
US10600684B2 (en) * 2017-12-19 2020-03-24 Applied Materials, Inc. Ultra-thin diffusion barriers
SG11202006604RA (en) * 2018-01-26 2020-08-28 Applied Materials Inc Treatment methods for silicon nitride thin films
CN108417481B (en) * 2018-03-22 2021-02-23 京东方科技集团股份有限公司 Processing method of silicon nitride dielectric layer, thin film transistor and display device
SG11202010449RA (en) * 2018-06-19 2021-01-28 Applied Materials Inc Pulsed plasma deposition etch step coverage improvement
US20200190664A1 (en) * 2018-12-13 2020-06-18 Applied Materials, Inc. Methods for depositing phosphorus-doped silicon nitride films
KR102553773B1 (en) * 2019-01-09 2023-07-11 어플라이드 머티어리얼스, 인코포레이티드 Methods of Forming Structures in Semiconductor Devices
KR102343148B1 (en) * 2019-04-29 2021-12-27 삼성디스플레이 주식회사 Display apparatus and manufacturing the same
TWI800664B (en) * 2019-07-08 2023-05-01 聯華電子股份有限公司 Method for fabricating tensile dielectric layer
US11348784B2 (en) 2019-08-12 2022-05-31 Beijing E-Town Semiconductor Technology Co., Ltd Enhanced ignition in inductively coupled plasmas for workpiece processing
WO2021080701A1 (en) * 2019-10-25 2021-04-29 Applied Materials, Inc. Method for depositing high quality pvd films
CN110867376A (en) * 2019-11-25 2020-03-06 上海华力集成电路制造有限公司 Method and structure for improving NBTI of semiconductor strain device
CN113823546A (en) * 2020-06-19 2021-12-21 拓荆科技股份有限公司 Reaction cavity and processing method thereof
US11276570B2 (en) * 2020-07-22 2022-03-15 Applied Materials, Inc. Multi-layer deposition and treatment of silicon nitride films
CN111883419A (en) * 2020-08-18 2020-11-03 华虹半导体(无锡)有限公司 Method for manufacturing CMOS device
US11538677B2 (en) * 2020-09-01 2022-12-27 Applied Materials, Inc. Systems and methods for depositing high density and high tensile stress films
US11605536B2 (en) 2020-09-19 2023-03-14 Tokyo Electron Limited Cyclic low temperature film growth processes
CN112885713A (en) * 2021-01-29 2021-06-01 合肥维信诺科技有限公司 Method for improving film quality and display panel

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050250346A1 (en) * 2004-05-06 2005-11-10 Applied Materials, Inc. Process and apparatus for post deposition treatment of low k dielectric materials

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4568410A (en) * 1984-12-20 1986-02-04 Motorola, Inc. Selective plasma etching of silicon nitride in the presence of silicon oxide
JPS63261571A (en) 1987-04-20 1988-10-28 Nippon Telegr & Teleph Corp <Ntt> Disk/turn table
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US5817562A (en) 1997-01-24 1998-10-06 Taiwan Semiconductor Manufacturing Company, Ltd Method for making improved polysilicon FET gate electrode structures and sidewall spacers for more reliable self-aligned contacts (SAC)
US6354395B1 (en) * 1997-08-04 2002-03-12 Delphi Technologies, Inc. Delashed worm gear assembly and electric power assist apparatus
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
KR100745495B1 (en) 1999-03-10 2007-08-03 동경 엘렉트론 주식회사 Semiconductor fabrication method and semiconductor fabrication equipment
JP2000339837A (en) 1999-05-26 2000-12-08 Matsushita Electric Ind Co Ltd Production of rotary drive assembly
JP4562835B2 (en) 1999-11-05 2010-10-13 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
KR100833406B1 (en) 2000-03-13 2008-05-28 다다히로 오미 Flash memory device and method for manufacturing the same, and method for forming dielectric film
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
TW584902B (en) 2000-06-19 2004-04-21 Applied Materials Inc Method of plasma processing silicon nitride using argon, nitrogen and silane gases
JP2002187231A (en) 2000-10-13 2002-07-02 Dainippon Printing Co Ltd Film having barrier properties and its manufacturing method
KR100784603B1 (en) 2000-11-22 2007-12-11 가부시키가이샤 히타치세이사쿠쇼 Semiconductor device and method for fabricating the same
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
US6365518B1 (en) * 2001-03-26 2002-04-02 Applied Materials, Inc. Method of processing a substrate in a processing chamber
US6482688B2 (en) 2001-03-30 2002-11-19 Texas Instruments Incorporated Utilizing amorphorization of polycrystalline structures to achieve T-shaped MOSFET gate
US6528412B1 (en) 2001-04-30 2003-03-04 Advanced Micro Devices, Inc. Depositing an adhesion skin layer and a conformal seed layer to fill an interconnect opening
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US20020168828A1 (en) 2001-05-10 2002-11-14 Kuan-Lun Cheng Method of reducing threshold voltage shifting of a gate
JP2002368084A (en) 2001-06-12 2002-12-20 Hitachi Ltd Method of manufacturing semiconductor integrated circuit device
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6929831B2 (en) 2001-09-15 2005-08-16 Trikon Holdings Limited Methods of forming nitride films
US20030059535A1 (en) 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
KR100422597B1 (en) 2001-11-27 2004-03-16 주식회사 하이닉스반도체 Method of forming semiconductor device with capacitor and metal-interconnection in damascene process
CN1643179B (en) 2002-01-17 2010-05-26 松德沃技术公司 ALD device and method
KR100469126B1 (en) * 2002-06-05 2005-01-29 삼성전자주식회사 Method of forming a thin film with a low hydrogen contents
TWI273143B (en) 2002-06-10 2007-02-11 Konica Corp Layer formation method, and substrate with a layer formed by the method
EP1408140A1 (en) 2002-10-11 2004-04-14 STMicroelectronics S.r.l. A high-density plasma process for depositing a layer of Silicon Nitride
JP2004172389A (en) 2002-11-20 2004-06-17 Renesas Technology Corp Semiconductor device and method for manufacturing the same
US7022561B2 (en) * 2002-12-02 2006-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device
JP2004343031A (en) 2002-12-03 2004-12-02 Advanced Lcd Technologies Development Center Co Ltd Dielectric film, formation method thereof, semiconductor device using dielectric film, and manufacturing method thereof
US6825529B2 (en) 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US6924181B2 (en) 2003-02-13 2005-08-02 Taiwan Semiconductor Manufacturing Co., Ltd Strained silicon layer semiconductor product employing strained insulator layer
CN1777696B (en) 2003-03-14 2011-04-20 杰努斯公司 Methods and apparatus for atomic layer deposition
US7176144B1 (en) * 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
KR100500451B1 (en) 2003-06-16 2005-07-12 삼성전자주식회사 Methods of fabricating a semiconductor device including a MOS transistor having a strained channel
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7342289B2 (en) 2003-08-08 2008-03-11 Taiwan Semiconductor Manufacturing Co., Ltd Strained silicon MOS devices
DE10350752A1 (en) 2003-10-30 2005-06-09 Infineon Technologies Ag A method of forming a dielectric on a copper-containing metallization and capacitor assembly
US6939814B2 (en) 2003-10-30 2005-09-06 International Business Machines Corporation Increasing carrier mobility in NFET and PFET transistors on a common wafer
US7015082B2 (en) 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US6808991B1 (en) 2003-11-19 2004-10-26 Macronix International Co., Ltd. Method for forming twin bit cell flash memory
US7244654B2 (en) 2003-12-31 2007-07-17 Texas Instruments Incorporated Drive current improvement from recessed SiGe incorporation close to gate
US7192894B2 (en) * 2004-04-28 2007-03-20 Texas Instruments Incorporated High performance CMOS transistors using PMD liner stress
JP4179311B2 (en) 2004-07-28 2008-11-12 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
US7041543B1 (en) * 2004-08-20 2006-05-09 Novellus Systems, Inc. Strained transistor architecture and method
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US7323391B2 (en) 2005-01-15 2008-01-29 Applied Materials, Inc. Substrate having silicon germanium material and stressed silicon nitride layer
TWI263265B (en) * 2005-02-13 2006-10-01 United Microelectronics Corp Method for fabricating ultra-high tensile-stressed film and strained-silicon transistors thereof
US7585704B2 (en) * 2005-04-01 2009-09-08 International Business Machines Corporation Method of producing highly strained PECVD silicon nitride thin films at low temperature
US7309659B1 (en) 2005-04-01 2007-12-18 Advanced Micro Devices, Inc. Silicon-containing resist to pattern organic low k-dielectrics
US7566655B2 (en) 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US7732342B2 (en) 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7462527B2 (en) 2005-07-06 2008-12-09 International Business Machines Corporation Method of forming nitride films with high compressive stress for improved PFET device performance

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050250346A1 (en) * 2004-05-06 2005-11-10 Applied Materials, Inc. Process and apparatus for post deposition treatment of low k dielectric materials

Cited By (405)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8753989B2 (en) 2005-05-26 2014-06-17 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US20120276301A1 (en) * 2007-10-25 2012-11-01 Yong-Won Lee Adhesion improvement of dielectric barrier to copper by the addition of thin interface layer
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9905416B2 (en) 2013-03-14 2018-02-27 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US11069522B2 (en) 2013-03-14 2021-07-20 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US10395917B2 (en) 2013-03-14 2019-08-27 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US11587783B2 (en) 2013-03-14 2023-02-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US10424477B2 (en) 2013-03-14 2019-09-24 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US11289327B2 (en) 2013-03-14 2022-03-29 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US8916430B2 (en) * 2013-05-17 2014-12-23 Globalfoundries Singapore Pte. Ltd. Methods for fabricating integrated circuits with the implantation of nitrogen
US20140342514A1 (en) * 2013-05-17 2014-11-20 Globalfoundries Singapore Pte. Ltd. Methods for fabricating integrated circuits with the implantation of nitrogen
CN103346080A (en) * 2013-07-09 2013-10-09 上海华力微电子有限公司 Method for reducing defects of metal silicide masking layer
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US9922817B2 (en) 2013-10-16 2018-03-20 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US10410856B2 (en) 2013-10-16 2019-09-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US10790137B2 (en) 2013-10-16 2020-09-29 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9362109B2 (en) 2013-10-16 2016-06-07 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US10515794B2 (en) 2013-12-11 2019-12-24 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US10199211B2 (en) 2013-12-11 2019-02-05 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US9837263B2 (en) 2013-12-11 2017-12-05 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US10818489B2 (en) 2013-12-11 2020-10-27 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based material
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US11367613B2 (en) 2014-09-17 2022-06-21 Asm Ip Holding B.V. Deposition of SiN
US10262854B2 (en) 2014-09-17 2019-04-16 Asm Ip Holding B.V. Deposition of SiN
US10741386B2 (en) 2014-09-17 2020-08-11 Asm Ip Holding B.V. Deposition of SiN
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
WO2016099705A1 (en) * 2014-12-15 2016-06-23 Applied Materials, Inc. Uv assisted cvd aln film for beol etch stop application
US9502263B2 (en) 2014-12-15 2016-11-22 Applied Materials, Inc. UV assisted CVD AlN film for BEOL etch stop application
US20160181165A1 (en) * 2014-12-22 2016-06-23 Imec Vzw Method and apparatus for real-time monitoring of plasma etch uniformity
US9847262B2 (en) * 2014-12-22 2017-12-19 Imec Vzw Method and apparatus for real-time monitoring of plasma etch uniformity
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US11784043B2 (en) 2015-08-24 2023-10-10 ASM IP Holding, B.V. Formation of SiN thin films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US11133181B2 (en) 2015-08-24 2021-09-28 Asm Ip Holding B.V. Formation of SiN thin films
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
TWI777245B (en) * 2019-10-16 2022-09-11 南韓商圓益Ips股份有限公司 Processing method for substrate
US11823907B2 (en) 2019-10-16 2023-11-21 Wonik Ips Co., Ltd. Processing method for substrate
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11710631B2 (en) 2020-10-23 2023-07-25 Applied Materials, Inc. Tensile nitride deposition systems and methods
WO2022086974A1 (en) * 2020-10-23 2022-04-28 Applied Materials, Inc. Tensile nitride deposition systems and methods
TWI819398B (en) * 2020-10-23 2023-10-21 美商應用材料股份有限公司 Tensile nitride deposition systems and methods
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Also Published As

Publication number Publication date
KR101081632B1 (en) 2011-11-09
TW200822225A (en) 2008-05-16
US20080020591A1 (en) 2008-01-24
WO2007149788A3 (en) 2008-06-05
US8138104B2 (en) 2012-03-20
WO2007149788A2 (en) 2007-12-27
CN101496145A (en) 2009-07-29
KR20090017665A (en) 2009-02-18
CN101496145B (en) 2012-10-03
TWI466191B (en) 2014-12-21

Similar Documents

Publication Publication Date Title
US8138104B2 (en) Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US8129290B2 (en) Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7566655B2 (en) Integration process for fabricating stressed transistor structure
US7732342B2 (en) Method to increase the compressive stress of PECVD silicon nitride films
US8586487B2 (en) Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
KR101244850B1 (en) Tensile and compressive stressed materials for semiconductors
US7704816B2 (en) Boron derived materials deposition method
KR101327923B1 (en) Boron nitride and boron nitride-derived materials deposition method
CN100561708C (en) Make the integration process of stressed transistor structure

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION