US3647387A - Detection device - Google Patents

Detection device Download PDF

Info

Publication number
US3647387A
US3647387A US20919A US3647387DA US3647387A US 3647387 A US3647387 A US 3647387A US 20919 A US20919 A US 20919A US 3647387D A US3647387D A US 3647387DA US 3647387 A US3647387 A US 3647387A
Authority
US
United States
Prior art keywords
oxygen
reactor
nitrogen
supply
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US20919A
Inventor
Sidney W Benson
Gilbert R Haugen
Roland S Jackson
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SRI International Inc
Original Assignee
Stanford Research Institute
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Stanford Research Institute filed Critical Stanford Research Institute
Application granted granted Critical
Publication of US3647387A publication Critical patent/US3647387A/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/75Systems in which material is subjected to a chemical reaction, the progress or the result of the reaction being investigated
    • G01N21/76Chemiluminescence; Bioluminescence
    • G01N21/766Chemiluminescence; Bioluminescence of gases
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N31/00Investigating or analysing non-biological materials by the use of the chemical methods specified in the subgroup; Apparatus specially adapted for such methods
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N31/00Investigating or analysing non-biological materials by the use of the chemical methods specified in the subgroup; Apparatus specially adapted for such methods
    • G01N31/22Investigating or analysing non-biological materials by the use of the chemical methods specified in the subgroup; Apparatus specially adapted for such methods using chemical indicators
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T436/00Chemistry: analytical and immunological testing
    • Y10T436/17Nitrogen containing
    • Y10T436/170769N-Nitroso containing [e.g., nitrosamine, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T436/00Chemistry: analytical and immunological testing
    • Y10T436/17Nitrogen containing
    • Y10T436/173845Amine and quaternary ammonium

Definitions

  • the present invention relates to the detection of nitrogen containing compounds and, more particularly, to the detection of these compounds by the chemiluminescent reaction of nitric oxide and atomic oxygen.
  • the detection method in accordance with the invention relies on the chemiluminescent reaction of nitric oxide and atomic oxygen. This reaction yields light with a spectrum peaking in the violet. This light can be detected with high efficiency by a photomultiplier.
  • the method of the invention may be utilized to determine the presence of nitrogen oxides in air, and also is useful in the detection of vapor of nitrogen containing compounds such as organic amines, nitroso, nitro, or nitrate compounds by thermally and/or catalytically converting the vapors to nitric oxide preliminary to the desired chemiluminescent reaction.
  • O-l-NO NO +light 2 It is noted that the N0 which is a product of reaction 2 can again serve as reactant in reaction 1. Thus, a chain reaction occurs and more than one quantum of light can be produced per molecule of NO or N0 The characteristics of available multipliers are such that IO photons/second can be detected easily.
  • the forementioned chemiluminescent chain is capable of emitting I0 photons/second for a liter of air containing one mole of nitric oxide (NO).
  • the chemiluminescent method of the invention is capable of detecting l0 parts of vapor in the atmosphere. This corresponds to a vapor pressure of approximately mm. Hg., which is well below the vapor pressure of many nitrogen containing organic compounds.
  • the detector is, thus. capable of detecting trace amounts of nitrogen oxide vapors in an atmosphere or detecting the vapors being emitted from liquid or solid nitrogen containing organic compounds.
  • the detection technique of the invention is also applicable to determining the integrity of containers by evacuating the container, filling the container with one of the reactants for the above chemiluminescent reaction and placing the container in an atmosphere of the other reactant. The contents of the container are then reacted under chemiluminescent conditions and the light emission detected by the invention to determine whether any gas has leaked into the container.
  • the apparatus of the invention is readily fabricated from available materials and can be compactly packaged into a portable instrument for use in airborne or land based craft.
  • the instrument is very sensitive and reliable in the detection of compounds and the analysis of atmospheres for nitrogen oxide air pollutants.
  • the apparatus may also be utilized as a security system to sense the suspicious entry or presence of people or animals or to detect leaks of organic nitrogen compounds from pipes or tanks.
  • FIG. 1 is a schematic view partly in section, of a detection system according to the invention
  • FIG. 2a and 2b are schematic illustrations of leak detection systems in accordance with the invention.
  • FIG. 3 is a schematic view of an atmosphere sampling detector in accordance with the invention.
  • FIG. 4 is a schematic view of a system for detecting the presence of vapors of nitrogen containing compounds in accordance with the invention.
  • FIG. 5 is a more detailed view of a system for the detection of the presence of nitrogen containing compounds.
  • the nitric oxide detection system in accordance with the invention generally includes a reactor 10, a light detection assembly 12, and a gas inlet assembly 14.
  • the reactor 10 may take many configurations.
  • the reactor is a cylindrical tube which confines the gas flow along the axis of the photomultiplier tube 16.
  • the interior surface 11 of the reactor 10 is preferably coated with a light-scattering substance such as magnesium oxide and the interior of the tube functions as a gaseous reaction chamber 13.
  • the light detection assembly 12 comprises a photomultiplier tube housing 17 attached to the reactor opposite the aperture 15 for viewing the light emission from the reaction.
  • the housing contains a photomultiplier tube 16 and a filter 22 disposed between the aperture 15 and the light sensitive face 19 of the photomultiplier tube 16.
  • the high voltage lead 24 from the photomultiplier tube 16 is attached to a power supply 26.
  • the signal lead 28 is attached to a microammeter 30.
  • the output signal from the microammeater 30 is applied to a recording device such as a strip chart recorder 32.
  • the gas inlet assembly 14 includes an inlet branch 34.
  • a first conduit 36 containing a valve 38 and a light baffle 40 communicates with inlet branch 34 and a source of atomic oxygen 35.
  • a second conduit 42 containing a metering valve 44 and a light baffle 46 communicates with a source of gas 47 containing a suspected trace of nitric oxide or nitrogen dioxide and with the inlet branch 34.
  • a vacuum pump 48 also communicates with the reactor 10 through a light baffle 50 and a throttling valve 52. The internal surfaces of the light baffles 40, 46 and 50 are blackened.
  • the apparatus is operated by reducing the pressure within reactor I0 to a level of about 1-10 mm. Hg., preferably 2-4 mm. Hg. Valves 38 and 44 are opened and the gas containing traces of nitrogen oxide and the supply of atomic oxygen enter chamber 10 and react with the emission of light.
  • the vacuum pump 48 continually exhausts the reactor 10 and allows new samples to enter.
  • the energy of the chemiluminescent photons is distributed over the wavelength range of 4,000 to 8,000 A.
  • These emissions can be eliminated by utilizing a broad band pass filter having a broad band transmission in the range of 4,200-6,000 A, and a sharp cut off at each end of the range to exclude radiation not coupled with the chemiluminescent chain.
  • the light emitted by the reaction of atomic oxygen with nitric oxide is detected by a highly sensitive photomultiplier 16.
  • These devices are commercially available with gains as high as 10 having a photocathode with a radiant sensitivity at the wavelength of maximum response of about 15 milliamps per watt.
  • the detection system in accordance with the invention provides amplification both by the chemical amplification associated with the chain reaction and the photoelectric amplification associated with the photomultiplier.
  • the chemical gain depends on the rate constants of the chemical chain mechanism and the system parameters such as concentration of atomic oxygen in the detection chamber, volume of the detection chamber and light gathering efficiency of the detection chamber.
  • the photoelectric gain depends on the quantum efficiency of the photoactive surface employed in the photomultiplier, the number of clynodes and their geometry, and on the system parameters such as overall voltage applied to the dynode chains and the energy of the chemiluminescent photons.
  • the detection system in accordance with the invention can detect trace amounts with commercially available photomultipliers.
  • a typical photomultiplier has an overall sensitivity of about 5 l0 amp/watt.
  • High gain electrometers permit easy detection of 10 amp which corresponds to a detectability of 2X10watts. This is equivalent to a flux of 5,000 A photons of 5X10 photons per second.
  • the chemiluminescence of the nitric oxide reaction has a continuum between 4,000 and 8,000 A, peaking between 5,000 and 6,000 A. Since every molecule of nitric oxide can produce many quanta of light during the time typically spent in the reaction chamber, the chain reaction acts as a chemical amplifier. This is a consequence of the very fast rate of reaction.
  • the steady state rate of flux for the NO+O system is given by:
  • the 1 liter reaction chamber should be swept out about every one quarter to onefifth second. This corresponds to pumping speed of 4 to 5 liters per second at 5 mm. Hg. At this flushing rate, the concentration of oxygen atoms will have a steady state value only slightly over than the initial value.
  • the sensitivity of the system was determined by observing photomultiplier signal as a function of the flows of 0.8 percent, 0.08 percent and 0.01 percent nitric oxide-nitrogen mixtures at constant oxygen flow.
  • the oxygen supply was commercial high purity oxygen at 500 cc./min.
  • Either resonance excitation, a far ultraviolet source (less than 1,850 A), or direct microwave excitation was used to dissociate the molecular oxygen. Detection at parts per million of nitric oxide was demonstrated. Sensitivity was dependent on the concentration of nitric oxide in the calibration mixture. Sensitivity also increased with increasing flow rate. Both of these effects are the consequence of the increase in the recombination rate of atomic oxygen via the chemiluminescent chain and of the increase in total pressure with increasing flow.
  • the effective concentration of atomic oxygen in the reaction chamber is decreased by increasing nitric oxide concentration and by increasing residence time in the chamber.
  • Maximum gain of the chemical amplifier is realized for low concentrations of nitric oxide and fast flow rates, that is, very little reduction of the effective concentration of atomic oxygen in the reaction chamber.
  • the photomultiplier signal with zero added nitric oxide indicates chemiluminescent flux produced from traces of nitrogen in the oxygen supply. This signal decreases the overall gain of the system by saturating the photomultiplier which prevents utilization of the full gain of the photomultiplier.
  • the catalytic recombination of oxygen atoms by nitric oxide between the point of generation and entrance into the reaction chamber reduces the effective concentration within the chamber. High velocity flows can lessen the effects of recombination as discussed above as does utilization of chamber pressures of about 2 to 3 torr. increase in the photometric amplification and purification of the oxygen supply will allow at least another factor of 1,000 in the amplification and sensitivity of the system.
  • Veryv pure oxygen is commercially available in ultrahigh grade purities of 99.999 percent. This oxygen is produced by electrolytic dissociation of water and contains between l6p.p.m. of nitrogen. This is converted to nitric oxide by microwave discharge. The nitric oxide can be removed by selectively adsorbing the nitric oxide on silica gel, at low temperatures.
  • Another procedure for eliminating the traces of nitrogen is to prepurify the oxygen by one of the following methods:
  • Pure oxygen can also be produced by the thermal decomposition of pure potassium permanganate at 240 C.
  • Reduction of nitrogen impurities in the electrolytically produced oxygen can be affected by degassing the water before electrolysis in a high vacuum system and storing and transferring the generated oxygen in the same system.
  • Hydrogen impurities within the electrolytically produced oxygen can be removed by passing high-pressure oxygen through a heated bed of catalyst to convert the hydrogen to water. Water and hydrocarbon impurities can be removed through a series of liquid nitrogen traps containing adsorbents. This technique is a most reliable source of nitrogen-free oxygen.
  • Atomic oxygen is produced by the selective dissociation of oxygen.
  • the dissociation can be effected by absorption of ultraviolet radiation in the 1,759-l ,950 A region by a low-pressure oxygen flow which produces predissociation into ground state oxygen atoms.
  • This radiation can be produced by microwave excitation of medium pressure resonance lamps containing krypton, xenon, mercury or bromine.
  • the high temperatures of l,900 C. attainable with a Nernst glow bar such as a zirconium oxide heater generate atomic oxygen thermally.
  • FIGS. 2a and 2b the source of nitric oxide and monotomic oxygen is utilized as a leak detector for a container 50.
  • the evacuated container 50 is fed a metered supply of chemically pure oxygen from tank 52 through a line 54 containing a metering valve 56.
  • the output from container 50 is fed to a microwave cavity 58 through a line 60 containing a valve 62.
  • the microwave cavity 58 is subjected to a radiofrequency discharge from discharge source 64. If container 50 contains any leaks, nitrogen from the air will enter the container 50 and contaminate the pure oxygen with nitrogen.
  • this mixed gas is passed through the radio frequency discharge, atomic oxygen and nitric oxide will be produced.
  • This chemiluminescent gas will pass through a line 36 containing a valve 38 the light baffle 40 into reactor where the resulting light will be detected by photomultiplier l6.
  • the embodiment illustrated in FIG. 2b chemically pure oxygen flows from source 52 into the microwave cavity 58 before entering container 50.
  • the container is disposed within a larger enclosure 66.
  • Enclosure 66 is pressurized with nitric oxide from cylinder 67. If there are any leaks present in container 50, the atomic oxygen produced by the radiofrequency discharge from source 64 will be contaminated with nitric oxide. When these gasses are fed to the inlet assembly 14 to the reactor 10, the chemiluminescent emission will be detected by photomultiplier tube 16.
  • the oxygen supply illustrated in FIG. 3 can be utilized for direct determination of nitrogen dioxide vapors in air.
  • the gas inlet assembly 14 in this case includes, a sampling nozzle 70 containing a pinhole aperture 71 for collecting the surrounding air.
  • the air is delivered to the inlet branch 34 through conduit 42 containing a metering valve 44, a light baffle 46.
  • Atomic oxygen enters the branch 34 through the conduit 36.
  • atomic oxygen is generated from an ultrapure supply container 72.
  • the oxygen supply passes through a microwave cavity 58 and is subjected to an RF discharge from source 64.
  • the two streams combine within the inlet branch 34 and react axially within reactor chamber 13, to form nitric oxide with chemiluminescent emission of characteristic light.
  • the light emission is detected by photomultiplier tube 16.
  • Carbon dioxide or carbon monoxide or sulfur dioxide or sulfur monoxide impurities in the atmospheric air do not lead to chemical amplification.
  • the reactions of carbon dioxide and sulfur dioxide are endothermic while that of nitrogen dioxide is exothermic. The slower rate of their oxidative combination reactions will not support chain reactions.
  • the gas inlet assembly illustrated in FIG. 4 is intended for use in the collection of vapors of nitrogen containing compounds.
  • the vapor collection system of FIG. 4 includes a conversion unit 80 designed to convert organic nitrites, nitrates, or amines to nitric oxide.
  • the unit 80 can affect decomposition by thermal and/or catalytic means.
  • the unit 80 has an inlet port 82 communicating with a sampling nozzle 84, and an output port 86 communicating with conduit 42.
  • the interior of unit 80 may be provided with a heating wire 88 which is connected to a power supply 90.
  • the interior surfaces of the unit 80 or the surface of wire may be coated with a catalyst such as a metal, suitably nickel, platinum, copper or their alloys which participate in the conversion and decomposition of the nitrogen containing vapor compounds.
  • a chemiluminescent reaction proceeds which is detected by photomultiplier tube 16.
  • the detection of animal vapors forms the basis of a detection unit for people or animals.
  • the output from the ammeter may be connected to an alarm system or transmitted silently to remote stations to indicate presence of people or animals in the vicinity of the detector.
  • Nitrobenzene was introduced into pyrolysis unit by passing purified air over a liquid sample of nitrobenzene at room temperature.
  • a quartz tube having a 25 mm. l.D., 33 cm. long and operated at a pressure of 1,020 mm. Hg produced 2 percent conversion at 1,000" K.
  • conversion efficiency increased by a factor of 10.
  • a third pyrolysis unit constructed of copper tubing having an ID. of 1.5 mm. and fashioned into a tight coil had a conversion efficiency of 70 percent which became essentially independent of airflow above 15 ml./min. percent conversion was obtained in a further pyrolysis unit comprising a small quartz tube containing an internal nichrome heater operated at red heat.
  • the nichrome wire operated both as a catalyst and a source of thermal energy.
  • the metal catalyst not only increases the combustion efficiency, but also reduces the pyrolysis temperature.
  • a problem which must be accommodated is that of background which might interfere with detection.
  • background There are two kinds of background: (1 oxides of nitrogen and (2) naturally or normally occuring organic nitroso, nitro, amine or nitrate compounds, which will necessarily give a false positive signal.
  • the first type of signal may come from auto exhaust, though, there is no known wide spread source of false signals of the second type.
  • the system includes a reactor 100 which includes a central cylindrical reaction chamber 102 joined at one end by a photomultiplier housing 104 and at the other end by an inlet chamber 106.
  • a vacuum conduit 108 and pressure gauge 109 also communicate with chamber 102.
  • the conduit 108 contains a throttling valve 110 and communicates with a mechanical vacuum pump 112 through a copper gauze reactor 114.
  • the reactor 114 converts the residual oxygen and oxides to harmless products before entering the vacuum pump 112.
  • a high voltage lead 116 connects the photomultiplier tube to a photomultiplier power supply unit 118 and a signal lead 120 connects the photomultiplier tube to a picoammeter 122.
  • the output from the ammeter 122 is applied to a strip chart recorder 124.
  • the inlet chamber 106 receives a supply of atomic oxygen through conduit 126 and a supply of nitric oxide vapor through conduit 128.
  • the supply of atomic oxygen emanates from a regulated oxygen storage cylinder 130.
  • the oxygen flows from cylinder 130 through a line 132 containing a toggle shutoff valve 134 and a double-pattemed, metering vernier valve 136, and an RF inductor or microwave cavity 138.
  • the microwave cavity is powered by an RF oscillator and RF power supply 142 through a lead 144.
  • the nitric oxide supply conduit 128 is fed from three alternate sources through a 3-way rotary valve 146.
  • One source comprises a conduit 148 terminating in a nozzle 150 which contains a shutoff valve 149 and a metering valve 147.
  • the second source comprises a conduit 152 containing in sequence a sampling nozzle 150, a pyrolysis unit 154, a toggle shutoff valve 153 and a doublepatterned, vernier metering valve 155.
  • the pyrolysis unit 154 contains a heating coil 156 powered by a power supply 158.
  • the third source of nitric oxide is a calibration source comprising a conduit 160 containing in sequence a regulated gas cylinder 162 containing nitric oxide and carrier gas, a toggle shutoff valve 161 and a double-pattemed vernier metering valve 163.
  • the vacuum pump 112 is turned on to reduce the pressure in the reactor 100 to about 3 mm. of Hg.
  • the system is first calibrated by turning rotary valve 146 toward conduit 160 and opening valves 161 and setting valve 163 while closing valves 153 and 149.
  • Valve 134 is opened and valve 136 regulated to a desired flow rate.
  • RF oscillator 140 and power supply 142 are turned on to create a supply of atomic oxygen.
  • the chemiluminescent reaction output is utilized to calibrate ammeter 122 and recorder 124.
  • Valve 161 is then closed, an the rotary valve 146 turn toward conduit 148 regulated by meansv of the metering valve 147.
  • the photomultiplier output is again recorded to determine the presence of nitrogen dioxide (N to provide a background signal. This signal may be utilized to reset the zero level of the recorder 124 and ammeter 122.
  • Valve 149 is again closed and the rotary valve 146 turn toward conduit 152.
  • Shutoff valve 153 is opened and metering valve 155 set to the desired level.
  • the heater power supply 158 is adjusted to provide a temperature within the pyrolysis unit 154 of, at least about l,250 K.
  • the pyrolysis unit 154 converts the mixture of vapor of organic nitrogen compounds, and air to nitric oxide which combines with the atomic oxygen within reactor 100 with the chemiluminescent emission of light.
  • the characteristic light output is detected and measured by the photomultiplier tube and is recorded by the recorder 124.
  • the type of nitrogen-containing compounds being pyrolyzed in the furnace can be distinguished by varying the furnace temperature and using various catalysts. If the temperature is lowered to 700 K, the organic nitrites and nitrates should take about sec to decompose, while the organic nitro compounds will pass through the furnace unchanged. Thus, the signal of a pyrolysis temperature of l,250 K represents the total nitrogen-containing organic compounds, while the smaller signal at an oven temperature of 700 K gives an estimate of the amount of organic nitro compounds present.
  • a method of detecting the presence of nitrogen containing compounds selected from the group consisting of nitroso, nitro, nitric and amino compounds comprising the steps of:
  • a method-according to claim 1 in which said mixture is removed from a closed container containing a first member selected from said vapor sample or said supply and said container is surrounded by a higher pressure atmosphere of said other member.
  • An apparatus for detecting the presence of nitrogen containing compounds comprising in combination:
  • thermolytic reactor means receiving said sample for converting said nitrogen compound into an oxide of nitrogen
  • source means containing a supply of atomic oxy en; reactor means receiving said converted samp e and said supply for chemiluminescent reaction thereof to form nitric oxide and characteristic emission of light; and
  • photodetector means coupled to said reactor for detection of said characteristic emission.
  • thermolytic reactor means includes a reactor chamber, means for heating the chamber and a nitrogen oxide conversion catalyst disposed within the chamber.
  • said source means comprises a supply of diatomic oxygen, a chamber for receiving said supply and a radiofrequency source coupled to said chamber for subjecting said diatomic oxygen to a radiofrequency discharge for conversion thereof to atomic oxygen.
  • An apparatus further including closed container means for receiving a first gas selected from said sample or said source and means for applying said other gas to the exterior of said container whereby said chemiluminescent reactable mixture is formed only when said container contains a leak and chemiluminescent emission in said reactor detects said leak.
  • An apparatus in which said reactor is a cylindrical tube having an internal light reflective surface, said reactor containing axial inlet means for receiving said sample and supply and an opposed axial light output aperture.
  • An apparatus according to claim 11 further including vacuum pump means coupled to said tube.
  • An apparatus according to claim 12 further including light baffle means disposed in said inlet means and in the line coupling said vacuum pump to said tube.

Abstract

The presence of nitrogen containing compounds is detected by sampling vapor in the vicinity of the suspected compounds, reacting the vapor under conditions to convert the compound to nitric oxide. The nitric oxide is reacted with atomic oxygen with the chemiluminescent emission of light. This light is detected to determine the presence of the suspected compound.

Description

United States Patent Benson et al.
[54] DETECTION DEVICE [72] inventors: Sidney W. Benson; Gilbert R. llaugen,
both of Palo Alto; Roland S. Jackson, San
[21] Appl. No.: 20,919
[ 1 Mar. 7, 1972 3,540,851 1 1/1970 Vree et al ..23/232 E OTHER PUBLICATIONS Kiess et al., 7th Symposium (international) on Combustion, London & Oxford, 28 Aug.-- 3 Sept. 1958, pp. 207- 209 relied Primary Examiner-Morris O. Wolk Assistant Examiner-R. M. Reese Attorney-Lindenberg, Freilich and Wasserrnan and Urban Faubion [52] U.S. Cl. ..23/232 R, 23/230 PC, 23/232 E,
23/254, 23/254 E, 250/217 R ABSTRACT [51] Int. Cl. ..G01n 27/68 The presence of nitrogen containing compounds is detected [58] F'eld Search by sampling vapor in the vicinity of the suspected compounds, reacting the vapor under conditions to convert the compound to nitric oxide. The nitric oxide is reacted with atomic oxygen [56] CM with the chemiluminescent emission of light. This light is de- UNlTED STATES PATENTS tected to determine the presence of the suspected compound.
3,528,779 9/1970 Fontijn ..23/254 E X 13 Claims, 6 Drawing Figures ATOMIC OX YG E N 35 26 SOURC E )0 4- i i u PATENTEDMAR 7 I972 I 3, 647. 387
sum 1 OF 2 ATOM IC OXYGEN 35 26 SOURCE FIG. 2 52 34 4x 64 FIG. 2B
- INVENTORS. SIDNEY W. BENSON F 3 GILBERT R. HAUGEN ROLAND S. JACKSON I'AIENIEDMIR 71912 FIG. 5
SHEET 2 [1F 2 RF e4 90 FIG. 4
IN VENTORS.
SIDNEY W. BENSON GILBERT R4 HAUGEN ROLAND S. JACKSON DETECTION DEVICE BACKGROUND OF THE INVENTION l. Field of the Invention The present invention relates to the detection of nitrogen containing compounds and, more particularly, to the detection of these compounds by the chemiluminescent reaction of nitric oxide and atomic oxygen.
2. Description of the Prior Art The analysis and detection of nitrogen containing compounds has so far relied on nonspecific physical methods such as mass spectrometry, or the formation of particulate matter. The results have not been completely satisfactory. Analytical techniques and apparatus are needed which are specific to nitrogen containing compounds.
SUMMARY OF THE INVENTION The detection method in accordance with the invention relies on the chemiluminescent reaction of nitric oxide and atomic oxygen. This reaction yields light with a spectrum peaking in the violet. This light can be detected with high efficiency by a photomultiplier. The method of the invention may be utilized to determine the presence of nitrogen oxides in air, and also is useful in the detection of vapor of nitrogen containing compounds such as organic amines, nitroso, nitro, or nitrate compounds by thermally and/or catalytically converting the vapors to nitric oxide preliminary to the desired chemiluminescent reaction.
When gas containing nitric oxide (NO) or nitrogen dioxide (N is mixed with gas containing atomic oxygen, the following chain reaction takes place:
O+NO NO+O very fast) (1) O-l-NO NO +light 2 It is noted that the N0 which is a product of reaction 2 can again serve as reactant in reaction 1. Thus, a chain reaction occurs and more than one quantum of light can be produced per molecule of NO or N0 The characteristics of available multipliers are such that IO photons/second can be detected easily. The forementioned chemiluminescent chain is capable of emitting I0 photons/second for a liter of air containing one mole of nitric oxide (NO). Thus, the chemiluminescent method of the invention is capable of detecting l0 parts of vapor in the atmosphere. This corresponds to a vapor pressure of approximately mm. Hg., which is well below the vapor pressure of many nitrogen containing organic compounds.
The detector is, thus. capable of detecting trace amounts of nitrogen oxide vapors in an atmosphere or detecting the vapors being emitted from liquid or solid nitrogen containing organic compounds. The detection technique of the invention is also applicable to determining the integrity of containers by evacuating the container, filling the container with one of the reactants for the above chemiluminescent reaction and placing the container in an atmosphere of the other reactant. The contents of the container are then reacted under chemiluminescent conditions and the light emission detected by the invention to determine whether any gas has leaked into the container.
The apparatus of the invention is readily fabricated from available materials and can be compactly packaged into a portable instrument for use in airborne or land based craft. The instrument is very sensitive and reliable in the detection of compounds and the analysis of atmospheres for nitrogen oxide air pollutants. The apparatus may also be utilized as a security system to sense the suspicious entry or presence of people or animals or to detect leaks of organic nitrogen compounds from pipes or tanks.
These and other advantages of the invention will become readily apparent as the invention becomes better understood by reference to the following detailed description when considered in conjunction with the accompanying drawings.
BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a schematic view partly in section, of a detection system according to the invention;
FIG. 2a and 2b are schematic illustrations of leak detection systems in accordance with the invention;
FIG. 3 is a schematic view of an atmosphere sampling detector in accordance with the invention.
FIG. 4 is a schematic view of a system for detecting the presence of vapors of nitrogen containing compounds in accordance with the invention; and
FIG. 5 is a more detailed view of a system for the detection of the presence of nitrogen containing compounds.
DESCRIPTION OF THE PREFERRED EMBODIMENTS Referring now to FIG. 1 the nitric oxide detection system in accordance with the invention generally includes a reactor 10, a light detection assembly 12, and a gas inlet assembly 14. The reactor 10 may take many configurations. Preferably the reactor is a cylindrical tube which confines the gas flow along the axis of the photomultiplier tube 16. The interior surface 11 of the reactor 10 is preferably coated with a light-scattering substance such as magnesium oxide and the interior of the tube functions as a gaseous reaction chamber 13.
An aperture 15 is provided at one end of the reaction tube 10. The light detection assembly 12 comprises a photomultiplier tube housing 17 attached to the reactor opposite the aperture 15 for viewing the light emission from the reaction. The housing contains a photomultiplier tube 16 and a filter 22 disposed between the aperture 15 and the light sensitive face 19 of the photomultiplier tube 16. The high voltage lead 24 from the photomultiplier tube 16 is attached to a power supply 26. The signal lead 28 is attached to a microammeter 30. The output signal from the microammeater 30 is applied to a recording device such as a strip chart recorder 32.
The gas inlet assembly 14 includes an inlet branch 34. A first conduit 36 containing a valve 38 and a light baffle 40 communicates with inlet branch 34 and a source of atomic oxygen 35. A second conduit 42 containing a metering valve 44 and a light baffle 46, communicates with a source of gas 47 containing a suspected trace of nitric oxide or nitrogen dioxide and with the inlet branch 34. A vacuum pump 48 also communicates with the reactor 10 through a light baffle 50 and a throttling valve 52. The internal surfaces of the light baffles 40, 46 and 50 are blackened.
The apparatus is operated by reducing the pressure within reactor I0 to a level of about 1-10 mm. Hg., preferably 2-4 mm. Hg. Valves 38 and 44 are opened and the gas containing traces of nitrogen oxide and the supply of atomic oxygen enter chamber 10 and react with the emission of light. The vacuum pump 48 continually exhausts the reactor 10 and allows new samples to enter.
The energy of the chemiluminescent photons is distributed over the wavelength range of 4,000 to 8,000 A. There are two strong oxygen transitions occurring during the reaction that emit light in the near-infrared that are not coupled with the NO chemiluminescent chain. These emissions can be eliminated by utilizing a broad band pass filter having a broad band transmission in the range of 4,200-6,000 A, and a sharp cut off at each end of the range to exclude radiation not coupled with the chemiluminescent chain.
The light emitted by the reaction of atomic oxygen with nitric oxide is detected by a highly sensitive photomultiplier 16. These devices are commercially available with gains as high as 10 having a photocathode with a radiant sensitivity at the wavelength of maximum response of about 15 milliamps per watt.
The detection system in accordance with the invention provides amplification both by the chemical amplification associated with the chain reaction and the photoelectric amplification associated with the photomultiplier. The chemical gain depends on the rate constants of the chemical chain mechanism and the system parameters such as concentration of atomic oxygen in the detection chamber, volume of the detection chamber and light gathering efficiency of the detection chamber. The photoelectric gain depends on the quantum efficiency of the photoactive surface employed in the photomultiplier, the number of clynodes and their geometry, and on the system parameters such as overall voltage applied to the dynode chains and the energy of the chemiluminescent photons.
The detection system in accordance with the invention can detect trace amounts with commercially available photomultipliers. A typical photomultiplier has an overall sensitivity of about 5 l0 amp/watt. High gain electrometers permit easy detection of 10 amp which corresponds to a detectability of 2X10watts. This is equivalent to a flux of 5,000 A photons of 5X10 photons per second. The chemiluminescence of the nitric oxide reaction has a continuum between 4,000 and 8,000 A, peaking between 5,000 and 6,000 A. Since every molecule of nitric oxide can produce many quanta of light during the time typically spent in the reaction chamber, the chain reaction acts as a chemical amplifier. This is a consequence of the very fast rate of reaction.
The steady state rate of flux for the NO+O system is given by:
l (photons/sec)=10 "-[NO] V In a one liter chamber having a light gathering efficiency, ((b) of 0.1 and an atomic oxygen concentration of X10 mole/liter at a pressure of 5 torr, the chemical amplification factor is:
(photons/sec); 20A
(mole/liter) Thus, 10 moles of NO per liter of air at 5 mm. Hg in the detection chamber will produce an output current of 10 amp with the aforementioned photomultiplier. This corresponds to 10" moles of NO per liter of air at one atmosphere or one molecule of NO for every 1O of air sampled, that is about 10" molecules NO/cc. air STP. This represents a practical detectability. Higher sensitivity can be realized by increasing light gathering efficiency and detecting output currents of 10 amp or lower which are all quite possible.
Under these operating conditions, the 1 liter reaction chamber should be swept out about every one quarter to onefifth second. This corresponds to pumping speed of 4 to 5 liters per second at 5 mm. Hg. At this flushing rate, the concentration of oxygen atoms will have a steady state value only slightly over than the initial value.
Experiments indicate that the continuum emitted by the chemiluminescent chain increases proportionately with nitric oxide concentration, irrespective of the wavelength as long as the bands structure of the red region of the spectrum is filtered out. At a pressure of 2 to 3 mm. Hg., there is only a moderate quenching of the chemiluminescent emission between the exit and entrance to the reaction chamber. A chamber pressure of 5 mm. Hg. increases the recombination of atomic oxygen sufficiently to produce a noticeable reduction in emission. Increasing the volume of the chamber will increase the photomultiplier signal by increasing the photon flux impinging on the photomultiplier. However, a larger volume requires a corresponding larger pumping speed which increases the weight and power consumption of the vacuum system.
The sensitivity of the system was determined by observing photomultiplier signal as a function of the flows of 0.8 percent, 0.08 percent and 0.01 percent nitric oxide-nitrogen mixtures at constant oxygen flow. The oxygen supply was commercial high purity oxygen at 500 cc./min. Either resonance excitation, a far ultraviolet source (less than 1,850 A), or direct microwave excitation was used to dissociate the molecular oxygen. Detection at parts per million of nitric oxide was demonstrated. Sensitivity was dependent on the concentration of nitric oxide in the calibration mixture. Sensitivity also increased with increasing flow rate. Both of these effects are the consequence of the increase in the recombination rate of atomic oxygen via the chemiluminescent chain and of the increase in total pressure with increasing flow. The effective concentration of atomic oxygen in the reaction chamber is decreased by increasing nitric oxide concentration and by increasing residence time in the chamber. Maximum gain of the chemical amplifier is realized for low concentrations of nitric oxide and fast flow rates, that is, very little reduction of the effective concentration of atomic oxygen in the reaction chamber.
The photomultiplier signal with zero added nitric oxide indicates chemiluminescent flux produced from traces of nitrogen in the oxygen supply. This signal decreases the overall gain of the system by saturating the photomultiplier which prevents utilization of the full gain of the photomultiplier. The catalytic recombination of oxygen atoms by nitric oxide between the point of generation and entrance into the reaction chamber reduces the effective concentration within the chamber. High velocity flows can lessen the effects of recombination as discussed above as does utilization of chamber pressures of about 2 to 3 torr. increase in the photometric amplification and purification of the oxygen supply will allow at least another factor of 1,000 in the amplification and sensitivity of the system.
Veryv pure oxygen is commercially available in ultrahigh grade purities of 99.999 percent. This oxygen is produced by electrolytic dissociation of water and contains between l6p.p.m. of nitrogen. This is converted to nitric oxide by microwave discharge. The nitric oxide can be removed by selectively adsorbing the nitric oxide on silica gel, at low temperatures.
Another procedure for eliminating the traces of nitrogen is to prepurify the oxygen by one of the following methods:
1. Selectively absorbing the oxygen with heated barium oxide to form barium peroxide. The barium peroxide is cooled and evacuated to remove the residual gas. Heating the barium peroxide reverses the reaction producing chemically pure oxygen.
2. Pure oxygen can also be produced by the thermal decomposition of pure potassium permanganate at 240 C.
3. Reduction of nitrogen impurities in the electrolytically produced oxygen can be affected by degassing the water before electrolysis in a high vacuum system and storing and transferring the generated oxygen in the same system. Hydrogen impurities within the electrolytically produced oxygen can be removed by passing high-pressure oxygen through a heated bed of catalyst to convert the hydrogen to water. Water and hydrocarbon impurities can be removed through a series of liquid nitrogen traps containing adsorbents. This technique is a most reliable source of nitrogen-free oxygen.
Atomic oxygen is produced by the selective dissociation of oxygen. The dissociation can be effected by absorption of ultraviolet radiation in the 1,759-l ,950 A region by a low-pressure oxygen flow which produces predissociation into ground state oxygen atoms. This radiation can be produced by microwave excitation of medium pressure resonance lamps containing krypton, xenon, mercury or bromine. The high temperatures of l,900 C. attainable with a Nernst glow bar such as a zirconium oxide heater generate atomic oxygen thermally.
However, direct microwave excitation produces higher oxygen concentrations than either of the above techniques. Reduction of the power in the microwave cavity should reduce the rate of production of nitric oxide without reducing the oxygen atom generation rate an equivalent amount. When the current in the oscillator stage of the microwave generator was varied, the background signal could be reduced without appreciably affecting the sensitivity of the detection system to nitric oxide.
In FIGS. 2a and 2b the source of nitric oxide and monotomic oxygen is utilized as a leak detector for a container 50. In FIG. 2a the evacuated container 50 is fed a metered supply of chemically pure oxygen from tank 52 through a line 54 containing a metering valve 56. The output from container 50 is fed to a microwave cavity 58 through a line 60 containing a valve 62. The microwave cavity 58 is subjected to a radiofrequency discharge from discharge source 64. If container 50 contains any leaks, nitrogen from the air will enter the container 50 and contaminate the pure oxygen with nitrogen. When this mixed gas is passed through the radio frequency discharge, atomic oxygen and nitric oxide will be produced. This chemiluminescent gas will pass through a line 36 containing a valve 38 the light baffle 40 into reactor where the resulting light will be detected by photomultiplier l6.
ln the embodiment illustrated in FIG. 2b chemically pure oxygen flows from source 52 into the microwave cavity 58 before entering container 50. The container is disposed within a larger enclosure 66. Enclosure 66 is pressurized with nitric oxide from cylinder 67. If there are any leaks present in container 50, the atomic oxygen produced by the radiofrequency discharge from source 64 will be contaminated with nitric oxide. When these gasses are fed to the inlet assembly 14 to the reactor 10, the chemiluminescent emission will be detected by photomultiplier tube 16.
The oxygen supply illustrated in FIG. 3 can be utilized for direct determination of nitrogen dioxide vapors in air. The gas inlet assembly 14 in this case includes, a sampling nozzle 70 containing a pinhole aperture 71 for collecting the surrounding air. The air is delivered to the inlet branch 34 through conduit 42 containing a metering valve 44, a light baffle 46. Atomic oxygen enters the branch 34 through the conduit 36. Suitably, atomic oxygen is generated from an ultrapure supply container 72. The oxygen supply passes through a microwave cavity 58 and is subjected to an RF discharge from source 64. The two streams combine within the inlet branch 34 and react axially within reactor chamber 13, to form nitric oxide with chemiluminescent emission of characteristic light. The light emission is detected by photomultiplier tube 16.
Carbon dioxide or carbon monoxide or sulfur dioxide or sulfur monoxide impurities in the atmospheric air do not lead to chemical amplification. The reactions of carbon dioxide and sulfur dioxide are endothermic while that of nitrogen dioxide is exothermic. The slower rate of their oxidative combination reactions will not support chain reactions.
The gas inlet assembly illustrated in FIG. 4 is intended for use in the collection of vapors of nitrogen containing compounds. The vapor collection system of FIG. 4 includes a conversion unit 80 designed to convert organic nitrites, nitrates, or amines to nitric oxide. The unit 80 can affect decomposition by thermal and/or catalytic means. The unit 80 has an inlet port 82 communicating with a sampling nozzle 84, and an output port 86 communicating with conduit 42. The interior of unit 80 may be provided with a heating wire 88 which is connected to a power supply 90. The interior surfaces of the unit 80 or the surface of wire may be coated with a catalyst such as a metal, suitably nickel, platinum, copper or their alloys which participate in the conversion and decomposition of the nitrogen containing vapor compounds.
An oven temperature of 1,250 K. will decompose organic nitrites and nitrates within microseconds. Under these same conditions, an organic nitro compound will pyrolyze at a much slower rate but it still will take no more than 3 milliseconds to decompose 90 percent of the molecules. Therefore, pyrolysis of these compounds can be regarded to take place instantaneously since the residence time of a gas flowing with a convenient flow rate can be several orders of magnitude larger than the lifetime of the molecules. Traces of organic amine vapors in air when heated in a conversion unit to about l,800 F. in the presence of a noble metal catalyst such as platinum will be converted to nitric oxide. The output from the unit 80 is combined with a source of atomic oxygen within reactor 10. A chemiluminescent reaction proceeds which is detected by photomultiplier tube 16. The detection of animal vapors forms the basis of a detection unit for people or animals. The output from the ammeter may be connected to an alarm system or transmitted silently to remote stations to indicate presence of people or animals in the vicinity of the detector.
Nitrobenzene was introduced into pyrolysis unit by passing purified air over a liquid sample of nitrobenzene at room temperature. A quartz tube having a 25 mm. l.D., 33 cm. long and operated at a pressure of 1,020 mm. Hg produced 2 percent conversion at 1,000" K. When this unit was packed with copper gauze having a surface coating of oxide, conversion efficiency increased by a factor of 10. A third pyrolysis unit constructed of copper tubing having an ID. of 1.5 mm. and fashioned into a tight coil had a conversion efficiency of 70 percent which became essentially independent of airflow above 15 ml./min. percent conversion was obtained in a further pyrolysis unit comprising a small quartz tube containing an internal nichrome heater operated at red heat. The nichrome wire operated both as a catalyst and a source of thermal energy. The metal catalyst not only increases the combustion efficiency, but also reduces the pyrolysis temperature.
A problem which must be accommodated is that of background which might interfere with detection. There are two kinds of background: (1 oxides of nitrogen and (2) naturally or normally occuring organic nitroso, nitro, amine or nitrate compounds, which will necessarily give a false positive signal. The first type of signal may come from auto exhaust, though, there is no known wide spread source of false signals of the second type.
Referring now to FIG. 5, more detailed detection system is disclosed which includes provision for analysis of background and calibration of the system. The system includes a reactor 100 which includes a central cylindrical reaction chamber 102 joined at one end by a photomultiplier housing 104 and at the other end by an inlet chamber 106. A vacuum conduit 108 and pressure gauge 109 also communicate with chamber 102. The conduit 108 contains a throttling valve 110 and communicates with a mechanical vacuum pump 112 through a copper gauze reactor 114. The reactor 114 converts the residual oxygen and oxides to harmless products before entering the vacuum pump 112.
A high voltage lead 116 connects the photomultiplier tube to a photomultiplier power supply unit 118 and a signal lead 120 connects the photomultiplier tube to a picoammeter 122. The output from the ammeter 122 is applied to a strip chart recorder 124.
The inlet chamber 106 receives a supply of atomic oxygen through conduit 126 and a supply of nitric oxide vapor through conduit 128. The supply of atomic oxygen emanates from a regulated oxygen storage cylinder 130. The oxygen flows from cylinder 130 through a line 132 containing a toggle shutoff valve 134 and a double-pattemed, metering vernier valve 136, and an RF inductor or microwave cavity 138. The microwave cavity is powered by an RF oscillator and RF power supply 142 through a lead 144.
The nitric oxide supply conduit 128 is fed from three alternate sources through a 3-way rotary valve 146. One source comprises a conduit 148 terminating in a nozzle 150 which contains a shutoff valve 149 and a metering valve 147. The second source comprises a conduit 152 containing in sequence a sampling nozzle 150, a pyrolysis unit 154, a toggle shutoff valve 153 and a doublepatterned, vernier metering valve 155. The pyrolysis unit 154 contains a heating coil 156 powered by a power supply 158.
The third source of nitric oxide is a calibration source comprising a conduit 160 containing in sequence a regulated gas cylinder 162 containing nitric oxide and carrier gas, a toggle shutoff valve 161 and a double-pattemed vernier metering valve 163.
To conduct an analysis in accordance with the invention, the vacuum pump 112 is turned on to reduce the pressure in the reactor 100 to about 3 mm. of Hg. The system is first calibrated by turning rotary valve 146 toward conduit 160 and opening valves 161 and setting valve 163 while closing valves 153 and 149. Valve 134 is opened and valve 136 regulated to a desired flow rate. RF oscillator 140 and power supply 142 are turned on to create a supply of atomic oxygen. The chemiluminescent reaction output is utilized to calibrate ammeter 122 and recorder 124. Valve 161 is then closed, an the rotary valve 146 turn toward conduit 148 regulated by meansv of the metering valve 147. The photomultiplier output is again recorded to determine the presence of nitrogen dioxide (N to provide a background signal. This signal may be utilized to reset the zero level of the recorder 124 and ammeter 122.
Valve 149 is again closed and the rotary valve 146 turn toward conduit 152. Shutoff valve 153 is opened and metering valve 155 set to the desired level. The heater power supply 158 is adjusted to provide a temperature within the pyrolysis unit 154 of, at least about l,250 K. The pyrolysis unit 154 converts the mixture of vapor of organic nitrogen compounds, and air to nitric oxide which combines with the atomic oxygen within reactor 100 with the chemiluminescent emission of light. The characteristic light output is detected and measured by the photomultiplier tube and is recorded by the recorder 124.
The type of nitrogen-containing compounds being pyrolyzed in the furnace can be distinguished by varying the furnace temperature and using various catalysts. If the temperature is lowered to 700 K, the organic nitrites and nitrates should take about sec to decompose, while the organic nitro compounds will pass through the furnace unchanged. Thus, the signal of a pyrolysis temperature of l,250 K represents the total nitrogen-containing organic compounds, while the smaller signal at an oven temperature of 700 K gives an estimate of the amount of organic nitro compounds present.
It is to be realized that only preferred embodiments of the invention have been described and that numerous substitutions, alterations and modifications are all permissible without departing from the spirit and scope of the invention as defined in the following claims.
We claim:
1. A method of detecting the presence of nitrogen containing compounds selected from the group consisting of nitroso, nitro, nitric and amino compounds comprising the steps of:
sampling the atmosphere in the vicinity suspected of containing said compounds to obtain a vapor sample;
heating said sample to thermally convert the compound into an oxide of nitrogen;
adding a supply of atomic oxygen to the converted vapor to form a mixture;
reacting said mixture in a chemiluminescent reaction yielding nitric oxide with light emission characteristic thereof; and measuring said emitted light.
2. A method according to claim 1 in which said compounds are heated to a temperature of at least about l,800 F and said heating is conducted in the presence of oxygen and in the presence of a metal catalyst.
3. A process according to claim 1 in which said supply of atomic oxygen is formed by subjecting a very pure stream of oxygen to a radiofrequency discharge.
4. A process according to claim 1 in which the chemiluminescent reaction is conducted at a reduced pressure.
5. A method-according to claim 1 in which said mixture is removed from a closed container containing a first member selected from said vapor sample or said supply and said container is surrounded by a higher pressure atmosphere of said other member.
6. A method according to claim 5 in which said first member is oxygen, said atmosphere comprises nitrogen and the output from said chamber is subjected to a radiofrequency discharge to fonn a mixture of nitrogen oxide and atomic oxygen.
7. An apparatus for detecting the presence of nitrogen containing compounds comprising in combination:
means for sampling the atmosphere in the vicinity suspected of containing a nitrogen containing compound; thermolytic reactor means receiving said sample for converting said nitrogen compound into an oxide of nitrogen;
source means containing a supply of atomic oxy en; reactor means receiving said converted samp e and said supply for chemiluminescent reaction thereof to form nitric oxide and characteristic emission of light; and
photodetector means coupled to said reactor for detection of said characteristic emission.
8. An apparatus according to claim 7 in which said thermolytic reactor means includes a reactor chamber, means for heating the chamber and a nitrogen oxide conversion catalyst disposed within the chamber.
9. An apparatus according to claim 7 in which said source means comprises a supply of diatomic oxygen, a chamber for receiving said supply and a radiofrequency source coupled to said chamber for subjecting said diatomic oxygen to a radiofrequency discharge for conversion thereof to atomic oxygen.
10. An apparatus according to claim 7 further including closed container means for receiving a first gas selected from said sample or said source and means for applying said other gas to the exterior of said container whereby said chemiluminescent reactable mixture is formed only when said container contains a leak and chemiluminescent emission in said reactor detects said leak.
11. An apparatus according to claim 7 in which said reactor is a cylindrical tube having an internal light reflective surface, said reactor containing axial inlet means for receiving said sample and supply and an opposed axial light output aperture.
12. An apparatus according to claim 11 further including vacuum pump means coupled to said tube.
13. An apparatus according to claim 12 further including light baffle means disposed in said inlet means and in the line coupling said vacuum pump to said tube.

Claims (12)

  1. 2. A method according to claim 1 in which said compounds are heated to a temperature of at least about 1,800* F and said heating is conducted in the presence of oxygen and in the presence of a metal catalyst.
  2. 3. A process according to claim 1 in which said supply of atomic oxygen is formed by subjecting a very pure stream of oxygen to a radiofrequency discharge.
  3. 4. A process according to claim 1 in which the chemiluminescent reaction is conducted at a reduced pressure.
  4. 5. A method according to claim 1 in which said mixture is removed from a closed container containing a first member selected from said vapor sample or said supply and said container is surrounded by a higher pressure atmosphere of said other member.
  5. 6. A method according to claim 5 in which said first member is oxygen, said atmosphere comprises nitrogen and the output from said chamber is subjected to a radiofrequency discharge to form a mixture of nitrogen oxide and atomic oxygen.
  6. 7. An apparatus for detecting the presence of nitrogen containing compounds comprising in combination: means for sampling the atmosphere in the vicinity suspected of containing a nitrogen containing compound; thermolytic reactor means receiving said sample for converting said nitrogen compound into an oxide of nitrogen; source means containing a supply of atomic oxygen; reactor means receiving said converted sample and said supply for chemiluminescent reaction thereof to form nitric oxide and characteristic emission of light; and photodetector means coupled to said reactor for detection of said characteristic emission.
  7. 8. An apparatus according to claim 7 in which said thermolytic reactor means includes a reactor chamber, means for heating the chamber and a nitrogen oxide conversion catalyst disposed within the chamber.
  8. 9. An apparatus according to claim 7 in which said source means comprises a supply of diatomic oxygen, a chamber for receiving said supply and a radiofrequency source coupled to said chamber for subjecting said diatomic oxygen to a radiofrequency discharge for conversion thereof to atomic oxygen.
  9. 10. An apparatus according to claim 7 further including closed container means for receiving a first gas selected from said sample or said source and means for applying said other gas to the exterior of said container whereby said chemiluminescent reactable mixture is formed only when said container contains a leak and chemiluminescent emission in said reactor detects said leak.
  10. 11. An apparatus according to claim 7 in which said reactor is a cylindrical tube having an internal light reflective surface, said reactor containing axial inlet means for receiving said sample and supply and an opposed axial light output aperture.
  11. 12. An apparatus according to claim 11 further including vacuum pump means coupled to said tube.
  12. 13. An apparatus according to claim 12 further including light baffle means disposed in said inlet means and in the line coupling said vacuum pump to said tube.
US20919A 1970-03-19 1970-03-19 Detection device Expired - Lifetime US3647387A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US2091970A 1970-03-19 1970-03-19

Publications (1)

Publication Number Publication Date
US3647387A true US3647387A (en) 1972-03-07

Family

ID=21801286

Family Applications (1)

Application Number Title Priority Date Filing Date
US20919A Expired - Lifetime US3647387A (en) 1970-03-19 1970-03-19 Detection device

Country Status (1)

Country Link
US (1) US3647387A (en)

Cited By (337)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3746513A (en) * 1971-05-26 1973-07-17 Ford Motor Co Chemiluminescent process
US3749929A (en) * 1971-10-07 1973-07-31 Monsanto Res Corp Chemiluminescent method and apparatus
US3795489A (en) * 1971-09-15 1974-03-05 Ford Motor Co Chemiluminescence reaction chamber
USRE28376E (en) * 1971-05-26 1975-03-25 Chemiluminesceht process
US3888630A (en) * 1973-12-26 1975-06-10 Borg Warner Breath testing method
US3904371A (en) * 1974-03-04 1975-09-09 Beckman Instruments Inc Chemiluminescent ammonia detection
US3919397A (en) * 1973-11-30 1975-11-11 Aerochem Research Labs Inc Catalytic preparation of NO
JPS50145187A (en) * 1974-05-10 1975-11-21
DE2544928A1 (en) * 1974-10-07 1976-04-08 Thermo Electron Corp METHOD AND DEVICE FOR DETECTING THE PRESENCE OF CERTAIN COMPOUNDS IN A SAMPLE
US3973910A (en) * 1973-02-05 1976-08-10 Thermo Electron Corporation Method of measuring the N-nitrosoamine content of a sample
US3977836A (en) * 1974-11-13 1976-08-31 Hitachi, Ltd. Method and apparatus for determining ammonia concentration of gas
US3996009A (en) * 1975-09-17 1976-12-07 Thermo Electron Corporation Specific compound detection system
US3996008A (en) * 1975-09-17 1976-12-07 Thermo Electron Corporation Specific compound detection system with gas chromatograph
US3996002A (en) * 1973-02-05 1976-12-07 Thermo Electron Corporation Method and apparatus for measuring the n-nitroso compound content of a sample
US4018562A (en) * 1975-10-24 1977-04-19 Antek Instruments, Inc. Chemiluminescent nitrogen detection apparatus and method
US4025309A (en) * 1976-02-26 1977-05-24 Hach Chemical Company Carbon nitrogen test system
US4073866A (en) * 1975-11-15 1978-02-14 Agency Of Industrial Science And Technology Of Japan Process for converting nitrogen dioxide into nitrogen monoxide
US4077774A (en) * 1977-02-14 1978-03-07 Beckman Instruments, Inc. Interferent-free fluorescence detection of sulfur dioxide
US4118193A (en) * 1977-07-29 1978-10-03 Beckman Instruments, Inc. Catalytic reactor systems method and apparatus
US4140487A (en) * 1975-12-10 1979-02-20 Commonwealth Scientific And Industiral Research Organization Method and apparatus for analysis of water
US4148612A (en) * 1976-02-19 1979-04-10 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for detecting and measuring trace impurities in flowing gases
US4256462A (en) * 1979-12-28 1981-03-17 University Of Iowa Research Foundation Method and composition for determination of n-nitrosamines
US4257777A (en) * 1977-04-12 1981-03-24 British-American Tobacco Company Limited Gas detection
US4261698A (en) * 1980-01-23 1981-04-14 International Business Machines Corporation Trace oxygen detector
US4333735A (en) * 1981-03-16 1982-06-08 Exxon Research & Engineering Co. Process and apparatus for measuring gaseous fixed nitrogen species
US4412006A (en) * 1980-08-01 1983-10-25 University Of Iowa Research Foundation Method for determination of nitrate and/or nitrite
US4843016A (en) * 1974-10-07 1989-06-27 Thermedics Inc. Detection system and method
US4947850A (en) * 1988-03-11 1990-08-14 Trustees Of The University Of Pennsylvania Method and apparatus for imaging an internal body portion of a host animal
US5092219A (en) * 1987-07-08 1992-03-03 Thermedics Inc. Selective decomposition of nitrite esters and nitramines
US5092220A (en) * 1987-07-08 1992-03-03 Thermedics Inc. Nitric oxide detection with hydrogen and ozone
US5300441A (en) * 1989-02-11 1994-04-05 Antek Instruments, Inc. Method for measuring and determining nitrogen content in a sample and providing an output data of total nitrogen and selected constituent nitrogen compounds including nitrate and nitrite
US5783828A (en) * 1995-02-02 1998-07-21 European Atomic Energy Community (Euratom) Apparatus and method for tritium measurement by gas scintillation
WO1998038508A1 (en) * 1997-02-28 1998-09-03 Extraction Systems, Inc. System for detecting amine and other basic molecular contamination in a gas
US6096267A (en) * 1997-02-28 2000-08-01 Extraction Systems, Inc. System for detecting base contaminants in air
US6207460B1 (en) 1999-01-14 2001-03-27 Extraction Systems, Inc. Detection of base contaminants in gas samples
WO2001047009A2 (en) * 1999-12-21 2001-06-28 Lam Research Corporation Method and apparatus for detecting the endpoint of a photoresist stripping process
US20020137227A1 (en) * 2001-03-23 2002-09-26 Kurt Weckstrom Chemiluminescent gas analyzer
US20060061225A1 (en) * 2004-09-17 2006-03-23 Beck Mark J Method and apparatus for cavitation threshold characterization and control
WO2009134647A3 (en) * 2008-04-30 2010-01-07 Waters Technologies Corporation Apparatus and methods for performing photoreactions and analytical methods and devices to detect photo-reacting compounds
US20110027899A1 (en) * 2009-02-10 2011-02-03 Hargrove James M Hazardous chemicals detector & methods of use thereof
US8846407B2 (en) 2009-02-10 2014-09-30 James M. Hargrove Chemical explosive detector
US20140346650A1 (en) * 2009-08-14 2014-11-27 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2015191843A1 (en) * 2014-06-13 2015-12-17 Advanced Plasma Therapies, Inc. Veterinary methods for using nitric oxide in a plasma state to treat medical conditions and diseases in animals
WO2017213894A1 (en) * 2016-06-06 2017-12-14 Honeywell International Inc. Electrochemical gas sensor for use in ultra low oxygen storage environments
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10364493B2 (en) 2016-08-25 2019-07-30 Asm Ip Holding B.V. Exhaust apparatus and substrate processing apparatus having an exhaust line with a first ring having at least one hole on a lateral side thereof placed in the exhaust line
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3528779A (en) * 1968-06-27 1970-09-15 Aerochem Res Lab Chemiluminescent method of detecting ozone
US3540851A (en) * 1967-08-25 1970-11-17 Aerochem Res Lab Method of determining trace amounts of gases

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3540851A (en) * 1967-08-25 1970-11-17 Aerochem Res Lab Method of determining trace amounts of gases
US3528779A (en) * 1968-06-27 1970-09-15 Aerochem Res Lab Chemiluminescent method of detecting ozone

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Kiess et al., 7th Symposium (International) on Combustion, London & Oxford, 28 Aug. 3 Sept. 1958, pp. 207 209 relied on. *

Cited By (433)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3746514A (en) * 1971-05-26 1973-07-17 Ford Motor Co Chemiluminescent instrument
USRE28376E (en) * 1971-05-26 1975-03-25 Chemiluminesceht process
USRE28374E (en) * 1971-05-26 1975-03-25 Chemiluminescent instrument
US3746513A (en) * 1971-05-26 1973-07-17 Ford Motor Co Chemiluminescent process
US3795489A (en) * 1971-09-15 1974-03-05 Ford Motor Co Chemiluminescence reaction chamber
US3749929A (en) * 1971-10-07 1973-07-31 Monsanto Res Corp Chemiluminescent method and apparatus
US3973910A (en) * 1973-02-05 1976-08-10 Thermo Electron Corporation Method of measuring the N-nitrosoamine content of a sample
US3996002A (en) * 1973-02-05 1976-12-07 Thermo Electron Corporation Method and apparatus for measuring the n-nitroso compound content of a sample
US3919397A (en) * 1973-11-30 1975-11-11 Aerochem Research Labs Inc Catalytic preparation of NO
US3888630A (en) * 1973-12-26 1975-06-10 Borg Warner Breath testing method
US3904371A (en) * 1974-03-04 1975-09-09 Beckman Instruments Inc Chemiluminescent ammonia detection
JPS50145187A (en) * 1974-05-10 1975-11-21
DE2544928A1 (en) * 1974-10-07 1976-04-08 Thermo Electron Corp METHOD AND DEVICE FOR DETECTING THE PRESENCE OF CERTAIN COMPOUNDS IN A SAMPLE
US4843016A (en) * 1974-10-07 1989-06-27 Thermedics Inc. Detection system and method
US3977836A (en) * 1974-11-13 1976-08-31 Hitachi, Ltd. Method and apparatus for determining ammonia concentration of gas
US3996008A (en) * 1975-09-17 1976-12-07 Thermo Electron Corporation Specific compound detection system with gas chromatograph
US3996009A (en) * 1975-09-17 1976-12-07 Thermo Electron Corporation Specific compound detection system
US4018562A (en) * 1975-10-24 1977-04-19 Antek Instruments, Inc. Chemiluminescent nitrogen detection apparatus and method
US4073866A (en) * 1975-11-15 1978-02-14 Agency Of Industrial Science And Technology Of Japan Process for converting nitrogen dioxide into nitrogen monoxide
US4140487A (en) * 1975-12-10 1979-02-20 Commonwealth Scientific And Industiral Research Organization Method and apparatus for analysis of water
US4148612A (en) * 1976-02-19 1979-04-10 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for detecting and measuring trace impurities in flowing gases
US4025309A (en) * 1976-02-26 1977-05-24 Hach Chemical Company Carbon nitrogen test system
US4077774A (en) * 1977-02-14 1978-03-07 Beckman Instruments, Inc. Interferent-free fluorescence detection of sulfur dioxide
US4257777A (en) * 1977-04-12 1981-03-24 British-American Tobacco Company Limited Gas detection
US4118193A (en) * 1977-07-29 1978-10-03 Beckman Instruments, Inc. Catalytic reactor systems method and apparatus
DE2833070A1 (en) * 1977-07-29 1979-04-12 Beckman Instruments Inc CATALYTIC REACTOR SYSTEMS
US4256462A (en) * 1979-12-28 1981-03-17 University Of Iowa Research Foundation Method and composition for determination of n-nitrosamines
US4261698A (en) * 1980-01-23 1981-04-14 International Business Machines Corporation Trace oxygen detector
US4412006A (en) * 1980-08-01 1983-10-25 University Of Iowa Research Foundation Method for determination of nitrate and/or nitrite
US4333735A (en) * 1981-03-16 1982-06-08 Exxon Research & Engineering Co. Process and apparatus for measuring gaseous fixed nitrogen species
US5092219A (en) * 1987-07-08 1992-03-03 Thermedics Inc. Selective decomposition of nitrite esters and nitramines
US5092156A (en) * 1987-07-08 1992-03-03 Thermedics Inc. Vapor collector/desorber with tube bundle and metal foil
US5092157A (en) * 1987-07-08 1992-03-03 Thermedics Inc. Vapor collector/desorber with metallic ribbon
US5092218A (en) * 1987-07-08 1992-03-03 Thermedics Inc. Selective detection of explosives vapors
US5092220A (en) * 1987-07-08 1992-03-03 Thermedics Inc. Nitric oxide detection with hydrogen and ozone
US5123274A (en) * 1987-07-08 1992-06-23 Thermedics Inc. Hand-held sample gun for vapor collection
US5551278A (en) * 1987-07-08 1996-09-03 Thermedics Inc. Vapor collector/desorber with non-conductive tube bundle
US4947850A (en) * 1988-03-11 1990-08-14 Trustees Of The University Of Pennsylvania Method and apparatus for imaging an internal body portion of a host animal
US5300441A (en) * 1989-02-11 1994-04-05 Antek Instruments, Inc. Method for measuring and determining nitrogen content in a sample and providing an output data of total nitrogen and selected constituent nitrogen compounds including nitrate and nitrite
US5783828A (en) * 1995-02-02 1998-07-21 European Atomic Energy Community (Euratom) Apparatus and method for tritium measurement by gas scintillation
WO1998038508A1 (en) * 1997-02-28 1998-09-03 Extraction Systems, Inc. System for detecting amine and other basic molecular contamination in a gas
EP1365228A2 (en) * 1997-02-28 2003-11-26 Extraction Systems, Inc. System for detecting amine and other basic molecular contamination in a gas
US6296806B1 (en) 1997-02-28 2001-10-02 Extraction Systems, Inc. Protection of semiconductor fabrication and similar sensitive processes
US6096267A (en) * 1997-02-28 2000-08-01 Extraction Systems, Inc. System for detecting base contaminants in air
EP1365228A3 (en) * 1997-02-28 2004-01-14 Extraction Systems, Inc. System for detecting amine and other basic molecular contamination in a gas
US6207460B1 (en) 1999-01-14 2001-03-27 Extraction Systems, Inc. Detection of base contaminants in gas samples
US6855557B2 (en) 1999-01-14 2005-02-15 Extraction Systems, Inc. Detection of base contaminants in gas samples
WO2001047009A3 (en) * 1999-12-21 2002-01-31 Lam Res Corp Method and apparatus for detecting the endpoint of a photoresist stripping process
US6451158B1 (en) 1999-12-21 2002-09-17 Lam Research Corporation Apparatus for detecting the endpoint of a photoresist stripping process
WO2001047009A2 (en) * 1999-12-21 2001-06-28 Lam Research Corporation Method and apparatus for detecting the endpoint of a photoresist stripping process
US7077971B2 (en) 1999-12-21 2006-07-18 Lam Research Corporation Methods for detecting the endpoint of a photoresist stripping process
US20020137227A1 (en) * 2001-03-23 2002-09-26 Kurt Weckstrom Chemiluminescent gas analyzer
US20060061225A1 (en) * 2004-09-17 2006-03-23 Beck Mark J Method and apparatus for cavitation threshold characterization and control
WO2006034040A3 (en) * 2004-09-17 2006-06-01 Product Systems Inc Method and apparatus for cavitation threshold characterization and control
US7443079B2 (en) 2004-09-17 2008-10-28 Product Systems Incorporated Method and apparatus for cavitation threshold characterization and control
WO2009134647A3 (en) * 2008-04-30 2010-01-07 Waters Technologies Corporation Apparatus and methods for performing photoreactions and analytical methods and devices to detect photo-reacting compounds
US8524502B2 (en) 2008-04-30 2013-09-03 Waters Technologies Corporation Apparatus and methods for performing photoreactions and analytical methods and devices to detect photo-reacting compounds
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20110027899A1 (en) * 2009-02-10 2011-02-03 Hargrove James M Hazardous chemicals detector & methods of use thereof
US8846407B2 (en) 2009-02-10 2014-09-30 James M. Hargrove Chemical explosive detector
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) * 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20140346650A1 (en) * 2009-08-14 2014-11-27 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
WO2015191843A1 (en) * 2014-06-13 2015-12-17 Advanced Plasma Therapies, Inc. Veterinary methods for using nitric oxide in a plasma state to treat medical conditions and diseases in animals
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
WO2017213894A1 (en) * 2016-06-06 2017-12-14 Honeywell International Inc. Electrochemical gas sensor for use in ultra low oxygen storage environments
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10364493B2 (en) 2016-08-25 2019-07-30 Asm Ip Holding B.V. Exhaust apparatus and substrate processing apparatus having an exhaust line with a first ring having at least one hole on a lateral side thereof placed in the exhaust line
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Similar Documents

Publication Publication Date Title
US3647387A (en) Detection device
Young et al. Deactivation of O (1 D)
Fontijn et al. Homogeneous chemiluminescent measurement of nitric oxide with ozone. Implications for continuous selective monitoring of gaseous air pollutants
US3904371A (en) Chemiluminescent ammonia detection
US4332591A (en) Analytical method and apparatus for the determination of total nitrogen contents in aqueous systems
US4150951A (en) Measurement of concentrations of gaseous phase elements
EP0231639B1 (en) Gas analyzer and a source of ir radiation therefor
KR20050106116A (en) Analytical sensitivity enhancement by catalytic transformation
Davis et al. A laser flash photo lysis-resonance fluorescence kinetic study: Reaction of O (3P) with O3
McCrumb et al. Kinetics of the O+ O 3 reaction
Heidner III et al. Kinetic study of electronically excited oxygen atoms, O (21D2), by time-resolved atomic absorption spectroscopy in the vacuum ultra-violet (λ= 115.2 nm, O (31D02← 21D2))
US3281596A (en) Method of detecting mercury vapor by collecting the mercury and thereafter analyzing the collected mercury by ultraviolet absorption analysis
US5633170A (en) Method and apparatus for nitrogen oxide analysis
US5783828A (en) Apparatus and method for tritium measurement by gas scintillation
US3906226A (en) Nitric oxide pollution monitor
Ravishankara et al. Pulsed laser photolysis–long path laser absorption kinetics study of the reaction of methylperoxy radicals with NO2
US3410663A (en) Thermoparticulate detection of explosives
Krost et al. Flame chemiluminescence detection of nitrogen compounds
US5702954A (en) Method to detect phosphorus
Turro et al. Chemiluminescence from carbene oxidation
Meinel Detection of nitric oxide by the resonance absorption technique
Vasnin et al. Pulsed discharge emission detector—Application to analytical spectroscopy of permanent gases
US4130758A (en) Device for determining gaseous components
Fujiwara et al. Ozone gas-phase chemiluminescence for silane and its application to the determination of silicate in natural waters
US5139958A (en) Method and device for the determination of low concentrations of oxygen in carbonaceous materials