US20170191685A1 - Self-sustained in-situ thermal control apparatus - Google Patents

Self-sustained in-situ thermal control apparatus Download PDF

Info

Publication number
US20170191685A1
US20170191685A1 US14/984,178 US201514984178A US2017191685A1 US 20170191685 A1 US20170191685 A1 US 20170191685A1 US 201514984178 A US201514984178 A US 201514984178A US 2017191685 A1 US2017191685 A1 US 2017191685A1
Authority
US
United States
Prior art keywords
air
heat exchanger
thermal management
process module
management system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/984,178
Inventor
Allan Ronne
Michael Tseng
Henry Wang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to US14/984,178 priority Critical patent/US20170191685A1/en
Assigned to LAM RESEARCH CORPORATION reassignment LAM RESEARCH CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: WANG, HENRY, TSENG, MICHAEL, RONNE, ALLAN
Publication of US20170191685A1 publication Critical patent/US20170191685A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F24HEATING; RANGES; VENTILATING
    • F24FAIR-CONDITIONING; AIR-HUMIDIFICATION; VENTILATION; USE OF AIR CURRENTS FOR SCREENING
    • F24F7/00Ventilation
    • F24F7/04Ventilation with ducting systems, e.g. by double walls; with natural circulation
    • F24F7/06Ventilation with ducting systems, e.g. by double walls; with natural circulation with forced air circulation, e.g. by fan positioning of a ventilator in or against a conduit
    • F24F7/08Ventilation with ducting systems, e.g. by double walls; with natural circulation with forced air circulation, e.g. by fan positioning of a ventilator in or against a conduit with separate ducts for supplied and exhausted air with provisions for reversal of the input and output systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F24HEATING; RANGES; VENTILATING
    • F24FAIR-CONDITIONING; AIR-HUMIDIFICATION; VENTILATION; USE OF AIR CURRENTS FOR SCREENING
    • F24F11/00Control or safety arrangements
    • F24F11/0001Control or safety arrangements for ventilation
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F24HEATING; RANGES; VENTILATING
    • F24FAIR-CONDITIONING; AIR-HUMIDIFICATION; VENTILATION; USE OF AIR CURRENTS FOR SCREENING
    • F24F7/00Ventilation
    • F24F7/04Ventilation with ducting systems, e.g. by double walls; with natural circulation
    • F24F7/06Ventilation with ducting systems, e.g. by double walls; with natural circulation with forced air circulation, e.g. by fan positioning of a ventilator in or against a conduit
    • F24F7/10Ventilation with ducting systems, e.g. by double walls; with natural circulation with forced air circulation, e.g. by fan positioning of a ventilator in or against a conduit with air supply, or exhaust, through perforated wall, floor or ceiling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Definitions

  • the present disclosure relates to substrate processing systems, and more particularly to thermal management systems and methods for substrate processing systems.
  • Substrate processing systems may be used to perform deposition, etching and/or other treatment of substrates such as semiconductor wafers.
  • a substrate is arranged on a substrate support such as a pedestal in a processing chamber of the substrate processing system. Gas mixtures including one or more precursors are introduced into the processing chamber and plasma may be struck to activate chemical reactions.
  • the substrate processing tool draws in ambient air (e.g., cleanroom air in a fabrication room of a facility in which the substrate processing tool is located) to cool the heated components.
  • ambient air e.g., cleanroom air in a fabrication room of a facility in which the substrate processing tool is located
  • an example facility 100 includes one or more fabrication rooms 104 - 1 , 104 - 2 , . . . , and 104 -n, referred to collectively as fabrication rooms 104 .
  • Each of the rooms 104 includes one or more substrate processing tools 108 - 1 , 108 - 2 , . . . , and 108 -m, referred to collectively as substrate processing tools 108 .
  • Each of the substrate processing tools 108 includes one or more process modules (not shown).
  • the substrate processing tools 108 draw in cool ambient air 112 from within the rooms 104 to cool heated components of the substrate processing tools 108 .
  • the air 112 is drawn into enclosures corresponding to respective process modules of the substrate processing tools 108 (e.g., via ports, screens, vents, etc. arranged in respective enclosure surfaces of the substrate processing tools 108 ).
  • the rooms 104 correspond to fabrication cleanrooms. Accordingly, the air 112 within the rooms 104 is filtered and controlled to minimize contaminants. Heat from the respective components of the substrate processing tools 108 is transferred to the air 112 , which is heated accordingly.
  • the heated air 116 is exhausted from the substrate processing tools 108 via respective conduits or ducts 120 .
  • the exhausted heated air 116 is drawn through the conduits 120 by a thermal exhaust treatment system 124 , which then routes the heated air 116 into the environment outside of the facility 100 .
  • a thermal management system for a substrate processing tool located in a fabrication room includes a blower that draws air from the fabrication room and causes the air to flow through a process module of the substrate processing tool. Heat is transferred from the process module to the air and the air is exhausted from the process module.
  • a heat exchanger receives the air exhausted from the process module, cools the air, and provides the cooled air to at least one of the fabrication room, a subfloor of the fabrication room, and the process module.
  • a thermal management method for a substrate processing tool located in a fabrication room include drawing air from the fabrication room and causing the air to flow through a process module of the substrate processing tool to transfer heat from the process module to the air, exhausting the air from the process module, receiving the air exhausted from the process module, cooling the air, and providing the cooled air to at least one of the fabrication room, a subfloor of the fabrication room, and the process module.
  • FIG. 1A is an example fabrication room including one or more substrate processing tools
  • FIG. 1B is an example facility including a plurality of fabrication rooms
  • FIG. 2A is an example fabrication room including one or more substrate processing tools according to the principles of the present disclosure
  • FIG. 2B is another example fabrication room including one or more substrate processing tools according to the principles of the present disclosure
  • FIG. 2C is another example fabrication room including one or more substrate processing tools according to the principles of the present disclosure.
  • FIG. 2D is another example fabrication room including one or more substrate processing tools according to the principles of the present disclosure.
  • FIG. 3 is an example substrate processing tool according to the principles of the present disclosure
  • FIG. 4A is an example thermal management system according to the principles of the present disclosure.
  • FIG. 4B is another example thermal management system according to the principles of the present disclosure.
  • FIG. 5A is an example thermal management assembly according to the principles of the present disclosure.
  • FIG. 5B is another example thermal management assembly according to the principles of the present disclosure.
  • FIG. 6 is an example thermal management method according to the principles of the present disclosure.
  • Substrate processing facilities typically use a centralized thermal exhaust treatment system to draw heated exhaust air from fabrication rooms.
  • the thermal exhaust treatment system routes the heated exhaust air out of the facility and into the environment. Accordingly, cleanroom air inside the fabrication rooms is consumed (e.g., the cleanroom air is used to cool substrate processing tools and then removed from the fabrication rooms and the facility) and must be replaced. Because every substrate processing tool is serviced by the same thermal exhaust treatment system, the operation of each tool affects the overall performance and efficiency of the system.
  • the location of each tool e.g., the distance of the tool from the thermal exhaust treatment system further affects performance and efficiency.
  • Thermal exhaust treatment systems and methods according to the present disclosure provide separate exhaust treatment systems for each process module of a substrate processing tool.
  • each process module is provided a respective blower and heat exchanger.
  • Each blower draws the heated exhaust air from the respective process module and routes the exhaust air through the heat exchanger.
  • the exhaust air flows through the heat exchanger to be cooled and the resulting cooled air is provided back into the fabrication room (or, in some examples, the cooled air may be provided back to the same process module). Accordingly, the cleanroom air is recycled and reused instead of being exhausted from the facility.
  • the blower and/or heat exchanger for each process module may be located in a subfloor region below a floor of the fabrication room.
  • Respective flow rates and temperatures for each of the process modules may be individually monitored, controlled, and adjusted. Further, service and/or maintenance on one of the exhaust treatment systems does not require interruption of the operation of other process modules and their respective exhaust treatment systems.
  • an example fabrication room 200 includes one or more substrate processing tools 204 .
  • the room 200 corresponds to a fabrication room supplied with cleanroom air (i.e., air that is filtered and controlled to minimize contaminants).
  • cleanroom air i.e., air that is filtered and controlled to minimize contaminants.
  • the fabrication room 200 may include two or more of the substrate processing tools 204 .
  • the substrate processing tool 204 includes one or more process modules 208 , which may be enclosed within a chassis or other enclosure 210 . Cool ambient air 212 is drawn into portions of the substrate processing tools 204 corresponding to the process modules 208 from within the room 200 to cool respective components of the process modules 208 .
  • the air 212 is drawn in through respective ports 214 (e.g., ducts, screens, vents, etc. arranged in respective surfaces of the enclosures 210 ) to be routed over various external surfaces and/or components of the process modules 208 , through conduits or channels adjacent to external surfaces and/or components of the process modules 208 , etc. Heat from the components of the process modules 208 is transferred to the air 212 .
  • respective ports 214 e.g., ducts, screens, vents, etc. arranged in respective surfaces of the enclosures 210 .
  • Heated air 216 is exhausted from the process modules 208 and drawn into respective conduits or ducts 220 .
  • the heated air 216 is drawn into the conduits 120 by respective blowers or fans 224 .
  • the blowers 224 draw the heated air 216 through the conduits 120 and into respective heat exchangers 228 , which cool the heated air 216 .
  • the heat exchangers 228 may implement a cold fluid cooling system (e.g., including cooling water or other fluids) to draw out heat from the heated air 216 .
  • the blowers 224 and heat exchangers 228 are each located below a floor 232 of the room 200 in a subfloor compartment 236 .
  • the blowers 224 and/or the heat exchangers 228 may be located within the room 200 .
  • one blower 224 is shown for each of the process modules 208 , two or more of the blowers 224 may be used.
  • one of the blowers 224 may be arranged upstream of the heat exchanger 228 while another blower 224 is arranged downstream of the heat exchanger 228 .
  • cooled air 240 is routed back into the fabrication room 200 . Accordingly, the same cleanroom air that was drawn into process modules 208 is heated, cooled, and then returned to the fabrication room 200 . Although shown being returned to the ambient cleanroom air of the fabrication room 200 , the cooled air 240 may be routed back into the process modules 208 in some embodiments to provide additional cooling. In example embodiments shown in FIGS. 2B and 2D , the cooled air 240 is routed into the subfloor compartment 236 .
  • a flow rate of the heated air 216 and the cooled air 240 may be controlled by adjusting respective speeds of the blowers 224 .
  • adjustable dampers 244 e.g., gate valve dampers, butterfly valve dampers, etc.
  • a temperature of the cooled air 240 may be controlled by controlling a temperature and flow rate of the cold fluid in the heat exchangers 228 . Accordingly, flow rates and temperatures of air provided to the respective process modules 208 can be individually monitored and controlled.
  • each of the blowers 224 receive power from the respective substrate processing tools 204 .
  • the blowers 224 receive DC power from the substrate processing tools 204 .
  • Each of the blowers 224 may be configured to be powered on whenever the substrate processing tools 204 are powered on, only when a respective process module 208 is powered on, or may include respective switches to be selectively powered on.
  • the substrate processing tools 204 may be configured to selectively power on and adjust flow rates of the blowers 224 (and/or to selectively adjust the dampers 244 ) based on process steps being performed by the process modules 208 .
  • two or more process modules 208 may share one or more heat exchangers 228 and blowers 224 . Although only one heat exchanger 228 is shown, two or more of the heat exchangers 228 may be provided in series.
  • the heated air 216 from the respective conduits 220 is provided to an exhaust manifold 248 , which routes the heated air 216 into the heat exchanger 228 .
  • one or more of the blowers 224 may implement a variable frequency driver 252 to maintain a constant pressure and flow rate.
  • the substrate processing tool 300 includes a plurality of process modules 304 .
  • each of the process modules 304 may be configured to perform one or more respective processes on a substrate.
  • Substrates to be processed are loaded into the substrate process tool 300 via ports of a loading station 308 and then transferred into one or more of the process modules 304 .
  • a substrate may be loaded into each of the process modules 304 in succession.
  • Each of the process modules 304 draws in cleanroom ambient air 312 and exhausts the heated air through respective conduits 316 , downward through floor 320 , and into respective heat exchangers (e.g., the heat exchangers 228 ) as described above in FIG. 2 .
  • the conduits 316 may be arranged on an opposite respective side of a process module 304 as shown at 318 .
  • a user interface module 324 (e.g., an interface including output devices such as a display, LEDs, speakers, etc. and input devices such as a buttons, switches, knobs, dials, touchscreen, etc.) may be provided for controlling various functions of the tool 300 .
  • a user may use the user interface module 324 to control operation of the blowers 224 and the heat exchangers 228 .
  • the user interface module 324 may be used to selectively power the blowers 224 and the heat exchangers 228 on and off (e.g., individually and/or collectively), open and close the dampers 244 , set desired respective setpoint flow rates and temperatures for the blowers 224 and the heat exchangers 228 , etc., and may further be used to monitor flow rates and temperatures.
  • FIGS. 4A and 4B an example flow schematic of a thermal management system 400 that draws in cool cleanroom air and exhausts heated cleanroom air to cool components of respective process modules 404 .
  • each of the process modules 404 exhausts the heated cleanroom air through dampers 408 (e.g., including gate valves or butterfly valves) and openings in floor 412 to heat exchangers 416 .
  • the heat exchangers 416 cool the air by, for example, flowing cold water or another fluid drawn in through inlets 420 and out through outlets 424 .
  • sensors 432 and 436 e.g., temperature sensors, such as thermocouples, pressure sensors, flow sensors, etc.
  • Signals indicative of the monitored flow rates and temperatures are provided to a user interface module 440 .
  • each of the process modules 404 exhausts the heated cleanroom air through dampers 408 (e.g., including gate valves or butterfly valves) and openings in floor 412 to manifold 444 .
  • the manifold 444 routes the heated air 216 into one or more heat exchangers 448 (e.g., as shown, two heat exchangers 448 connected in series).
  • one or more of the blowers 428 may implement a variable frequency driver 452 to maintain a constant pressure and flow rate.
  • the user interface module 440 may control the variable frequency driver 452 based on the monitored flowrates, a monitored pressure within the manifold 444 , etc.
  • an example thermal management assembly 500 is arranged in a subfloor region 504 of a fabrication room 508 .
  • the assembly 500 is shown in a horizontal arrangement.
  • the assembly 500 is shown in a vertical arrangement. Heated exhaust air is drawn downward through a floor 512 via a conduit 516 .
  • the conduit 516 and/or the floor 512 may include a screen or filter 520 at an interface between the fabrication room 508 and the subfloor region 504 .
  • the heated exhaust air is routed to a heat exchanger 524 to cool the heated exhaust air.
  • a first blower 528 is arranged to draw the heated exhaust air from the conduit 516 into the heat exchanger 524 .
  • a second blower 532 is arranged to draw cooled air into a conduit 536 to be provided to the fabrication room 508 .
  • Adaptors 540 may be provided to connect the conduit 516 to the first blower 528 , to connect the first blower 528 to the heat exchanger 524 , to connect the heat exchanger 524 to the second blower 532 , and to connect the second blower 532 to the conduit 536 .
  • At least one sensor 545 may be arranged to sense parameters of the air at various locations within the assembly 500 (e.g., temperature, pressure, flow rate, etc.).
  • the sensor 545 may correspond to a thermocouple.
  • the first blower 528 and the second blower 532 may each be arranged on a same side of the heat exchanger 524 .
  • the first blower 528 and the second blower 532 are both arranged on the upstream side of the heat exchanger 524 .
  • the first blower 528 and the second blower 532 are both arranged on the downstream side of the heat exchanger 524 .
  • an example thermal management method 600 begins at 604 .
  • the method 600 begins to perform a processing step on a substrate.
  • the method 600 performs the processing step within a process module of a substrate processing tool.
  • the method 600 determines whether to power on, or continue powering on, a thermal management system (e.g., a blower and/or a heat exchanger).
  • the method 600 may power on the thermal management system in response to the substrate processing tool being turned on, in response to a processing step being initiated, in response to a temperature of the process module reaching a threshold, etc. If true, the method 600 continues to 616 . If false, the method continues to 620 .
  • the method 600 determines whether the processing step is complete. If true, the method 600 ends at 624 . If false, the method 600 continues to 612 .
  • the method 600 determines whether to adjust one or more components of the thermal management system based on respective monitored parameters.
  • adjustable components include, but are not limited to, dampers, heat exchangers, and blowers.
  • the respective monitored parameters include, but are not limited to, a temperature of heated exhaust air, a temperature of cooled air returned from the thermal management system, a flow rate of the heated exhaust air out of the substrate processing tool, a flow rate of the cooled air, a pressure within a manifold, etc.
  • the method 600 selectively adjusts the one or more components of the thermal management system and continues to 620 .
  • Spatial and functional relationships between elements are described using various terms, including “connected,” “engaged,” “coupled,” “adjacent,” “next to,” “on top of,” “above,” “below,” and “disposed.” Unless explicitly described as being “direct,” when a relationship between first and second elements is described in the above disclosure, that relationship can be a direct relationship where no other intervening elements are present between the first and second elements, but can also be an indirect relationship where one or more intervening elements are present (either spatially or functionally) between the first and second elements.
  • the phrase at least one of A, B, and C should be construed to mean a logical (A OR B OR C), using a non-exclusive logical OR, and should not be construed to mean “at least one of A, at least one of B, and at least one of C.”
  • a controller is part of a system, which may be part of the above-described examples.
  • Such systems can comprise semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.).
  • These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate.
  • the electronics may be referred to as the “controller,” which may control various components or subparts of the system or systems.
  • the controller may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.
  • temperature settings e.g., heating and/or cooling
  • RF radio frequency
  • the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like.
  • the integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software).
  • Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system.
  • the operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.
  • the controller may be a part of or coupled to a computer that is integrated with the system, coupled to the system, otherwise networked to the system, or a combination thereof.
  • the controller may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing.
  • the computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process.
  • a remote computer e.g. a server
  • the remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer.
  • the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control.
  • the controller may be distributed, such as by comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein.
  • An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.
  • example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • ALE atomic layer etch
  • the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Combustion & Propulsion (AREA)
  • Mechanical Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Ventilation (AREA)

Abstract

A thermal management system for a substrate processing tool located in a fabrication room includes a blower that draws air from the fabrication room and causes the air to flow through a process module of the substrate processing tool. Heat is transferred from the process module to the air and the air is exhausted from the process module. A heat exchanger receives the air exhausted from the process module, cools the air, and provides the cooled air to at least one of the fabrication room, a subfloor of the fabrication room, and the process module.

Description

    FIELD
  • The present disclosure relates to substrate processing systems, and more particularly to thermal management systems and methods for substrate processing systems.
  • BACKGROUND
  • The background description provided here is for the purpose of generally presenting the context of the disclosure. Work of the presently named inventors, to the extent it is described in this background section, as well as aspects of the description that may not otherwise qualify as prior art at the time of filing, are neither expressly nor impliedly admitted as prior art against the present disclosure.
  • Substrate processing systems may be used to perform deposition, etching and/or other treatment of substrates such as semiconductor wafers. During processing, a substrate is arranged on a substrate support such as a pedestal in a processing chamber of the substrate processing system. Gas mixtures including one or more precursors are introduced into the processing chamber and plasma may be struck to activate chemical reactions.
  • During processing, heat is generated, which increases temperatures of various components of a substrate processing tool. The substrate processing tool draws in ambient air (e.g., cleanroom air in a fabrication room of a facility in which the substrate processing tool is located) to cool the heated components.
  • As shown in FIGS. 1A and 1B, an example facility 100 includes one or more fabrication rooms 104-1, 104-2, . . . , and 104-n, referred to collectively as fabrication rooms 104. Each of the rooms 104 includes one or more substrate processing tools 108-1, 108-2, . . . , and 108-m, referred to collectively as substrate processing tools 108. Each of the substrate processing tools 108 includes one or more process modules (not shown).
  • The substrate processing tools 108 draw in cool ambient air 112 from within the rooms 104 to cool heated components of the substrate processing tools 108. For example, the air 112 is drawn into enclosures corresponding to respective process modules of the substrate processing tools 108 (e.g., via ports, screens, vents, etc. arranged in respective enclosure surfaces of the substrate processing tools 108). Typically, the rooms 104 correspond to fabrication cleanrooms. Accordingly, the air 112 within the rooms 104 is filtered and controlled to minimize contaminants. Heat from the respective components of the substrate processing tools 108 is transferred to the air 112, which is heated accordingly. The heated air 116 is exhausted from the substrate processing tools 108 via respective conduits or ducts 120. For example, the exhausted heated air 116 is drawn through the conduits 120 by a thermal exhaust treatment system 124, which then routes the heated air 116 into the environment outside of the facility 100.
  • SUMMARY
  • A thermal management system for a substrate processing tool located in a fabrication room includes a blower that draws air from the fabrication room and causes the air to flow through a process module of the substrate processing tool. Heat is transferred from the process module to the air and the air is exhausted from the process module. A heat exchanger receives the air exhausted from the process module, cools the air, and provides the cooled air to at least one of the fabrication room, a subfloor of the fabrication room, and the process module.
  • A thermal management method for a substrate processing tool located in a fabrication room include drawing air from the fabrication room and causing the air to flow through a process module of the substrate processing tool to transfer heat from the process module to the air, exhausting the air from the process module, receiving the air exhausted from the process module, cooling the air, and providing the cooled air to at least one of the fabrication room, a subfloor of the fabrication room, and the process module.
  • Further areas of applicability of the present disclosure will become apparent from the detailed description, the claims and the drawings. The detailed description and specific examples are intended for purposes of illustration only and are not intended to limit the scope of the disclosure.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present disclosure will become more fully understood from the detailed description and the accompanying drawings, wherein:
  • FIG. 1A is an example fabrication room including one or more substrate processing tools;
  • FIG. 1B is an example facility including a plurality of fabrication rooms;
  • FIG. 2A is an example fabrication room including one or more substrate processing tools according to the principles of the present disclosure;
  • FIG. 2B is another example fabrication room including one or more substrate processing tools according to the principles of the present disclosure;
  • FIG. 2C is another example fabrication room including one or more substrate processing tools according to the principles of the present disclosure;
  • FIG. 2D is another example fabrication room including one or more substrate processing tools according to the principles of the present disclosure;
  • FIG. 3 is an example substrate processing tool according to the principles of the present disclosure;
  • FIG. 4A is an example thermal management system according to the principles of the present disclosure;
  • FIG. 4B is another example thermal management system according to the principles of the present disclosure;
  • FIG. 5A is an example thermal management assembly according to the principles of the present disclosure;
  • FIG. 5B is another example thermal management assembly according to the principles of the present disclosure; and
  • FIG. 6 is an example thermal management method according to the principles of the present disclosure.
  • In the drawings, reference numbers may be reused to identify similar and/or identical elements.
  • DETAILED DESCRIPTION
  • Substrate processing facilities typically use a centralized thermal exhaust treatment system to draw heated exhaust air from fabrication rooms. The thermal exhaust treatment system routes the heated exhaust air out of the facility and into the environment. Accordingly, cleanroom air inside the fabrication rooms is consumed (e.g., the cleanroom air is used to cool substrate processing tools and then removed from the fabrication rooms and the facility) and must be replaced. Because every substrate processing tool is serviced by the same thermal exhaust treatment system, the operation of each tool affects the overall performance and efficiency of the system. The location of each tool (e.g., the distance of the tool from the thermal exhaust treatment system) further affects performance and efficiency.
  • Thermal exhaust treatment systems and methods according to the present disclosure provide separate exhaust treatment systems for each process module of a substrate processing tool. For example, each process module is provided a respective blower and heat exchanger. Each blower draws the heated exhaust air from the respective process module and routes the exhaust air through the heat exchanger. The exhaust air flows through the heat exchanger to be cooled and the resulting cooled air is provided back into the fabrication room (or, in some examples, the cooled air may be provided back to the same process module). Accordingly, the cleanroom air is recycled and reused instead of being exhausted from the facility. For example only, the blower and/or heat exchanger for each process module may be located in a subfloor region below a floor of the fabrication room.
  • Respective flow rates and temperatures for each of the process modules may be individually monitored, controlled, and adjusted. Further, service and/or maintenance on one of the exhaust treatment systems does not require interruption of the operation of other process modules and their respective exhaust treatment systems.
  • Referring now to FIGS. 2A 2B, 2C, and 2D an example fabrication room 200 includes one or more substrate processing tools 204. For example, the room 200 corresponds to a fabrication room supplied with cleanroom air (i.e., air that is filtered and controlled to minimize contaminants). Although only one substrate processing tool 204 is shown, the fabrication room 200 may include two or more of the substrate processing tools 204. The substrate processing tool 204 includes one or more process modules 208, which may be enclosed within a chassis or other enclosure 210. Cool ambient air 212 is drawn into portions of the substrate processing tools 204 corresponding to the process modules 208 from within the room 200 to cool respective components of the process modules 208. For example only, the air 212 is drawn in through respective ports 214 (e.g., ducts, screens, vents, etc. arranged in respective surfaces of the enclosures 210) to be routed over various external surfaces and/or components of the process modules 208, through conduits or channels adjacent to external surfaces and/or components of the process modules 208, etc. Heat from the components of the process modules 208 is transferred to the air 212.
  • Heated air 216 is exhausted from the process modules 208 and drawn into respective conduits or ducts 220. For example, the heated air 216 is drawn into the conduits 120 by respective blowers or fans 224. The blowers 224 draw the heated air 216 through the conduits 120 and into respective heat exchangers 228, which cool the heated air 216. For example, the heat exchangers 228 may implement a cold fluid cooling system (e.g., including cooling water or other fluids) to draw out heat from the heated air 216. As shown, the blowers 224 and heat exchangers 228 are each located below a floor 232 of the room 200 in a subfloor compartment 236. However, in other implementations, the blowers 224 and/or the heat exchangers 228 may be located within the room 200. Further, although one blower 224 is shown for each of the process modules 208, two or more of the blowers 224 may be used. For example, one of the blowers 224 may be arranged upstream of the heat exchanger 228 while another blower 224 is arranged downstream of the heat exchanger 228.
  • As shown in FIGS. 2A and 2C, cooled air 240 is routed back into the fabrication room 200. Accordingly, the same cleanroom air that was drawn into process modules 208 is heated, cooled, and then returned to the fabrication room 200. Although shown being returned to the ambient cleanroom air of the fabrication room 200, the cooled air 240 may be routed back into the process modules 208 in some embodiments to provide additional cooling. In example embodiments shown in FIGS. 2B and 2D, the cooled air 240 is routed into the subfloor compartment 236.
  • A flow rate of the heated air 216 and the cooled air 240 may be controlled by adjusting respective speeds of the blowers 224. In some embodiments, adjustable dampers 244 (e.g., gate valve dampers, butterfly valve dampers, etc.) may be provided to further control flow rates. A temperature of the cooled air 240 may be controlled by controlling a temperature and flow rate of the cold fluid in the heat exchangers 228. Accordingly, flow rates and temperatures of air provided to the respective process modules 208 can be individually monitored and controlled.
  • For example only, each of the blowers 224 (and/or the heat exchangers 228) receive power from the respective substrate processing tools 204. For example, the blowers 224 receive DC power from the substrate processing tools 204. Each of the blowers 224 may be configured to be powered on whenever the substrate processing tools 204 are powered on, only when a respective process module 208 is powered on, or may include respective switches to be selectively powered on. In some embodiments, the substrate processing tools 204 may be configured to selectively power on and adjust flow rates of the blowers 224 (and/or to selectively adjust the dampers 244) based on process steps being performed by the process modules 208.
  • Referring now to FIG. 2B, two or more process modules 208 may share one or more heat exchangers 228 and blowers 224. Although only one heat exchanger 228 is shown, two or more of the heat exchangers 228 may be provided in series. The heated air 216 from the respective conduits 220 is provided to an exhaust manifold 248, which routes the heated air 216 into the heat exchanger 228. In this embodiment, one or more of the blowers 224 may implement a variable frequency driver 252 to maintain a constant pressure and flow rate.
  • Referring now to FIG. 3 and with continued reference to FIGS. 2A and 2B, a top-down view of an example substrate processing tool 300 according to the principles of the present disclosure is shown. The substrate processing tool 300 includes a plurality of process modules 304. For example only, each of the process modules 304 may be configured to perform one or more respective processes on a substrate. Substrates to be processed are loaded into the substrate process tool 300 via ports of a loading station 308 and then transferred into one or more of the process modules 304. For example, a substrate may be loaded into each of the process modules 304 in succession.
  • Each of the process modules 304 draws in cleanroom ambient air 312 and exhausts the heated air through respective conduits 316, downward through floor 320, and into respective heat exchangers (e.g., the heat exchangers 228) as described above in FIG. 2. Although shown arranged on same respective sides of the process modules 304, the conduits 316 may be arranged on an opposite respective side of a process module 304 as shown at 318.
  • A user interface module 324 (e.g., an interface including output devices such as a display, LEDs, speakers, etc. and input devices such as a buttons, switches, knobs, dials, touchscreen, etc.) may be provided for controlling various functions of the tool 300. For example, a user may use the user interface module 324 to control operation of the blowers 224 and the heat exchangers 228. For example, the user interface module 324 may be used to selectively power the blowers 224 and the heat exchangers 228 on and off (e.g., individually and/or collectively), open and close the dampers 244, set desired respective setpoint flow rates and temperatures for the blowers 224 and the heat exchangers 228, etc., and may further be used to monitor flow rates and temperatures.
  • Referring now to FIGS. 4A and 4B, an example flow schematic of a thermal management system 400 that draws in cool cleanroom air and exhausts heated cleanroom air to cool components of respective process modules 404. In FIG. 4A, each of the process modules 404 exhausts the heated cleanroom air through dampers 408 (e.g., including gate valves or butterfly valves) and openings in floor 412 to heat exchangers 416. The heat exchangers 416 cool the air by, for example, flowing cold water or another fluid drawn in through inlets 420 and out through outlets 424. Heat from the air is transferred from the heated air to the fluid flowing through the heat exchangers 416, and the cooled air is drawn by blowers 428 to be returned to the environment above the floor 412 and/or to the process modules 404. In some examples, sensors 432 and 436 (e.g., temperature sensors, such as thermocouples, pressure sensors, flow sensors, etc.) monitor flow rates and temperatures of the heated air flowing out of the process modules 404 and out of the cooled air flowing out of the blowers 428, respectively. Signals indicative of the monitored flow rates and temperatures are provided to a user interface module 440.
  • In FIG. 4B, each of the process modules 404 exhausts the heated cleanroom air through dampers 408 (e.g., including gate valves or butterfly valves) and openings in floor 412 to manifold 444. The manifold 444 routes the heated air 216 into one or more heat exchangers 448 (e.g., as shown, two heat exchangers 448 connected in series). In this embodiment, one or more of the blowers 428 may implement a variable frequency driver 452 to maintain a constant pressure and flow rate. For example, the user interface module 440 may control the variable frequency driver 452 based on the monitored flowrates, a monitored pressure within the manifold 444, etc.
  • Referring now to FIGS. 5A and 5B, an example thermal management assembly 500 is arranged in a subfloor region 504 of a fabrication room 508. In FIG. 5A, the assembly 500 is shown in a horizontal arrangement. In FIG. 5B, the assembly 500 is shown in a vertical arrangement. Heated exhaust air is drawn downward through a floor 512 via a conduit 516. The conduit 516 and/or the floor 512 may include a screen or filter 520 at an interface between the fabrication room 508 and the subfloor region 504.
  • The heated exhaust air is routed to a heat exchanger 524 to cool the heated exhaust air. A first blower 528 is arranged to draw the heated exhaust air from the conduit 516 into the heat exchanger 524. A second blower 532 is arranged to draw cooled air into a conduit 536 to be provided to the fabrication room 508. Adaptors 540 may be provided to connect the conduit 516 to the first blower 528, to connect the first blower 528 to the heat exchanger 524, to connect the heat exchanger 524 to the second blower 532, and to connect the second blower 532 to the conduit 536. At least one sensor 545 may be arranged to sense parameters of the air at various locations within the assembly 500 (e.g., temperature, pressure, flow rate, etc.). For example only, the sensor 545 may correspond to a thermocouple. Although shown on opposing sides of the heat exchanger 524 (e.g., on an upstream side and a downstream side of the heat exchanger 524, respectively), in some examples the first blower 528 and the second blower 532 may each be arranged on a same side of the heat exchanger 524. In one example, the first blower 528 and the second blower 532 are both arranged on the upstream side of the heat exchanger 524. In another example, the first blower 528 and the second blower 532 are both arranged on the downstream side of the heat exchanger 524.
  • Referring now to FIG. 6, an example thermal management method 600 begins at 604. At 608, the method 600 begins to perform a processing step on a substrate. For example, the method 600 performs the processing step within a process module of a substrate processing tool. At 612, the method 600 determines whether to power on, or continue powering on, a thermal management system (e.g., a blower and/or a heat exchanger). For example, the method 600 may power on the thermal management system in response to the substrate processing tool being turned on, in response to a processing step being initiated, in response to a temperature of the process module reaching a threshold, etc. If true, the method 600 continues to 616. If false, the method continues to 620. At 620, the method 600 determines whether the processing step is complete. If true, the method 600 ends at 624. If false, the method 600 continues to 612.
  • At 616, the method 600 determines whether to adjust one or more components of the thermal management system based on respective monitored parameters. For example, adjustable components include, but are not limited to, dampers, heat exchangers, and blowers. The respective monitored parameters include, but are not limited to, a temperature of heated exhaust air, a temperature of cooled air returned from the thermal management system, a flow rate of the heated exhaust air out of the substrate processing tool, a flow rate of the cooled air, a pressure within a manifold, etc. At 628, the method 600 selectively adjusts the one or more components of the thermal management system and continues to 620.
  • The foregoing description is merely illustrative in nature and is in no way intended to limit the disclosure, its application, or uses. The broad teachings of the disclosure can be implemented in a variety of forms. Therefore, while this disclosure includes particular examples, the true scope of the disclosure should not be so limited since other modifications will become apparent upon a study of the drawings, the specification, and the following claims. It should be understood that one or more steps within a method may be executed in different order (or concurrently) without altering the principles of the present disclosure. Further, although each of the embodiments is described above as having certain features, any one or more of those features described with respect to any embodiment of the disclosure can be implemented in and/or combined with features of any of the other embodiments, even if that combination is not explicitly described. In other words, the described embodiments are not mutually exclusive, and permutations of one or more embodiments with one another remain within the scope of this disclosure.
  • Spatial and functional relationships between elements (for example, between modules, circuit elements, semiconductor layers, etc.) are described using various terms, including “connected,” “engaged,” “coupled,” “adjacent,” “next to,” “on top of,” “above,” “below,” and “disposed.” Unless explicitly described as being “direct,” when a relationship between first and second elements is described in the above disclosure, that relationship can be a direct relationship where no other intervening elements are present between the first and second elements, but can also be an indirect relationship where one or more intervening elements are present (either spatially or functionally) between the first and second elements. As used herein, the phrase at least one of A, B, and C should be construed to mean a logical (A OR B OR C), using a non-exclusive logical OR, and should not be construed to mean “at least one of A, at least one of B, and at least one of C.”
  • In some implementations, a controller is part of a system, which may be part of the above-described examples. Such systems can comprise semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics may be referred to as the “controller,” which may control various components or subparts of the system or systems. The controller, depending on the processing requirements and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.
  • Broadly speaking, the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.
  • The controller, in some implementations, may be a part of or coupled to a computer that is integrated with the system, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus as described above, the controller may be distributed, such as by comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.
  • Without limitation, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.
  • As noted above, depending on the process step or steps to be performed by the tool, the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.

Claims (19)

What is claimed is:
1. A thermal management system for a substrate processing tool located in a fabrication room, the thermal management system comprising:
a blower that draws air from the fabrication room and causes the air to flow through a process module of the substrate processing tool, wherein heat is transferred from the process module to the air and the air is exhausted from the process module; and
a heat exchanger that receives the air exhausted from the process module, cools the air, and provides the cooled air to at least one of the fabrication room, a subfloor of the fabrication room, and the process module.
2. The thermal management system of claim 1, wherein at least one of the blower and the heat exchanger is located in the subfloor of the fabrication room.
3. The thermal management system of claim 1, wherein the substrate processing tool includes a plurality of process modules including the process module, and wherein each of the plurality of process modules is in fluid communication with a different one of a plurality of blowers.
4. The thermal management system of claim 1, wherein the substrate processing tool includes a plurality of process modules including the process module, and wherein each of the plurality of process modules is in fluid communication with a different one of a plurality of heat exchangers.
5. The thermal management system of claim 1, wherein the substrate processing tool includes a plurality of process modules including the process module, and wherein each of the plurality of process modules is in fluid communication with (i) a different one of a plurality of blowers and (ii) the heat exchanger.
6. The thermal management system of claim 5, further comprising a manifold that receives the air exhausted from each of the plurality of process modules and routes the air exhausted from each of the plurality of process modules to the heat exchanger.
7. The thermal management system of claim 1, wherein the heat exchanger includes a first heat exchanger and a second heat exchanger connected in series.
8. The thermal management system of claim 1, wherein the blower includes (i) a first blower connected between the process module and the heat exchanger in a flow path of the air exhausted from the process module and (ii) a second blower connected between the heat exchanger and the fabrication room in a flow path of the air cooled by the heat exchanger.
9. The thermal management system of claim 1, further comprising a damper connected between the process module and the heat exchanger in a flow path of the air exhausted from the process module.
10. The thermal management system of claim 1, further comprising a user interface module that monitors a parameter associated with the thermal management system and selectively controls at least one of the blower and the heat exchanger based on the monitored parameter.
11. The thermal management system of claim 10, wherein the parameter includes at least one of a temperature and a flow rate associated with the thermal management system.
12. A thermal management method for a substrate processing tool located in a fabrication room, method comprising:
drawing air from the fabrication room and causing the air to flow through a process module of the substrate processing tool, wherein heat is transferred from the process module to the air;
exhausting the air from the process module;
receiving the air exhausted from the process module;
cooling the air; and
providing the cooled air to at least one of the fabrication room, a subfloor of the fabrication room, and the process module.
13. The method of claim 12, further comprising providing at least one of a blower and a heat exchanger in the subfloor of the fabrication room.
14. The method of claim 12, further comprising providing fluid communication between each of a plurality of the process modules and a respective one of a plurality of blowers.
15. The method of claim 12, further comprising providing fluid communication between each of a plurality of the process modules and a respective one of a plurality of heat exchangers.
16. The method of claim 12, further comprising providing fluid communication between each of a plurality of the process modules and (i) a respective one of a plurality of blowers and (ii) a heat exchanger.
17. The method of claim 16, further comprising receiving the air exhausted from each of the plurality of the process modules at a manifold and routing the air exhausted from each of the plurality of the process modules from the manifold to the heat exchanger.
18. The method of claim 12, further comprising monitoring a parameter associated with the cooled air and selectively controlling at least one of a blower and a heat exchanger based on the monitored parameter.
19. The method of claim 18, wherein the parameter includes at least one of a temperature and a flow rate.
US14/984,178 2015-12-30 2015-12-30 Self-sustained in-situ thermal control apparatus Abandoned US20170191685A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/984,178 US20170191685A1 (en) 2015-12-30 2015-12-30 Self-sustained in-situ thermal control apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/984,178 US20170191685A1 (en) 2015-12-30 2015-12-30 Self-sustained in-situ thermal control apparatus

Publications (1)

Publication Number Publication Date
US20170191685A1 true US20170191685A1 (en) 2017-07-06

Family

ID=59235415

Family Applications (1)

Application Number Title Priority Date Filing Date
US14/984,178 Abandoned US20170191685A1 (en) 2015-12-30 2015-12-30 Self-sustained in-situ thermal control apparatus

Country Status (1)

Country Link
US (1) US20170191685A1 (en)

Cited By (194)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108286044A (en) * 2017-01-10 2018-07-17 Asm Ip控股有限公司 Reactor assembly and method for the residue accumulation during reducing film deposition process
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US12027365B2 (en) 2021-11-19 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6059567A (en) * 1998-02-10 2000-05-09 Silicon Valley Group, Inc. Semiconductor thermal processor with recirculating heater exhaust cooling system
US6338474B1 (en) * 1999-02-09 2002-01-15 Tokyo Electron Limited Air feeder provided with by-pass bypassing cooling section, substrate processing apparatus including the same, and air supply method
US20050053891A1 (en) * 2000-08-23 2005-03-10 Shinya Mochizuki Heat treatment system and a method for cooling a loading chamber
US7118780B2 (en) * 2001-03-16 2006-10-10 Semiconductor Energy Laboratory Co., Ltd. Heat treatment method
US20070295012A1 (en) * 2006-06-26 2007-12-27 Applied Materials, Inc. Nitrogen enriched cooling air module for uv curing system

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6059567A (en) * 1998-02-10 2000-05-09 Silicon Valley Group, Inc. Semiconductor thermal processor with recirculating heater exhaust cooling system
US6338474B1 (en) * 1999-02-09 2002-01-15 Tokyo Electron Limited Air feeder provided with by-pass bypassing cooling section, substrate processing apparatus including the same, and air supply method
US20050053891A1 (en) * 2000-08-23 2005-03-10 Shinya Mochizuki Heat treatment system and a method for cooling a loading chamber
US7118780B2 (en) * 2001-03-16 2006-10-10 Semiconductor Energy Laboratory Co., Ltd. Heat treatment method
US20070295012A1 (en) * 2006-06-26 2007-12-27 Applied Materials, Inc. Nitrogen enriched cooling air module for uv curing system

Cited By (227)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US12000042B2 (en) 2016-12-15 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) * 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
CN108286044A (en) * 2017-01-10 2018-07-17 Asm Ip控股有限公司 Reactor assembly and method for the residue accumulation during reducing film deposition process
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US12020938B2 (en) 2018-03-27 2024-06-25 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US12025484B2 (en) 2019-04-29 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11996304B2 (en) 2019-07-16 2024-05-28 Asm Ip Holding B.V. Substrate processing device
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US12033885B2 (en) 2021-01-04 2024-07-09 Asm Ip Holding B.V. Channeled lift pin
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US12033861B2 (en) 2021-06-07 2024-07-09 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US12027365B2 (en) 2021-11-19 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices
US12033849B2 (en) 2022-12-08 2024-07-09 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by PEALD using bis(diethylamino)silane

Similar Documents

Publication Publication Date Title
US20170191685A1 (en) Self-sustained in-situ thermal control apparatus
US10840061B2 (en) Substrate processing chamber including conical surface for reducing recirculation
US20210020470A1 (en) Substrate processing device
US20110269314A1 (en) Process chambers having shared resources and methods of use thereof
US9673071B2 (en) Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates
KR20130025863A (en) Methods and apparatus for calibrating flow controllers in substrate processing systems
US20190122871A1 (en) Purge and pumping structures arranged beneath substrate plane to reduce defects
CN107393847B (en) Laminated heater with different heater trace materials
US9679749B2 (en) Gas distribution device with actively cooled grid
US10381248B2 (en) Auto-correction of electrostatic chuck temperature non-uniformity
US9488315B2 (en) Gas distribution apparatus for directional and proportional delivery of process gas to a process chamber
US9631276B2 (en) Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
US11488810B2 (en) Showerhead shroud
US10900124B2 (en) Substrate processing chamber with showerhead having cooled faceplate
US10510564B2 (en) Dynamic coolant mixing manifold
US20210398829A1 (en) Ceramic pedestal with multi-layer heater for enhanced thermal uniformity
US20220238415A1 (en) Thermoelectric cooling pedestal for substrate processing systems
WO2023239531A1 (en) Liquid cooling plate for cooling of dielectric window of a substrate processing system
US10764966B2 (en) Laminated heater with different heater trace materials
TW202416338A (en) Liquid cooling plate for cooling of dielectric window of a substrate processing system
WO2023239585A1 (en) Substrate temperature control with integrated thermoelectric cooling system
KR20220148892A (en) Linear arrangement for substrate processing tools

Legal Events

Date Code Title Description
AS Assignment

Owner name: LAM RESEARCH CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:RONNE, ALLAN;TSENG, MICHAEL;WANG, HENRY;SIGNING DATES FROM 20151217 TO 20151230;REEL/FRAME:037384/0205

STCV Information on status: appeal procedure

Free format text: NOTICE OF APPEAL FILED

STCV Information on status: appeal procedure

Free format text: APPEAL BRIEF (OR SUPPLEMENTAL BRIEF) ENTERED AND FORWARDED TO EXAMINER

STCV Information on status: appeal procedure

Free format text: EXAMINER'S ANSWER TO APPEAL BRIEF MAILED

STCV Information on status: appeal procedure

Free format text: ON APPEAL -- AWAITING DECISION BY THE BOARD OF APPEALS

STCV Information on status: appeal procedure

Free format text: BOARD OF APPEALS DECISION RENDERED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION