KR101114219B1 - Apparatus for atomic layer deposition comprising light source, and the method of deposition using the same - Google Patents

Apparatus for atomic layer deposition comprising light source, and the method of deposition using the same Download PDF

Info

Publication number
KR101114219B1
KR101114219B1 KR1020040062383A KR20040062383A KR101114219B1 KR 101114219 B1 KR101114219 B1 KR 101114219B1 KR 1020040062383 A KR1020040062383 A KR 1020040062383A KR 20040062383 A KR20040062383 A KR 20040062383A KR 101114219 B1 KR101114219 B1 KR 101114219B1
Authority
KR
South Korea
Prior art keywords
gas
chamber
delete delete
light source
light
Prior art date
Application number
KR1020040062383A
Other languages
Korean (ko)
Other versions
KR20060013792A (en
Inventor
황철주
Original Assignee
주성엔지니어링(주)
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주성엔지니어링(주) filed Critical 주성엔지니어링(주)
Priority to KR1020040062383A priority Critical patent/KR101114219B1/en
Publication of KR20060013792A publication Critical patent/KR20060013792A/en
Application granted granted Critical
Publication of KR101114219B1 publication Critical patent/KR101114219B1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 일정한 반응 공간을 형성하는 챔버와; 상기 챔버의 내부에 위치하며, 기판을 안치하고 내부히터를 구비하는 하나 이상의 서셉터와; 상기 서셉터의 상부에 2종 이상의 가스를 독립적으로 분사하는 가스분사수단과; 챔버 벽에 하나 이상 형성되는 광 투과창과; 상기 광 투과창을 사이에 두고 상기 챔버의 외부에 하나 이상 설치되는 광원을 포함하는 원자층 증착장치를 제공한다. 또한 이를 이용한 원자층 증착방법을 제공한다.The present invention provides a chamber for forming a constant reaction space; At least one susceptor located inside the chamber, the at least one susceptor holding a substrate and having an internal heater; Gas injection means for injecting two or more kinds of gases independently on the susceptor; At least one light transmitting window formed in the chamber wall; Provided is an atomic layer deposition apparatus including a light source provided at least one outside of the chamber with the light transmitting window therebetween. It also provides an atomic layer deposition method using the same.

본 발명에 따르면 저온 영역에서도 박막에 포함된 불순물을 효과적으로 제거할 수 있을 뿐만 아니라, 막질을 크게 개선할 수 있게 된다. 또한 챔버내 잔류물질도 보다 효과적으로 제거할 수 있게 된다.
According to the present invention, not only the impurities contained in the thin film can be effectively removed even in the low temperature region, but the film quality can be greatly improved. In addition, the remaining material in the chamber can be more effectively removed.

광원, 광 투과창, UV램프, 수은램프, ALD, 저온증착,Light source, light transmission window, UV lamp, mercury lamp, ALD, low temperature deposition,

Description

광원을 포함하는 원자층 증착장치 및 이를 이용한 증착방법{Apparatus for atomic layer deposition comprising light source, and the method of deposition using the same} Apparatus for atomic layer deposition comprising light source, and the method of deposition using the same}             

도 1은 본 발명의 실시예에 따른 원자층 증착장치의 구성도1 is a block diagram of an atomic layer deposition apparatus according to an embodiment of the present invention

도 2는 서셉터의 배치를 도시한 평면도2 is a plan view showing the arrangement of the susceptor;

도 3a는 가스밸브어셈블리의 단면도3A is a cross-sectional view of a gas valve assembly

도 3b는 도 3a의 Ⅰ-Ⅰ선에 따른 단면도3B is a cross-sectional view taken along the line I-I of FIG. 3A

도 3c는 다른 유형의 가스밸브 어셈블리 3c shows another type of gas valve assembly

도 4는 회전축과 수평인젝터의 연결을 나타낸 도면4 is a view showing the connection of the rotating shaft and the horizontal injector

도 5a 내지 도 5c는 원자층 증착장치의 광원과 광투과창의 여러 가지 배치형태를 도시한 평면도
5A to 5C are plan views showing various arrangements of a light source and a light transmitting window of an atomic layer deposition apparatus.

*도면의 주요부분에 대한 부호의 설명** Description of the symbols for the main parts of the drawings *

110 : 챔버 112 : 슬롯밸브110: chamber 112: slot valve

114 : 챔버 천정 120 : 서셉터 지지대114: chamber ceiling 120: susceptor support

130 : 배기구 140a,140b,140c,140d : 제1,2,3,4 서셉터 130: exhaust ports 140a, 140b, 140c, 140d: first, second, third and fourth susceptors                 

142 : 히터 150 : 기판142: heater 150: substrate

160a,160b,160c,160d : 제1,2,3,4 수평인젝터160a, 160b, 160c, 160d: 1st, 2nd, 3rd and 4th horizontal injectors

162 : 분사홀 170 : 가스밸브어셈블리162: injection hole 170: gas valve assembly

171 : 하우징 172 : 회전축171: housing 172: rotation axis

173 : 플랜지 174 : 마그네틱 시일173: flange 174: magnetic seal

175a,175b,175c,175d : 제1,2,3,4 가스유입구175a, 175b, 175c, 175d: 1st, 2nd, 3rd, 4th Gas Inlet

176a,176b,176c,176d : 제1,2,3,4 가스통로176a, 176b, 176c, 176d: first, second, third and fourth gas passages

180a,180b,180c,180d : 제1,2,3,4 광원 177 : 가스차단부재180a, 180b, 180c, 180d: first, second, third and fourth light sources 177: gas barrier member

182a, 182b : 제5, 6 광원182a, 182b: fifth and sixth light sources

184 : 단일 광원184: single light source

190a,190b,190c,190d : 제1,2,3,4 광 투과창
190a, 190b, 190c, 190d: first, second, third and fourth light transmitting windows

본 발명은 반도체소자 제조장치 및 제조방법에 관한 것으로서, 보다 구체적으로는 광원(photo source)을 이용하여 기판 또는 가스를 처리하는 원자층 증착장치 및 증착방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor device manufacturing apparatus and a manufacturing method, and more particularly, to an atomic layer deposition apparatus and a deposition method for treating a substrate or a gas by using a photo source.

반도체 소자는 기판의 상면에 수차례에 걸친 박막증착과 패터닝 등의 공정을 통해 구현되는 고밀도 집적회로(LSI)로서, 이러한 공정을 수행하는 제조장치는 매 우 안정된 공정분위기를 유지하면서 정밀한 공정제어를 할 수 있어야 한다.A semiconductor device is a high density integrated circuit (LSI) implemented through several processes such as thin film deposition and patterning on the upper surface of a substrate, and a manufacturing apparatus that performs such a process provides precise process control while maintaining a very stable process atmosphere. You should be able to.

특히 최근에는 소자크기를 줄이기 위하여 반도체 회로가 더욱 고집적화 되면서, 박막의 증착두께가 점차 얇아지고 있고, 증착된 박막의 패터닝을 위한 포토레지스트, 에칭 등의 공정에 있어서도 훨씬 높은 공정 정밀도가 요구되고 있다.In particular, in recent years, as semiconductor circuits have become more integrated in order to reduce the device size, the deposition thickness of thin films is gradually getting thinner, and much higher process precision is required in processes such as photoresist and etching for patterning the deposited thin films.

특히 게이트 산화막, 커패시터 유전막 등에서 이와 같이 정밀한 미세패턴을 형성할 필요성이 높은데, 예를 들어 커패시터 유전막의 경우에는 커패시터 셀의 사이즈를 줄여야 하기 때문에 동일 또는 향상된 커패시턴스를 얻기 위해서는 고유전율을 가지는 유전막을 이용하거나 유전막의 박막두께를 줄일 수 밖에 없다.In particular, it is highly necessary to form such a fine pattern in a gate oxide film and a capacitor dielectric film. For example, in the case of a capacitor dielectric film, a capacitor cell needs to be reduced in size, so that a dielectric film having a high dielectric constant may be used to obtain the same or improved capacitance. The film thickness of the dielectric film can only be reduced.

그런데 이와 같이 박막의 두께가 얇아지게 되면 극소량의 디펙트에도 제품불량이 초래되는 문제가 있고, 통상 얇은 박막을 형성하기 위해서는 저온 증착법을 많이 사용하게 되는데, 저온증착법의 경우에는 박막의 밀도가 치밀하지 않거나 결정성이 약하다는 문제점 등이 있다.
However, when the thickness of the thin film becomes thin, there is a problem that even a small amount of defects causes product defects. In order to form a thin film, a low temperature deposition method is often used. Or poor crystallinity.

예를 들어 Ta2O5와 같은 고유전체막은 저온CVD법으로 증착되기 때문에 산소결핍, 하이드로카본의 박막내 잔류, 결정성 저하 등으로 인해 절연특성이 저하되고 유전율이 열화되는 문제점이 있다.For example, since a high-k dielectric film such as Ta 2 O 5 is deposited by low temperature CVD, insulation characteristics are deteriorated and dielectric constant is degraded due to oxygen deficiency, residual carbon in the thin film, crystallinity, and the like.

Ta2O5 커패시터에서 하부전극으로 사용되는 Ru 박막의 경우에도 주로 저온CVD법으로 증착되는데, Ru 박막내에 존재하는 산소가 상부의 Ta2O5 유전막 증착 후 열처리 공정에서 TiN 등의 장벽층을 산화시켜 이중 커패시터를 형성하거나 박막 리프 팅의 문제를 유발하기도 한다.In the case of the Ru thin film used as the lower electrode in the Ta 2 O 5 capacitor, it is mainly deposited by low temperature CVD. Oxygen present in the Ru thin film oxidizes a barrier layer such as TiN in the heat treatment process after the upper Ta 2 O 5 dielectric film is deposited. This can cause double capacitors or thin film lifting problems.

또한, 폴리실리콘 또는 메탈의 하부전극 상에 TaON 유전막을 사이에 두고 상부전극으로 증착되는 TiN 박막의 경우에는, 소스물질로 TiCl4 를 이용하여 저온에서 형성되므로 박막내에 다량의 Cl기가 잔류하여 하부의 TaON 유전막에 손상을 주어 유전율을 저하시키고 막질이 치밀하지 못한 문제점이 있다.
In addition, in the case of the TiN thin film deposited on the polyelectrolyte or metal lower electrode with the TaON dielectric film interposed therebetween, the ClN is formed at low temperature by using TiCl 4 as a source material. Damage to the TaON dielectric film has a problem that the dielectric constant is reduced and the film quality is not dense.

이와 같은 문제점 들을 해소하여 막질을 개선하기 위한 방안으로, 고온열처리, 플라즈마 처리 등의 다양한 후속 공정들이 소개되어 있는데, Ta2O5 막의 경우에는 증착 후에 고온 건식 산소 어닐링 등의 열처리 공정을 통해 Ta2O5 막 하부에 산화막을 생성시켜 절연특성을 향상시키고, 그레인 경계면의 절연성이 양호하지 못한 곳에서 상대적으로 산소의 확산을 촉진시켜 산화막을 한층 두껍게 형성하여 누설전류 문제를 치유하고 있다.This as a method to solve the same problem to improve the film quality, high-temperature heat treatment, there is to introduce a variety of subsequent processing such as plasma processing, Ta 2 O 5 film case, Ta 2 through a heat treatment process such as high temperature dry oxygen annealing after deposition An oxide film is formed on the lower portion of the O 5 film to improve the insulating property, and the diffusion of oxygen is promoted relatively in a place where the insulation of the grain boundary is poor, thereby forming a thicker oxide film to cure the leakage current problem.

또한 Ru 박막 내에 존재하는 산소는, Ru 증착 후에 NH3 분위기에서 급속열처리(Rapid Thermal Process)를 실시하여 제거하고 있으며, TiN 막의 잔류 Cl기는 암모니아 플라즈마를 이용한 표면처리를 통해 제거하도록 하고 있다.
Oxygen present in the Ru thin film is removed by performing a rapid thermal process in a NH 3 atmosphere after Ru deposition, and residual Cl groups in the TiN film are removed by surface treatment using ammonia plasma.

그런데 이와 같은 후속공정은 또 다른 문제점을 야기할 수 밖에 없는데, 첫째로는, 고온열처리에 대비하여 챔버 내부의 내열성을 높이고, 플라즈마 처리를 대비하여 챔버 내부의 내산화성을 높이는 등 추가적인 하드웨어가 필요하다는 점이 그것이다.However, such a subsequent process may cause another problem. First, additional hardware is required, such as increasing heat resistance inside the chamber in preparation for high temperature heat treatment and increasing oxidation resistance inside the chamber in preparation for plasma treatment. The point is that.

둘째로는 후속공정을 통해 불필요한 부산물이 발생하여 챔버 내부를 오염시킬 우려가 있고, 플라즈마 처리의 경우에는 이온충격에 의하여 이미 생성된 박막에 손상을 입힐 가능성이 높다는 점이다.
Second, there is a possibility that unnecessary by-products are generated through the subsequent process to contaminate the inside of the chamber, and in the case of plasma treatment, there is a high possibility of damaging the thin film already formed by ion bombardment.

특히 이러한 점들은 최근 각광받고 있는 원자층증착(Atomic Layer Deposition, ALD)법에 의해 박막을 증착하는 경우에도 문제가 되고 있다.In particular, these problems are also a problem when the thin film is deposited by the Atomic Layer Deposition (ALD) method which is in the spotlight recently.

ALD법은 반응원료들이 기판의 표면에서 화학반응에 의하여 증착되기 때문에 표면의 요철에 관계없이 균일한 두께의 박막을 성장시킬 수 있어 박막균일도나 계단도포성(step coverage)이 매우 뛰어나고, 원료공급 회수를 조절함으로써 박막두께를 정밀하게 제어할 수 있는 장점이 있어서 미세패턴이 요구되는 게이트 산화막, 커패시터 유전막 등의 증착공정을 중심으로 많이 사용되고 있는 박막증착법이다.The ALD method is able to grow a thin film of uniform thickness regardless of surface irregularities because the reaction raw materials are deposited by chemical reaction on the surface of the substrate, very excellent film uniformity and step coverage (raw material recovery) It is a thin film deposition method that is mainly used for the deposition process of gate oxide film, capacitor dielectric film, etc., which require fine patterns because the thin film thickness can be precisely controlled.

예를 들어, A물질과 B물질의 화합물인 A+B로 이루어지는 박막을 원자층증착법으로 증착하는 과정을 살펴보면, 먼저 A물질을 유입시켜 기판 표면에 흡착시키는 단계, Ar, N2 등의 퍼지가스를 이용하여 챔버 내부의 잔류물질을 퍼지하는 단계, 다시 B물질을 유입시킴으로써 이미 증착되어 있는 A물질과 반응시켜 기판 표면에 화합물 A+B의 박막을 형성하는 단계, 다시 잔류물질을 퍼지하는 단계로 박막증착주기가 이루어지며, 이러한 과정을 반복함으로써 원하는 두께의 박막을 형성할 수 있다. For example, a process of depositing a thin film made of A + B, which is a compound of A and B materials, by atomic layer deposition, firstly injects A material and adsorbs onto the substrate surface, purge gas such as Ar and N 2 . Purging the residual material in the chamber using the material, reacting with the material A already deposited by introducing B material again, and forming a thin film of Compound A + B on the surface of the substrate, and then purging the residual material again. A thin film deposition cycle is performed, and by repeating this process, a thin film having a desired thickness can be formed.

이러한 ALD 공정도 일반적인 화학기상증착법에 비하여 저온공정이기 때문에, 박막내 불순물 잔류, 결정성 약화 등으로 인한 박막의 절연특성 저하 및 유전율 열화의 문제점을 가지고 있고, 막질개선을 위해 상술한 바와 같이 고온열처리, 플라즈마처리 등의 후속공정이 필요하게 되는데, 동일챔버에서 증착공정과 후속공정을 수행하기 위해서는 저온증착용으로 설계된 공정챔버에 고온열처리 등에 대비하여 별도의 하드웨어를 추가하여야 하는 문제점이 있다.
Since the ALD process is a lower temperature process than the general chemical vapor deposition method, there is a problem of deterioration of dielectric properties and deterioration of dielectric constant due to impurities remaining in the thin film, crystallinity deterioration, and the like. In order to perform the deposition process and the subsequent process in the same chamber, there is a problem in that additional hardware is added to the process chamber designed for low temperature deposition in preparation for high temperature heat treatment.

본 발명은 이러한 문제점을 해결하기 위한 것으로서, 저온의 후속공정을 통해 공정 중에 발생하는 불순물이나 잔류물질을 보다 효과적으로 제거하여 막질을 향상시킬 수 있는 원자층 증착장치 및 증착방법을 제공하는데 그 목적이 있다.
Disclosure of Invention The present invention has been made to solve such a problem, and an object of the present invention is to provide an atomic layer deposition apparatus and a deposition method capable of more effectively removing impurities or residues generated during a process through a subsequent low temperature process to improve film quality. .

본 발명은 상기와 같은 목적을 달성하기 위해, 일정한 반응 공간을 형성하는 챔버와; 상기 챔버의 내부에 위치하며, 기판을 안치하고 내부히터를 구비하는 하나 이상의 서셉터와; 상기 서셉터의 상부에 2종 이상의 가스를 독립적으로 분사하는 가스분사수단과; 챔버 벽에 하나 이상 형성되는 광 투과창과; 상기 광 투과창을 사이에 두고 상기 챔버의 외부에 하나 이상 설치되는 광원을 포함하는 원자층 증착장치를 제공한다.The present invention to achieve the above object, the chamber forming a constant reaction space; At least one susceptor located inside the chamber, the at least one susceptor holding a substrate and having an internal heater; Gas injection means for injecting two or more kinds of gases independently on the susceptor; At least one light transmitting window formed in the chamber wall; Provided is an atomic layer deposition apparatus including a light source provided at least one outside of the chamber with the light transmitting window therebetween.

상기 가스분사수단은, 내부에 다수의 가스통로를 가지는 회전축과, 상기 회 전축을 둘러싸며, 외측으로는 각 가스의 가스저장부와 연결되고 내측으로는 상기 회전축의 가스통로와 각 연결되는 다수의 가스유입구가 측부에 형성되는 하우징을 구비하며, 챔버의 상면에 결합하는 가스밸브어셈블리와; 상기 회전축의 말단에 각 연결되어, 상기 회전축과 함께 회전하는 다수의 수평인젝터를 포함하는 것을 특징으로 한다.The gas injection means may include a rotary shaft having a plurality of gas passages therein, surrounding the rotary shaft, and connected to a gas storage unit of each gas on the outside and a gas passage on the rotary shaft on the inside. A gas valve assembly having a housing having a gas inlet formed at a side thereof, the gas valve assembly being coupled to an upper surface of the chamber; It is characterized in that it comprises a plurality of horizontal injectors, each connected to the end of the rotary shaft, and rotates with the rotary shaft.

상기 서셉터는 챔버 중심을 기준으로 회전하는 것이 바람직하며, 상기 광원은 하나 이상의 서셉터에 대응하여 구비될 수 있다.The susceptor is preferably rotated with respect to the center of the chamber, the light source may be provided corresponding to one or more susceptors.

또한 상기 광투과창은 상기 서셉터와 일대일 대응하여 상기 서셉터의 상부에 형성되며, 상기 광원은 상기 광투과창과 일대일 대응하여 상기 광투과창의 상부에 설치되는 것이 바람직하다.The light transmission window may be formed on the susceptor in one-to-one correspondence with the susceptor, and the light source may be installed on the light transmission window in one-to-one correspondence with the light transmission window.

한편, 상기 광원은 UV램프 또는 수은램프 등의 자외선 램프일 수도 있고, 할로겐램프와 같은 적외선 램프일 수도 있다.The light source may be an ultraviolet lamp such as a UV lamp or a mercury lamp, or an infrared lamp such as a halogen lamp.

상기 광투과창은 쿼츠(quartz) 재질인 것이 바람직한데, 상기 광투과창에는 퍼지용 가스공급수단이나 가스증착을 방지하기 위한 차단부재가 설치될 수 있다.The light transmission window is preferably made of quartz, and the light transmission window may be provided with a gas supply means for purging or a blocking member for preventing gas deposition.

또한 본 발명은, 제1 가스를 서셉터 상부로 분사하는 단계와; 퍼지가스를 분사하여 상기 서셉터 상부를 퍼지하는 단계와; 제2 가스를 서셉터 상부로 분사하는 단계와; 퍼지가스를 분사하여 상기 서셉터 상부를 퍼지하는 단계를 포함하는 원자층 증착방법에 있어서, 상기 단계 중 어느 한 단계는 광원을 이용하여 광을 조사(照射)하는 과정을 포함하는 원자층 증착방법을 제공하며, 이때 적어도 2종 이상의 가스가 챔버 내부에 동시에 분사될 수도 있다. In another aspect, the present invention, the step of injecting a first gas on the susceptor; Injecting a purge gas to purge the upper part of the susceptor; Injecting a second gas over the susceptor; An atomic layer deposition method comprising spraying a purge gas to purge an upper portion of the susceptor, wherein any one of the steps includes irradiating light using a light source. At least two or more gases may be injected simultaneously into the chamber.                     

이하에서는 본 발명의 바람직한 실시예를 도면을 참고하여 상세히 설명한다.Hereinafter, preferred embodiments of the present invention will be described in detail with reference to the drawings.

도 1에서 도시하고 있는 바와 같이, 본 발명의 실시예에 따른 원자층 증착장치는 일정한 반응영역을 정의하는 챔버(110)의 내부에 기판(150)을 안치하는 다수의 서셉터(140a,140c)가 설치되고, 상기 서셉터의 상부에는 공정가스의 분사를 위해 다수의 분사홀(162)을 가지는 다수의 수평인젝터(160a,160c)를 구비하고 있다.As shown in FIG. 1, an atomic layer deposition apparatus according to an embodiment of the present invention includes a plurality of susceptors 140a and 140c for placing a substrate 150 inside a chamber 110 defining a constant reaction region. The upper part of the susceptor is provided with a plurality of horizontal injectors (160a, 160c) having a plurality of injection holes 162 for the injection of the process gas.

이때 각 수평인젝터의 일단은 챔버 천정(114)에 저면이 노출되도록 결합되는 가스밸브어셈블리(170)에 연결된다.In this case, one end of each horizontal injector is connected to the gas valve assembly 170 coupled to expose the bottom surface of the chamber ceiling 114.

상기 챔버 천정(114)에는 다수의 광투과창(190a,190c)이 설치되고, 상기 광투과창의 상부에는 각 광투과창(190a,190c)에 대응하는 다수의 광원(180a,180b)이 구비된다.A plurality of light transmitting windows 190a and 190c are installed in the chamber ceiling 114, and a plurality of light sources 180a and 180b corresponding to each of the light transmitting windows 190a and 190c are provided on the light transmitting window. .

그리고 챔버 측벽에는 기판(150)의 출입통로인 슬롯밸브(112)가 형성되고, 저면에는 가스배출을 위한 배기구(130)가 형성되어 있다. In addition, a slot valve 112, which is an access passage of the substrate 150, is formed on the side wall of the chamber, and an exhaust port 130 for discharging gas is formed on a bottom surface thereof.

그런데 도 1에는 서셉터, 수평인젝터, 광투과창, 광원가 모두 2개씩 도시되어 있으나, 이는 도 1이 측단면도이기 때문이고, 원래는 이후의 도면에서 확인할 수 있는 바와 같이 이들 모두를 각 4개씩 구비한 원자층 증착장치를 예시한 것이다.
By the way, in Figure 1, the susceptor, the horizontal injector, the light transmission window, and the light source are all shown by two, but this is because Figure 1 is a side cross-sectional view, originally as shown in the following drawings, all four are provided with each One atomic layer deposition apparatus is illustrated.

한편 서셉터(140a,140c)는 서셉터 지지대(120) 위에 놓여지고, 내부에 기판(150)의 예열을 위한 히터(142)를 포함하고 있다. 서셉터 지지대(120)의 평면을 도시하고 있는 도 2를 참조하면, 제1,2,3,4 서셉터(140a, 140b, 140c, 140d)가 챔버 중심을 기준으로 서로 대칭적으로 서셉터 지지대(120) 위에 배치되어 있음을 알 수 있다.Meanwhile, the susceptors 140a and 140c are disposed on the susceptor support 120 and include a heater 142 for preheating the substrate 150 therein. Referring to FIG. 2, which shows the plane of the susceptor support 120, the first, second, third and fourth susceptors 140a, 140b, 140c, 140d are symmetrically susceptible to each other with respect to the center of the chamber. It can be seen that it is disposed above 120.

이와 같이 챔버 내부에 서셉터를 다수 구비하는 이유는, 원자층 증착의 경우 한 주기의 증착공정으로 증착되는 두께가 매우 얇아 원하는 박막두께를 얻기 위해서는 많게는 수백회 정도의 주기를 반복하여야 하기 때문에, 한꺼번에 많은 기판에 대하여 증착공정을 수행할 수 있도록 함으로써 스루풋(throughput)을 향상시키기 위한 것이다. 따라서 서셉터의 개수가 4개에 한정되는 것은 아니다.
The reason for having a large number of susceptors in the chamber as described above is because in the case of atomic layer deposition, the thickness deposited by one cycle deposition process is very thin, and in order to obtain a desired thin film thickness, it is necessary to repeat the cycle several hundred times at a time. It is to improve throughput by allowing the deposition process to be performed on many substrates. Therefore, the number of susceptors is not limited to four.

도 3a 는 챔버 내부로 공정가스를 유입하는 수단인 가스밸브어셈블리(170)의 구성을 도시한 단면도인데, 이를 살펴보면 가스밸브어셈블리(170)는 챔버천정(114)과 결합되는 플랜지(173)를 하단에 구비하는 원통형의 하우징(171)과, 상기 하우징(171)의 내부를 관통하는 회전축(172)으로 이루어짐을 알 수 있다.3A is a cross-sectional view illustrating a configuration of a gas valve assembly 170 that is a means for introducing a process gas into a chamber. Referring to this, the gas valve assembly 170 has a lower flange 173 coupled to a chamber ceiling 114. It can be seen that the cylindrical housing 171 provided in, and the rotating shaft 172 penetrating the inside of the housing 171.

상기 하우징(171)의 측벽에는 외부의 가스저장부로부터 공정가스가 유입되는 제1,2,3,4 가스유입구(175a,175b,175c,175d)가 형성되고, 회전축(172)의 내부에는 상기 제1,2,3,4 가스유입구(175a,175b,175c,175d)와 각 연결되는 제1,2,3,4 가스통로(176a,176b,176c,176d)가 형성된다.First, second, third, and fourth gas inlets 175a, 175b, 175c, and 175d, through which the process gas flows from an external gas storage unit, are formed on the sidewall of the housing 171, and the inside of the rotating shaft 172 is First, second, third, and fourth gas passages 176a, 176b, 176c, and 176d respectively connected to the first, second, third, and fourth gas inlets 175a, 175b, 175c, and 175d are formed.

상기 제1,2,3,4 가스통로(176a,176b,176c,176d)의 말단은 챔버 내부로 이어지는데, 후술하는 바와 같이 가스통로의 말단에는 제1,2,3,4 수평인젝터(160a,160b,160c,160d)가 각 연결되어 각 가스통로를 통해 유입되는 공정가스를 서셉터의 상부로 분사하게 된다. Ends of the first, second, third, and fourth gas passages 176a, 176b, 176c, and 176d extend into the chamber. As described below, first, second, third, and fourth horizontal injectors 160a, 160b, 160c, and 160d are connected to each other to inject the process gas flowing through each gas passage to the top of the susceptor.                     

회전축(172)과 하우징(171)의 사이에는 마찰을 줄이기 위하여 환형의 마그네틱 시일(174)이 다수 구비되는데, 이때 유입되는 이종(異種)의 가스가 혼합하여 서로 반응하지 않도록 각 가스통로(176a,176b,176c,176d)를 다른 가스통로와 격리시킬 필요가 있다. 여기서는 각 가스통로의 상하에 위치하는 마그네틱 시일(174)을 이용하고 있다.A plurality of annular magnetic seals 174 are provided between the rotating shaft 172 and the housing 171 to reduce friction, and each of the gas passages 176a, 176a, 176b, 176c and 176d) need to be isolated from other gas passages. In this case, the magnetic seal 174 located above and below each gas passage is used.

한편 도면에는 각 4개의 가스유입구, 가스통로가 각 도시되어 있으나, 이는 공정가스의 종류에 따라 달라질 수 있음은 물론이다.
Meanwhile, the four gas inlets and the gas passages are illustrated in the drawings, but this may vary depending on the type of process gas.

도 3b는 도 3a의 Ⅰ-Ⅰ선에 따른 단면도로서, 이를 통해 하우징(171) 측벽의 제4 가스유입구(175d)를 통해 유입되는 가스는 마그네틱 시일 사이의 공간(S)으로 확산된 후, 회전축(172) 내부에 형성되는 가스통로(176d)를 통해 챔버 내부로 분사됨을 알 수 있다.3B is a cross-sectional view taken along line I-I of FIG. 3A, through which the gas flowing through the fourth gas inlet 175d of the side wall of the housing 171 is diffused into the space S between the magnetic seals, and then the rotation shaft It can be seen that the gas is injected into the chamber through the gas passage 176d formed therein.

그런데 도 3b와 같은 구성을 가지는 경우에는 일단 하우징의 가스유입구로 가스가 유입되면 챔버 내부까지 아무런 제재없이 유입되기 때문에, 가스밸브 어셈블리(170) 외부에 각 가스의 공급을 제어하는 별도의 유량제어수단을 구비하여야 한다.
However, in the case of having the configuration as shown in FIG. 3B, once the gas is introduced into the gas inlet of the housing, the gas flows into the chamber without any sanction, so that a separate flow control means for controlling the supply of each gas to the outside of the gas valve assembly 170 is provided. It shall be provided.

그런데 도 3c와 같이 마그네티 시일(174) 사이의 공간(S)을 2개 부분으로 나누는 가스차단부재(177)를 설치하게 되면, 회전축의 제4 가스통로(176d)의 입구가 가스차단부재(177) 사이의 각XOY의 내측에 위치하는 경우에만 제4 가스유입구 (175d)를 통해 유입된 가스가 챔버 내부로 공급되고, 제4 가스통로(176d)의 입구가 각XOY의 외측에 위치하게 되는 경우에는 가스공급이 차단된다.However, when the gas blocking member 177 is installed to divide the space S between the magnetic seals 174 into two parts as illustrated in FIG. 3C, the inlet of the fourth gas passage 176d of the rotating shaft is the gas blocking member ( The gas introduced through the fourth gas inlet 175d is supplied into the chamber only when located inside the angle XOY between 177 and the inlet of the fourth gas passage 176d is located outside the angle XOY. In this case, the gas supply is cut off.

이와 같은 가스차단부재(177)를 모든 가스통로에 적용하고 각 가스차단부재의 각XOY의 크기를 조절하면, 가스밸브어셈블리(170)의 회전축(172)이 회전함에 따라 챔버 내부로 유입되는 가스의 종류 및 양을 자동으로 조절할 수 있게 된다.
When the gas blocking member 177 is applied to all gas passages and the size of each XOY of each gas blocking member is adjusted, the gas flowing into the chamber is rotated as the rotation shaft 172 of the gas valve assembly 170 rotates. The type and amount can be adjusted automatically.

도 4는 가스밸브어셈블리(170)의 회전축(172) 말단에 제1,2,3,4 수평인젝터(160a,160b,160c,160d)가 챔버 중심을 기준으로 방사형으로 연결되어, 마치 프로펠러와 같은 형상을 가진 모습을 나타낸 것이다.4 is a first, second, third, fourth horizontal injectors 160a, 160b, 160c, and 160d radially connected to the center of the chamber at the end of the rotation shaft 172 of the gas valve assembly 170, such as a propeller. It has a shape.

즉, 회전축(172) 말단의 제1,2,3,4 가스통로(176a,176b,176c,176d)에 제1,2,3,4 수평인젝터(160a,160b,160c,160d)가 각 연결되므로, 하우징(171)의 제1,2,3,4 가스유입구(175a,175b,175c,175d)로부터 회전축(172) 내부의 상기 가스통로를 통해 유입되는 가스가 각 수평인젝터를 통해 챔버 내부로 분사되는 것이다. 여기서 상기 가스유입구는 외부의 가스저장부에 연결됨은 물론이다.That is, the first, second, third, and fourth horizontal injectors 160a, 160b, 160c, and 160d are connected to the first, second, third, and fourth gas passages 176a, 176b, 176c, and 176d at the ends of the rotary shaft 172, respectively. Therefore, the gas flowing through the gas passage inside the rotating shaft 172 from the first, second, third and fourth gas inlets 175a, 175b, 175c, and 175d of the housing 171 is introduced into the chamber through each horizontal injector. To be sprayed. Wherein the gas inlet is of course connected to the external gas storage unit.

외부의 구동장치(미도시)에 연결되는 회전축(172)이 회전하게 되면, 회전축(172)에 연결된 각 수평인젝터도 함께 회전하면서 공정가스를 기판의 상부로 분사시키게 되는데, 이를 위해 각 수평인젝터에는 하부방향의 분사홀이 다수 형성된다.
When the rotating shaft 172 connected to the external driving device (not shown) is rotated, each horizontal injector connected to the rotating shaft 172 also rotates to inject the process gas to the upper portion of the substrate. A plurality of downward injection holes are formed.

도 5a는 본 발명의 실시예에 따라 설치되는 제1,2,3,4 광원(180a,180b,180c,180d)과 제1,2,3,4 광 투과창(190a,190b,190c,190d)의 배치관계를 설명하기 위하여 챔버의 상부에서 바라본 평면도이다.5A illustrates first, second, third, and fourth light sources 180a, 180b, 180c, and 180d and first, second, third, and fourth light transmitting windows 190a, 190b, 190c, and 190d installed according to an embodiment of the present invention. The plan view seen from the top of the chamber to explain the arrangement relationship of the).

4개의 광투과창이 챔버천정(114)에 서로 대칭적으로 배치되고, 각 광투과창의 상부에는 각 광투과창과 일대일로 대응하는 4개의 광원(180a,180b,180c,180d)이 배치되어 있다. 점선으로 도시된 것은 가스밸브어셈블리(170)에 연결되는 제1,2,3,4 수평인젝터(160a,160b,160c,160d)이다.
Four light transmitting windows are arranged symmetrically with respect to the chamber ceiling 114, and four light sources 180a, 180b, 180c, and 180d corresponding to each light transmitting window are arranged on the upper part of each light transmitting window. Shown in dashed lines are first, second, third and fourth horizontal injectors 160a, 160b, 160c and 160d connected to gas valve assembly 170.

광투과창과 광원을 4개씩 배치한 이유는, 챔버 내부에 위치하는 4개의 서셉터와 각 일대일로 대응되는 구성을 예시한 때문이며 반드시 이와 같이 일대일로 대응되어야 하는 것은 아니다.The reason why the four light transmitting windows and the four light sources are arranged is that the four susceptors located inside the chamber and the configuration corresponding to each one-to-one correspond to each other and are not necessarily one-to-one correspondence.

즉, 본 발명은 원자층 증착장치에서 공정 중에 광을 조사할 수 있도록 하기 위한 것이므로, 소정의 공정균일도만 확보될 수 있다면 광원과 서셉터, 광투과창과 서셉터, 또는 광원과 광투과창을 반드시 일대일로 대응시키지 않아도 무방하다.That is, the present invention is to enable the irradiation of light during the process in the atomic layer deposition apparatus, so if only a predetermined process uniformity can be secured, the light source and the susceptor, the light transmission window and the susceptor, or the light source and the light transmission window must be You do not have to do one-to-one correspondence.

따라서 도 5b에 도시된 바와 같이 제5,6 광원(182a,182b)만을 챔버 상부에 배치하거나, 도 5c에 도시된 바와 같이 단일 광원(184)을 챔버 상부에 배치하는 것도 가능하다.
Accordingly, as shown in FIG. 5B, only the fifth and sixth light sources 182a and 182b may be disposed above the chamber, or as illustrated in FIG. 5C, a single light source 184 may be disposed above the chamber.

한편 상기 광투과창(190)은 내산화성이 뛰어난 쿼츠(Quartz) 재질이 바람직한데, 공정도중에 가스에 의한 증착을 방지하기 위하여 광투과창의 내측에 퍼지가스를 분사하는 수단을 구비하는 것이 바람직하다.On the other hand, the light transmission window 190 is preferably a quartz (Quartz) material excellent in oxidation resistance, it is preferable to have a means for injecting a purge gas to the inside of the light transmission window in order to prevent deposition by the gas during the process.

아니면 광투과창의 내측에 개폐가 가능한 차단부재를 별도 설치하여, 광투과 창에 대한 가스 증착을 방지하는 한편 광원을 온/오프하는 용도로 이용할 수도 있다.
Alternatively, a blocking member that can be opened and closed inside the light transmitting window may be separately installed to prevent gas deposition on the light transmitting window and to be used for turning on / off the light source.

본 발명의 실시예에서 사용되는 광원은 공정상 필요에 따라 자외선램프 또는 적외선램프를 사용할 수 있다. As the light source used in the embodiment of the present invention, an ultraviolet lamp or an infrared lamp may be used according to the process requirements.

자외선 램프는 온도 상승없이 광화학반응 또는 표면처리를 하는 경우에 사용되고, 적외선 램프는 급속열처리를 하는 데 주로 사용되는데, 자외선 램프로는 UV램프 또는 수은램프 등이 사용되며, 적외선 램프로는 할로겐 램프가 사용된다.Ultraviolet lamps are used for photochemical reactions or surface treatment without temperature rise, and infrared lamps are mainly used for rapid heat treatment. UV lamps or mercury lamps are used as infrared lamps, and halogen lamps are used for infrared lamps. Used.

이와 같은 광원에서 조사(照射)된 광은 원료가스의 해리를 촉진하여 반응을 촉진하는 한편, 기 증착된 박막내부의 불순물을 제거하는 역할을 수행하게 된다. 또한 반응에 참여하지 않은 잔류가스를 휘발성 가스로 활성화시켜 효과적으로 퍼지될 수 있도록 하는 역할도 수행한다.
The light irradiated from such a light source promotes dissociation of source gas to promote a reaction and removes impurities in the previously deposited thin film. In addition, it plays a role of effectively purging the residual gas that does not participate in the reaction by volatile gas.

이상과 같이 광원(180)을 포함하는 원자층 증착장치를 이용하여 기판에 대한 공정을 수행하는 과정을 도면을 참조하여 살펴보면 다음과 같다.Referring to the drawings, a process of performing a process on a substrate using an atomic layer deposition apparatus including a light source 180 as described above is as follows.

먼저, 챔버측벽에 설치된 슬롯밸브(112)를 통해 기판(150)을 반입하여 서셉터(140)의 상부에 차례로 안치하고, 반입과정에서 유입된 파티클 등 불순물을 제거하기 위하여 배기구(130)를 통하여 배기를 실시한다.First, the substrate 150 is loaded through the slot valve 112 installed in the chamber side wall and placed in the upper part of the susceptor 140 in order, and through the exhaust port 130 to remove impurities such as particles introduced during the loading process. Exhaust is performed.

공정분위기가 조성되면, 가스밸브어셈블리(170)와 각 수평인젝터를 통하여 챔버 내부로 가스를 순차적으로 분사한다.
When the process atmosphere is established, gas is sequentially injected into the chamber through the gas valve assembly 170 and each horizontal injector.

이때 공정가스를 챔버 내부로 분사하는 방법에는 여러 가지가 있을 수 있는데, 첫 번째는 2종 이상의 가스를 동시에 챔버 내부로 분사하는 방법이고, 두 번째는 각 가스를 한 번에 한가지씩 순차적으로 분사하는 방법이다.
In this case, there may be various methods of injecting the process gas into the chamber. The first method is to inject two or more kinds of gases into the chamber at the same time, and the second method is to sequentially inject each gas one at a time. to be.

첫 번째 방법의 경우, 예를 들어, 원료가스로 TiCl4 와 NH3 를 교대로 분사하여 기판(150) 위에 TiN박막을 형성하는 경우에는, 가스밸브어셈블리(170)의 회전축(172)을 회전시키면서, 제1 수평인젝터(160a) 및 제3 수평인젝터(160c)를 통해서는 Ar 또는 N2 등의 퍼지가스를 분사하고, 제2 수평인젝터(160b)에서는 원료가스인 TiCl4 를 분사하고, 제4 수평인젝터(160d)에서는 원료가스인 NH3를 분사한다.In the case of the first method, for example, in the case of forming TiN thin film on the substrate 150 by alternately injecting TiCl 4 and NH 3 as the source gas, while rotating the rotary shaft 172 of the gas valve assembly 170 , Purge gas such as Ar or N 2 is injected through the first horizontal injector 160a and the third horizontal injector 160c, and TiCl 4 , which is a raw material gas, is injected from the second horizontal injector 160b, and fourth In the horizontal injector 160d, NH 3 , which is a raw material gas, is injected.

이때 퍼지가스는 제1 수평인젝터(160a) 및 제3 수평인젝터(160c)를 통해서 지속적으로 분사하고, 원료가스인 TiCl4와 NH3는 제2 수평인젝터(160b)와 제4 수평인젝터(160d)를 통해 1회전시마다 TiCl4 → NH3 → TiCl4 → NH3 →……와 같이 번갈아가며 분사시키면, 원료가스인 TiCl4와 NH3는 뒤따라오는 제1 수평인젝터(160a)와 제3 수평인젝터(160c)에서 분사되는 퍼지가스에 의해 자동적으로 퍼지되므로 별도의 퍼지단계를 거칠 필요가 없다는 장점이 있다.
At this time, the purge gas is continuously injected through the first horizontal injector 160a and the third horizontal injector 160c, and the source gases TiCl 4 and NH 3 are the second horizontal injector 160b and the fourth horizontal injector 160d. TiCl 4 → NH 3 → TiCl 4 → NH 3 →. … When alternately spraying as described above, the source gas TiCl 4 and NH 3 is automatically purged by the purge gas injected from the following first horizontal injector (160a) and the third horizontal injector (160c) to undergo a separate purge step The advantage is that there is no need.

즉, 첫 번째 방법은 제1 가스, 제2 가스 및 퍼지가스를 동시에 챔버내부로 분사하는 방법인데, 이는 본 발명이 프로펠러 형태의 수평인젝터를 구비하고 있기 때문에 가능한 것이다.
That is, the first method is a method of simultaneously injecting the first gas, the second gas and the purge gas into the chamber, which is possible because the present invention includes a propeller type horizontal injector.

그런데 동시에 분사된다는 것은 챔버 내부로 2종 이상의 가스가 동시에 분사된다는 의미일 뿐, 각 서셉터에 안치되는 기판(150)을 기준으로 볼 때는 전통적인 원자층 증착방법과 마찬가지로 각 가스가 순차적으로 분사되는 것이다.However, the simultaneous injection means that two or more kinds of gases are simultaneously injected into the chamber, and each gas is sequentially sprayed as in the conventional atomic layer deposition method based on the substrate 150 placed in each susceptor. .

즉, 제1 서셉터(140a)를 기준으로 설명하면, 가스밸브 어셈블리의 회전축(172)이 회전함에 따라, 어느 시점에 제1 수평인젝터(160)가 퍼지가스를 분사하면서 상부를 지나간 후, 제2 수평인젝터(160b)가 제1 가스인 TiCl4를 분사하면서 지나가면 기판(150)위에 TiCl4가 증착된다.That is, when the first susceptor 140a is described as a reference, as the rotary shaft 172 of the gas valve assembly rotates, at some point, the first horizontal injector 160 passes through the upper part while injecting purge gas, 2 When the horizontal injector 160b passes through spraying TiCl 4 , which is the first gas, TiCl 4 is deposited on the substrate 150.

다음으로 제3 수평인젝터(160c)가 다시 퍼지가스를 분사하면서 기판(150)위를 지나감으로써 잔류 TiCl4 가스를 퍼지한 다음, 마지막으로 제4 수평인젝터(160d)가 제2 가스인 NH3를 분사하면서 지나간다.Next, the third horizontal injector 160c again purges the residual TiCl 4 gas by passing through the substrate 150 while injecting the purge gas, and finally, the fourth horizontal injector 160d is NH 3 , which is the second gas. Passing while spraying.

제2 가스인 NH3는 이미 기판(150)에 증착된 TiCl4와 반응하여 TiN박막을 형성하게 되고, 반응잔류물과 잔류 NH3는 다시 제1 수평인젝터(160a)가 분사하는 퍼지가스에 의해 퍼지된다.The second gas, NH 3 , reacts with TiCl 4 already deposited on the substrate 150 to form a TiN thin film, and the reaction residue and residual NH 3 are again caused by the purge gas injected by the first horizontal injector 160a. Is purged.

이와 같은 과정이 반복됨으로써 챔버 내에서는 4개의 기판(150)에 대한 원자층 증착이 동시에 진행되는 것이다. By repeating such a process, atomic layer deposition on four substrates 150 is simultaneously performed in the chamber.                     

이와 같이 2종 이상의 공정가스를 챔버 내부로 동시에 분사하기 위해서는, 이와 같이 회전축(172)을 구비한 가스밸브어셈블리(170)를 이용할 수도 있지만, 가스밸브 어셈블리(170)의 회전축(172)을 회전시키는 대신에 챔버 내부의 서셉터 지지대(120)를 챔버 중심을 기준으로 회전시키는 방법을 취하여도 마찬가지의 효과를 얻을 수 있다. 이를 위해서는 서셉터 지지대(120) 회전수단이 별도 구비되어야 함은 물론이다.
In order to simultaneously inject two or more kinds of process gases into the chamber, the gas valve assembly 170 having the rotary shaft 172 may be used as described above, but the rotary shaft 172 of the gas valve assembly 170 is rotated. Instead, the same effect can be obtained when the susceptor support 120 inside the chamber is rotated with respect to the center of the chamber. To this end, the susceptor support 120 must be provided with a rotating means of course.

두 번째 분사방법은 각 가스를 한 번에 1가지씩만 분사하는 방법인데, 이 경우에는 제1 또는 제3 수평인젝터(160a, 160c)가 회전하면서 퍼지가스를 분사한 후, 제2 수평인젝터(160a)가 회전하면서 제1 가스인 TiCl4를 분사하여 제1,2,3,4 서셉터의 기판(150)에 TiCl4박막을 증착하고, 다시 제1 또는 제3 수평인젝터(160a, 160c)를 통해 퍼지가스를 분사한다.The second injection method is a method of injecting only one gas at a time. In this case, after the first or third horizontal injectors 160a and 160c rotate and spray the purge gas, the second horizontal injector 160a ) Rotates the first gas TiCl 4 to deposit the TiCl 4 thin film on the substrate 150 of the first, second, third, and fourth susceptors, and then the first or third horizontal injectors 160a and 160c. Spray purge gas through.

다음으로 제4 수평인젝터(160d)가 NH3를 분사하여 이미 각 기판(150)에 증착된 TiCl4과 반응시켜 TiN박막을 형성하며, 이어서 위의 과정을 반복하게 된다.
Next, the fourth horizontal injector 160d injects NH 3 to react with TiCl 4 already deposited on each substrate 150 to form a TiN thin film, and then the above process is repeated.

한편, 분사된 가스를 활성종으로 여기시키게 되면 각종 반응이 보다 신속하게 이루어지는데, 상술한 바와 같이 본 발명에서는 분사된 가스에 광(光)을 조사(照射)하는 방법을 제안하고 있다. On the other hand, when the injected gas is excited by the active species, various reactions occur more quickly. As described above, the present invention proposes a method of irradiating light onto the injected gas.

이와 같이 광에너지를 이용하게 되면 저온영역에서 원료가스가 해리되므로 챔버 내부의 내열성 강화나 RF전자기장에 의한 이온충격에 대비하기 위하여 별도의 하드웨어를 추가할 필요가 없다는 장점이 있다.In this way, the use of optical energy dissipates the raw material gas in the low temperature region, so there is no need to add additional hardware in order to enhance the heat resistance inside the chamber or to prepare for ion shock by the RF electromagnetic field.

원료가스의 해리를 위해서는 각 원료가스의 분사 직후에 광에너지를 조사하는 것이 바람직한데, 제1 가스분사 → 광조사 → 퍼지 → 제2 가스분사 → 광조사 → 퍼지 의 순으로 공정을 진행하게 되면, 광조사에 의하여 해리된 원료가스를 증착공정에 이용할 수 있어 보다 효과적인 박막증착을 수행할 수 있다.
In order to dissociate the source gas, it is preferable to irradiate the light energy immediately after the injection of each source gas, but in the order of the first gas injection → light irradiation → purge → second gas injection → light irradiation → purge, Since the source gas dissociated by light irradiation can be used in the deposition process, more effective thin film deposition can be performed.

특히 광에너지 조사는 이미 증착된 박막 내부의 불순물을 제거하고, 막질을 개선하기 위해서도 사용될 수 있는데, 이때는 1주기의 증착공정 직후마다 광을 조사할 수도 있고, 원자층 증착의 경우 많게는 수백 회 주기의 증착공정이 수행되는 점을 감안하여 수십 회 이상의 주기마다 광 조사를 실시할 수도 있으며, 나아가 증착공정을 모두 마친 이후에 실시할 수도 있다.In particular, light energy irradiation can be used to remove impurities in the already deposited thin film and to improve film quality. In this case, light can be irradiated immediately after one cycle of the deposition process. In light of the fact that the deposition process is performed, light irradiation may be performed every several dozen or more cycles, and may be performed after the deposition process is completed.

이와 같이 광원을 이용하게 되면, 저온 영역에서도 원료가스의 활성화나 막질 개선이 용이할 뿐만 아니라, 원자층 증착법과 같이 수백 회의 공정주기를 반복하여야 하는 경우에도 연속적인 처리가 가능하다는 장점이 있다.
In this way, the light source is not only easy to activate the raw material gas or improve the film quality even in a low temperature region, but also has the advantage that the continuous processing is possible even if hundreds of process cycles need to be repeated, such as atomic layer deposition.

이상에서는 본 발명의 바람직한 실시예에 한하여 설명하였으나, 본 발명은 당업자에 의해 다양하게 수정 내지 변형되어 실시될 수 있으며, 그와 같이 수정 내지 변형된 기술도 특허청구범위에 기재된 본 발명의 기술적 사상을 바탕으로 하는 한 본 발명의 권리범위에 속하게 됨은 자명하다 할 것이다.In the above description of the preferred embodiment of the present invention, but the present invention can be carried out by various modifications or variations by those skilled in the art, such modifications and variations to the technical spirit of the present invention described in the claims As long as it belongs to the scope of the present invention will be obvious.

본 발명에 따르면 저온 영역에서도 박막에 포함된 불순물을 효과적으로 제거할 수 있을 뿐만 아니라, 막질을 크게 개선할 수 있게 된다. 또한 챔버내 잔류물질도 보다 효과적으로 제거할 수 있게 된다.According to the present invention, not only the impurities contained in the thin film can be effectively removed even in the low temperature region, but the film quality can be greatly improved. In addition, the remaining material in the chamber can be more effectively removed.

Claims (23)

삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 반응공간을 제공하는 챔버; 상기 반응공간에 위치하고 기판이 안치되는 다수의 서셉터; 제 1 및 제 2 가스를 독립적으로 동시에 분사하고 회전에 의해 상기 제 1 및 제 2 가스를 상기 기판 상에 교번적으로 공급하는 가스분사수단; 상기 다수의 서셉터와 대응되는 상기 챔버에 설치되는 광 투과창; 및 상기 광 투과창과 대응되고 상기 챔버의 외부에 설치되는 광원;을 포함하는 원자층 증착장치에 있어서, A chamber providing a reaction space; A plurality of susceptors positioned in the reaction space and in which a substrate is placed; Gas injection means for simultaneously injecting first and second gases independently and alternately supplying the first and second gases onto the substrate by rotation; A light transmitting window installed in the chamber corresponding to the plurality of susceptors; And a light source corresponding to the light transmitting window and installed outside of the chamber. 상기 기판 상에 제 1 가스를 분사하여 제 1 흡착층을 형성하는 단계;Spraying a first gas on the substrate to form a first adsorption layer; 상기 제 1 가스를 퍼지시키는 단계; 및Purging the first gas; And 상기 제 1 흡착층 상에 제 2 가스를 분사하여 제 2 흡착층을 형성하는 단계;Spraying a second gas on the first adsorption layer to form a second adsorption layer; 상기 제 2 가스를 퍼지시키는 단계;Purging the second gas; 를 포함하는 원자층 증착방법에 있어서,In the atomic layer deposition method comprising: 상기 제 1 흡착층 또는 상기 제 2 흡착층을 형성한 후에, 상기 광원을 이용하여 광을 상기 제 1 흡착층 또는 상기 제 2 흡착층에 조사하는 단계를 포함하는 원자층 증착방법.After forming the first adsorption layer or the second adsorption layer, irradiating light to the first adsorption layer or the second adsorption layer using the light source. 삭제delete 제 13 항에 있어서,The method of claim 13, 상기 광 투과창 및 상기 광원은 상기 다수의 서셉터와 대응되도록 다수로 형성하는 것을 특징으로 하는 원자층 증착방법.And the light transmitting window and the light source are formed to correspond to the plurality of susceptors. 제 13 항에 있어서,The method of claim 13, 상기 광원은 자외선 또는 적외선 램프인 것을 특징으로 하는 원자층 증착방법.The light source is an atomic layer deposition method, characterized in that the ultraviolet or infrared lamp. 반응공간을 제공하는 챔버; 상기 반응공간에 위치하고 기판이 안치되는 다수의 서셉터; 제 1 및 제 2 가스를 독립적으로 동시에 분사하고 회전에 의해 상기 제 1 및 제 2 가스를 상기 기판 상에 교번적으로 공급하는 가스분사수단; 상기 다수의 서셉터와 대응되는 상기 챔버에 설치되는 광 투과창; 및 상기 광 투과창과 대응되고 상기 챔버의 외부에 설치되는 광원;을 포함하는 원자층 증착장치에 있어서, A chamber providing a reaction space; A plurality of susceptors positioned in the reaction space and in which a substrate is placed; Gas injection means for simultaneously injecting first and second gases independently and alternately supplying the first and second gases onto the substrate by rotation; A light transmitting window installed in the chamber corresponding to the plurality of susceptors; And a light source corresponding to the light transmitting window and installed outside of the chamber. 1 주기의 증착공정이, 1 cycle of deposition process, 상기 기판 상에 제 1 가스를 분사하여 제 1 흡착층을 형성하는 단계;Spraying a first gas on the substrate to form a first adsorption layer; 상기 제 1 가스를 퍼지시키는 단계;Purging the first gas; 상기 제 1 흡착층 상에 제 2 가스를 분사하여 제 2 흡착층을 형성하는 단계;Spraying a second gas on the first adsorption layer to form a second adsorption layer; 상기 제 2 가스를 퍼지시키는 단계;Purging the second gas; 를 포함하는 원자층 증착방법에 있어서,In the atomic layer deposition method comprising: 상기 1주기의 증착공정을 수 회 반복하여 박막증착을 완료한 후, 상기 광원을 이용하여 광을 상기 제 1 및 제 2 흡착층에 조사하는 단계를 포함하는 원자층 증착방법.After repeating the deposition process of the one cycle several times to complete the thin film deposition, the atomic layer deposition method comprising the step of irradiating light to the first and second adsorption layer using the light source. 제 17 항에 있어서,The method of claim 17, 상기 광 조사 단계를 상기 1 주기 마다 수행하는 것을 특징으로 하는 원자층 증착방법.And irradiating the light with each cycle. 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete
KR1020040062383A 2004-08-09 2004-08-09 Apparatus for atomic layer deposition comprising light source, and the method of deposition using the same KR101114219B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020040062383A KR101114219B1 (en) 2004-08-09 2004-08-09 Apparatus for atomic layer deposition comprising light source, and the method of deposition using the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040062383A KR101114219B1 (en) 2004-08-09 2004-08-09 Apparatus for atomic layer deposition comprising light source, and the method of deposition using the same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020110099890A Division KR20110115992A (en) 2011-09-30 2011-09-30 Apparatus for atomic layer deposition comprising light source, and the method of deposition using the same

Publications (2)

Publication Number Publication Date
KR20060013792A KR20060013792A (en) 2006-02-14
KR101114219B1 true KR101114219B1 (en) 2012-03-05

Family

ID=37122953

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040062383A KR101114219B1 (en) 2004-08-09 2004-08-09 Apparatus for atomic layer deposition comprising light source, and the method of deposition using the same

Country Status (1)

Country Link
KR (1) KR101114219B1 (en)

Cited By (301)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101668867B1 (en) * 2015-01-29 2016-10-25 주식회사 케이씨텍 Atomic layer deposition apparatus
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10364493B2 (en) 2016-08-25 2019-07-30 Asm Ip Holding B.V. Exhaust apparatus and substrate processing apparatus having an exhaust line with a first ring having at least one hole on a lateral side thereof placed in the exhaust line
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10475854B2 (en) 2017-03-15 2019-11-12 Samsung Display Co., Ltd. Manufacturing method of display device and thin-film deposition apparatus using the same
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US12025484B2 (en) 2019-04-29 2024-07-02 Asm Ip Holding B.V. Thin film forming method

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101301642B1 (en) * 2007-03-07 2013-08-29 주성엔지니어링(주) Gas injection device having heating means and substrate processing apparatus comprising the same
KR100930824B1 (en) * 2007-11-28 2009-12-10 주식회사 케이씨텍 Atomic layer deposition apparatus
KR101431197B1 (en) * 2008-01-24 2014-09-17 삼성전자주식회사 Equipment for depositing atomic layer
US20140174350A1 (en) * 2011-08-09 2014-06-26 Samsung Electronics Co., Ltd. Vapor deposition apparatus
KR20200086582A (en) * 2019-01-09 2020-07-17 삼성전자주식회사 Apparatus for atomic layer deposition and method for forming thin film using the same

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100408519B1 (en) * 2001-05-03 2003-12-06 삼성전자주식회사 Reaction chamber for atomic layer deposition

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100408519B1 (en) * 2001-05-03 2003-12-06 삼성전자주식회사 Reaction chamber for atomic layer deposition

Cited By (384)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
KR101668867B1 (en) * 2015-01-29 2016-10-25 주식회사 케이씨텍 Atomic layer deposition apparatus
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10364493B2 (en) 2016-08-25 2019-07-30 Asm Ip Holding B.V. Exhaust apparatus and substrate processing apparatus having an exhaust line with a first ring having at least one hole on a lateral side thereof placed in the exhaust line
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US12000042B2 (en) 2016-12-15 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10475854B2 (en) 2017-03-15 2019-11-12 Samsung Display Co., Ltd. Manufacturing method of display device and thin-film deposition apparatus using the same
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US12020938B2 (en) 2018-03-27 2024-06-25 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US12025484B2 (en) 2019-04-29 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11996304B2 (en) 2019-07-16 2024-05-28 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US12033885B2 (en) 2021-01-04 2024-07-09 Asm Ip Holding B.V. Channeled lift pin
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US12033861B2 (en) 2021-06-07 2024-07-09 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US12027365B2 (en) 2021-11-19 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices
US12033849B2 (en) 2022-12-08 2024-07-09 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by PEALD using bis(diethylamino)silane

Also Published As

Publication number Publication date
KR20060013792A (en) 2006-02-14

Similar Documents

Publication Publication Date Title
KR101114219B1 (en) Apparatus for atomic layer deposition comprising light source, and the method of deposition using the same
US7582544B2 (en) ALD film forming method
KR101511457B1 (en) Deposition of layer using depositing apparatus with reciprocating susceptor
TWI523970B (en) Film deposition apparatus
JP2021511672A (en) Treatment method for thin films of silicon nitride
US20070062646A1 (en) Method and apparatus for processing substrates
US20050241580A1 (en) Method for depositing thin film and thin film deposition system having separate jet orifices for spraying purge gas
KR20170092462A (en) Film forming method
JP2007067119A (en) Semiconductor manufacturing apparatus
US10472719B2 (en) Nozzle and substrate processing apparatus using same
US20160326651A1 (en) Substrate processing apparatus
KR102584230B1 (en) Tabernacle equipment and method
KR20150129618A (en) Film forming method
JP2001144033A (en) Forming method for precoat film, idling method for film forming device, mounting base structure and film forming device
KR102325325B1 (en) Method for Forming Thin Film
KR102080761B1 (en) Apparatus for processing substrate
KR20110115992A (en) Apparatus for atomic layer deposition comprising light source, and the method of deposition using the same
KR101426432B1 (en) Apparatus and method for processing substrate
KR100532949B1 (en) Plasma assistive batch type atomic layer deposition apparatus
KR20110040409A (en) Semiconductor apparatus of furnace type apparatus and method for treating substrates using the apparatus
EP4364192A1 (en) Shadow ring lift to improve wafer edge performance
KR102671907B1 (en) Substrate treatment apparatus and substrate treatment method
KR20040048618A (en) Atomic layer deposition apparatus
KR102084530B1 (en) Method of forming a thin film
JP7446650B1 (en) Atomic layer deposition apparatus and atomic layer deposition method

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
A107 Divisional application of patent
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150105

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160105

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170102

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180102

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20190104

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20200102

Year of fee payment: 9