JP2009529223A - Small volume symmetrical flow single wafer ALD system - Google Patents

Small volume symmetrical flow single wafer ALD system Download PDF

Info

Publication number
JP2009529223A
JP2009529223A JP2008542517A JP2008542517A JP2009529223A JP 2009529223 A JP2009529223 A JP 2009529223A JP 2008542517 A JP2008542517 A JP 2008542517A JP 2008542517 A JP2008542517 A JP 2008542517A JP 2009529223 A JP2009529223 A JP 2009529223A
Authority
JP
Japan
Prior art keywords
reaction chamber
flooring
gas
conduit
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2008542517A
Other languages
Japanese (ja)
Inventor
ダルトン,ジェレミー・ジェイ
ダオエレスベルグ,マルティン
デーリング,ケネス
カリム,エム・ジアウル
シーデル,トーマス・イー
ストローチ,ゲルハルト・ケイ
Original Assignee
ジーナス インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ジーナス インコーポレーテッド filed Critical ジーナス インコーポレーテッド
Publication of JP2009529223A publication Critical patent/JP2009529223A/en
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds

Abstract

ALD反応室には、その外周に環状付属フローリング導管を備える垂直可動ヒータサセプタが含まれているが、その導管は、ヒータサセプタがそのプロセス位置につくと、フローリングの底面に対するウェハの上方及びウェハの下方における反応器の外部空間を分離する外部表面をそのエッジに備えている。サセプタがプロセス位置につくと、フローリングの外側エッジが反応室のHdに取り付けられた環状リングに近接した位置につき、リングと導管が共にタングイングルーブ(TIG)構造を形成する。場合によっては、TIG設計は階段形状(SC)を備えることによって、下流ガスの拡散逆流を反応器の外部空間に限定することも可能である。  The ALD reaction chamber includes a vertically movable heater susceptor with an annular attached flooring conduit on its outer periphery, which when the heater susceptor is in its process position, above the wafer and against the bottom of the flooring. The edge is provided with an external surface separating the external space of the reactor below. When the susceptor is in the process position, the ring and conduit together form a tongue-in-groove (TIG) structure where the outer edge of the flooring is close to the annular ring attached to the reaction chamber Hd. In some cases, the TIG design can be provided with a stepped shape (SC) to limit the diffusion back flow of the downstream gas to the external space of the reactor.

Description

関連出願
本願は、2006年7月21日に提出された米国仮特許出願第60/820,042号の正規出願であって、それに対する優先権を主張するものであり、2005年11月22日に提出された独国特許出願第102005056326.6号に対する優先権を主張するものであり、さらに、2004年9月13日に提出された米国仮特許出願第60/609,598号の正規出願であって、それに対する優先権を主張し、参考までにそれを援用している、2005年9月12日に提出された米国特許出願第11/224,767号に関連するものであって、これらはそれぞれ本発明の共同所有者に譲渡されており、参考までに本明細書において援用されている。
RELATED APPLICATION This application is a regular application of US Provisional Patent Application No. 60 / 820,042 filed on July 21, 2006, and claims priority thereto, November 22, 2005. Claiming priority to German patent application No. 105005636326.6 filed on September 13, 2004, and in addition to the regular application of US Provisional Patent Application No. 60 / 609,598 filed on September 13, 2004. Related to U.S. Patent Application No. 11 / 224,767 filed on September 12, 2005, claiming priority thereto, which is incorporated by reference. Each is assigned to the co-owner of the present invention and is incorporated herein by reference.

本発明は、反応空間容積を最小限に抑え、同時に、軸外しウェハ輸送スロット弁及び/又は軸外し下流ポンプ輸送導管に関連したガス流の対称性を維持することによってALDサイクル時間を改善する小体積対称流れ原子層堆積(ALD)装置に関する。   The present invention minimizes reaction space volume and at the same time improves ALD cycle time by maintaining gas flow symmetry associated with off-axis wafer transport slot valves and / or off-axis downstream pumping conduits. The present invention relates to a volume symmetric flow atomic layer deposition (ALD) apparatus.

ALD反応器は、さまざまな設計構造を備えることが可能である。従来のシングルウェハALD反応器の構造には、クロスフロー設計が含まれ、そこでは、順次行われる化学的前駆体の暴露(パルス)と注入ガスの除去(パージ)が、ウェハ表面をほぼ水平に横切って流れ、かつ同様に水平方向に送り出される。ウェハ輸送は、ガス流方向に対して直角な同じ水平面において実施可能である。注入オリフィスからポンプオリフィスへの時間依存前駆体パルスの移動を表すために「進行波」という用語が利用されてきた。例えば先行技術文献を参照されたい(非特許文献1参照)。   ALD reactors can have various design structures. The structure of a conventional single wafer ALD reactor includes a cross-flow design, where sequential chemical precursor exposure (pulse) and implantation gas removal (purge) cause the wafer surface to be approximately horizontal. It flows across and is likewise sent out horizontally. Wafer transport can be performed in the same horizontal plane perpendicular to the gas flow direction. The term “traveling wave” has been used to describe the movement of time-dependent precursor pulses from the injection orifice to the pump orifice. For example, refer to prior art documents (see Non-Patent Document 1).

いわゆる「純粋な」ALDプロセスの場合、第1の前駆体を反応空間から完全に除去してから、第2の前駆体を導入する。例えば先行技術文献を参照されたい(非特許文献2参照)。しかしながら、ウェハが例えば300mmや450mmといったより大きいサイズに拡大されると、また、サイクル時間が下限にまで短縮されると、望ましくない寄生化学気相成長(CVD)がウェハのエッジにおいて進行波の方向に生じる。寄生CVDは、分散した第1の前駆体の後尾と第2の前駆体の先頭において残留前駆体が時間的、空間的に同時に共存することによる望ましくない化学反応に起因するものである。この寄生CVDを回避するため、パルス間に前駆体の除去が利用される。長い除去時間を必要とする場合が多い。水平シングルウェハ構造の場合、この寄生CVDを回避するには、第1の前駆体パルスの後縁の濃度を例えば第1の前駆体のピーク値の約1%未満といった任意の数値のトレースベルまで低下させなければならない。例えば先行技術文献を参照されたい(特許文献1参照)。   In the case of so-called “pure” ALD processes, the first precursor is completely removed from the reaction space before the second precursor is introduced. For example, refer to prior art documents (see Non-Patent Document 2). However, when the wafer is scaled to a larger size, for example 300 mm or 450 mm, and when the cycle time is reduced to the lower limit, undesirable parasitic chemical vapor deposition (CVD) occurs in the direction of the traveling wave at the edge of the wafer. To occur. Parasitic CVD results from an undesirable chemical reaction due to the coexistence of residual precursors in time and space simultaneously at the tail of the dispersed first precursor and at the beginning of the second precursor. In order to avoid this parasitic CVD, precursor removal is used between pulses. Often a long removal time is required. In the case of a horizontal single wafer structure, to avoid this parasitic CVD, the concentration of the trailing edge of the first precursor pulse is up to any number of trace bells, for example, less than about 1% of the peak value of the first precursor. Must be reduced. For example, refer to prior art documents (see Patent Document 1).

ALDは自己制御式プロセスであるため、一般に「パージ期間」と呼ばれる前駆体除去期間に十分な時間が利用される場合には、顕著なCVDが生じることはないので、前駆体流の方向と対称性は問題にならないといえるであろう。しかしながら、高付着率(厚さ/単位時間又は低サイクル時間)を求めて、商業生産における値に関して可能性のある最短時間までパージ時間を短縮する場合には、流れの対称性が重要になる。   Since ALD is a self-regulating process, if sufficient time is utilized for the precursor removal period, commonly referred to as the “purge period”, no significant CVD will occur, so it is symmetric with the precursor flow direction. It can be said that sex is not a problem. However, flow symmetry becomes important when seeking high deposition rates (thickness / unit time or low cycle time) and reducing the purge time to the shortest possible time for values in commercial production.

代替シングルウェハ設計では、軸心及び軸対称垂直ガス供給モジュール(GDM)(例えば軸心オリフィス又はシャワーヘッドを用いる)から注入される前駆体ガスを利用するが、この場合、分散後尾が、高逆拡散の場合に有利なように、ウェハの半径(直径の1/2の値)で重なるように制限され、未利用ガスと副産ガスのより迅速な送り出し(全方位角の送り出し)と除去が可能になる。   An alternative single wafer design utilizes a precursor gas injected from an axial and axisymmetric vertical gas supply module (GDM) (e.g., using an axial orifice or showerhead), where the distributed tail is highly inverted. As advantageous in the case of diffusion, it is constrained to overlap by the radius of the wafer (half the diameter), allowing faster delivery of unutilized and by-product gases (omnidirectional delivery) and removal. It becomes possible.

今日多くの市販のシングルウェハALD反応器は、半径流に垂直送り込みが後続する垂直前駆体注入を利用している。図1には、2つの前駆体(A、B)が弁12a、12bを介して反応室14に垂直に注入されるこうしたALD反応器システム10が例示されている。ポンプ16によって反応室14からガスが抽出される。説明図に示すように、反応室14内のガスは、最初は、例えば一般にサセプタ22によって支持されたウェハ20の上方で軸対称に配置されたシャワーヘッド又は他の注入装置18から垂直方向に流れ、次に、ウェハ20の中心からそのエッジへと半径方向外側に流れる。次に、ガスは反応室14から垂直流をなすように送り出され、半径/垂直流を組み合わせた送り出しが行われる。この構成によってウェハエッジにおける流れが対称になるのが理想である。   Many commercial single wafer ALD reactors today utilize vertical precursor injection followed by a vertical feed followed by a radial flow. FIG. 1 illustrates such an ALD reactor system 10 in which two precursors (A, B) are injected vertically into the reaction chamber 14 via valves 12a, 12b. Gas is extracted from the reaction chamber 14 by the pump 16. As shown in the illustration, the gas in the reaction chamber 14 initially flows vertically from, for example, a showerhead or other implanter 18 disposed axisymmetrically above a wafer 20 that is typically supported by a susceptor 22. Then, it flows radially outward from the center of the wafer 20 to its edge. Next, the gas is sent out from the reaction chamber 14 so as to make a vertical flow, and the combined delivery of the radius and the vertical flow is performed. Ideally, this configuration would make the flow at the wafer edge symmetrical.

図2に例示のように、市販用のシングルウェハシステムの場合、加工されるウェハはロボットセントラルハンドラ24によって反応器に送り込まれる。これらのウェハ輸送機構は、一般にシリコンチップ製造業に役立つCVD装置にも利用されている。ウェハ26は、一般に反応器の壁面に近接した反応室14の半径又は外側表面上にある、特定の方位角と範囲(θ1及びΔθ1)に位置する矩形スロット弁28を介して導入される。このスロット弁28、及び反応室14への矩形通路によって、説明図に概略的に示された半径ガス流の対称性が崩される。 As illustrated in FIG. 2, in the case of a commercially available single wafer system, the wafer to be processed is sent to the reactor by the robot central handler 24. These wafer transport mechanisms are generally also used in CVD equipment useful for the silicon chip manufacturing industry. Wafer 26 is introduced through a rectangular slot valve 28 located at a specific azimuth and range (θ 1 and Δθ 1 ), typically on the radius or outer surface of reaction chamber 14 proximate to the reactor wall. . The slot valve 28 and the rectangular passage to the reaction chamber 14 break the symmetry of the radial gas flow schematically shown in the explanatory diagram.

さらに、下流排出ポンプ16が一般に方位角及び範囲θ2及びΔθ2に設けられている。ここで、θ2は一般に必ずしもθ1と同じとは限らない。この構成は、垂直方向におけるサセプタの移動を可能にする軸上機械駆動支持ハードウェアには適合するが、同時にこれらの非対称性は、再循環ポケット、よどみゾーンが形成され及び/又は排出方位角不均一性が形成される可能性がある。例えば、第1の前駆体流からの残留前駆体はウェハからの不均一な方位角流で排出されるか又は一掃される場合、寄生CVDがウェハの1つの方位角方向に向かって非対称又は不均一に発生する追加メカニズムが存在する。この場合、寄生CVDの発生が、再循環、よどみ、及び/又は、排出効果のために特定の方位角方向又は方向に非対称にかつ早期に起こる。 Further, a downstream discharge pump 16 is generally provided in the azimuth and range θ 2 and Δθ 2 . Here, θ 2 is generally not necessarily the same as θ 1 . This configuration is compatible with on-axis mechanical drive support hardware that allows vertical movement of the susceptor, but at the same time these asymmetries can form recirculation pockets, stagnation zones and / or discharge azimuth misalignments. Uniformity can be formed. For example, if residual precursor from the first precursor stream is exhausted or swept away from the wafer with non-uniform azimuthal flow, the parasitic CVD is asymmetrical or non-uniform toward one azimuthal direction of the wafer. There are additional mechanisms that occur uniformly. In this case, the occurrence of parasitic CVD occurs asymmetrically and early in a particular azimuthal direction or direction due to recirculation, stagnation and / or evacuation effects.

小反応空間容積(ウェハと前駆体注入コンポーネント(例えばシャワーヘッド)との間のウェハ上方の空間)の望ましさは当該技術において既知である。例えば先行技術文献を参照されたい(非特許文献3参照)。前駆体除去時間の短縮、滞留時間(PV/F、ここでPは圧力、Vは反応空間の容積、Fは反応空間を通る流量である)の短縮、従って、ALDサイクル時間の短縮のためには、ALD反応空間容積を最小限に抑えるべきである。垂直方向に移動可能なサセプタ設計(例えば先行技術文献を参照されたい(特許文献2参照))の場合、ウェハ面と反応器の蓋のガス供給オリフィス(シャワーヘッド)との間隔は、流量と滞留時間の均一性のために最適化することが可能である、すなわち、局部的に均一な暴露の制約条件内において反応空間の容積を最小限に抑えることが可能である。さらに、反応空間には、寄生反応空間容積をなすサセプタエッジと反応器の上部内壁との間の環状領域を含むことが可能である。   The desirability of a small reaction space volume (the space above the wafer between the wafer and the precursor injection component (eg showerhead)) is known in the art. For example, refer to prior art documents (see Non-Patent Document 3). To shorten precursor removal time, residence time (PV / F, where P is pressure, V is the volume of the reaction space, and F is the flow rate through the reaction space), and therefore to shorten the ALD cycle time Should minimize the volume of ALD reaction space. In the case of a vertically movable susceptor design (see, for example, the prior art document (see Patent Document 2)), the distance between the wafer surface and the gas supply orifice (shower head) of the reactor lid depends on the flow rate and the residence. It can be optimized for time uniformity, i.e. the volume of the reaction space can be minimized within the limits of locally uniform exposure. Furthermore, the reaction space can include an annular region between the susceptor edge forming the parasitic reaction space volume and the upper inner wall of the reactor.

米国特許第6,015,590号明細書US Pat. No. 6,015,590 米国特許第5,855,675号明細書(Doering他に付与され本発明の譲渡人に譲渡され参照として本書に組み入れられた)US Pat. No. 5,855,675 (assigned to Doering et al., Assigned to the assignee of the present invention and incorporated herein by reference) T.Suntola著、Huerle編、「Atomic Layer Epitaxy」、Handbook of Crystal Growth,第3巻 1994年、第14章、p.601以下参照T.A. See Suntola, edited by Huerle, “Atomic Layer Epitaxy”, Handbook of Crystal Growth, Vol. 3, 1994, Chapter 14, p. S.M.Bedair、「Atomic layer epitaxy deposition processes」、J.Vac.Sci.Tech.B12(1)、1994年、1/2月号、p.179以下参照S. M.M. Bedair, “Atomic layer epitaxy deposition processes”, J. Am. Vac. Sci. Tech. B12 (1), 1994, January issue, p.179 and below M.Ritala及びM.Leskela著、H.Nalwa編、「Atomic Layer Deposition」、Handbook of Thin Film Materials、第1巻、2002年、第2章、p.103以下参照M.M. Ritala and M.M. By Leskela, H.C. See Nalwa, “Atomic Layer Deposition”, Handbook of Thin Film Materials, Volume 1, 2002, Chapter 2, p.103 and below.

ウェハスロット弁とウェハ通路の方位角配置のために崩れた流れの対称性は、ウェハとそのヒータ/サセプタがプロセス位置につくと、ウェハがウェハスロット弁の上方に位置するように構成された垂直可動サセプタ/ヒータを用いることによってほぼ復元されたが、このアプローチは、それにもかかわらず対称流れの微調整に関して制限があった。例えば、サセプタがそのプロセス位置につくと、下流ガスがやはりウェハ面の下方のウェハスロット弁に関連したポケット内によどみ領域及び渦を形成した。従って、反応空間容積を最小限に抑えて、対称流を改善し、同時に従来のウェハ(スロット)輸送メカニズムと連係する能力も維持する反応器設計が必要である。本発明によれば、これらの要件に対する解決法が提供され、その結果対称流が生じる小さい限定された容積が得られ、高処理量で高性能(HP)のシングルウェハ反応器を提供する。   Flow symmetry due to the azimuthal arrangement of the wafer slot valve and wafer path is vertical because the wafer is positioned above the wafer slot valve when the wafer and its heater / susceptor are in process position. Although largely restored by using a movable susceptor / heater, this approach was nevertheless limited with respect to fine tuning of the symmetric flow. For example, when the susceptor was in its process position, the downstream gas also formed a stagnation region and vortex in the pocket associated with the wafer slot valve below the wafer surface. Therefore, there is a need for a reactor design that minimizes reaction space volume, improves symmetric flow, and at the same time maintains the ability to interface with conventional wafer (slot) transport mechanisms. In accordance with the present invention, a solution to these requirements is provided, resulting in a small limited volume resulting in a symmetric flow, providing a high throughput, high performance (HP) single wafer reactor.

本発明の実施態様の1つでは、反応室装置に垂直可動ヒータサセプタが含まれているが、ヒータサセプタはガス導管として機能する環状の付属フローリングに接続されており、サセプタがプロセス(高)位置につくと、フローリングの出口ポートはウェハ輸送スロット弁の底面より下に延びる。   In one embodiment of the present invention, the reaction chamber apparatus includes a vertically movable heater susceptor that is connected to an annular accessory flooring that functions as a gas conduit, with the susceptor in the process (high) position. At the end, the floor outlet port extends below the bottom of the wafer transport slot valve.

本発明のもう1つの実施態様によれば、外周が環状付属フローリング導管に接続されたヒータサセプタを含む反応室装置が提供されるが、導管は、ヒータサセプタがその装入位置に対してそのプロセス(高)位置につくと、フローリングの底面に対するウェハの上方とウェハの下方における反応室の外部空間を限定された反応空間から分離する外部表面をそのエッジに備えている。   In accordance with another embodiment of the present invention, a reaction chamber apparatus is provided that includes a heater susceptor, the outer periphery of which is connected to an annular attached flooring conduit, the conduit being connected to the process of the heater susceptor relative to its loading position. When in the (high) position, the edge is provided with an external surface that separates the external space of the reaction chamber above and below the floor of the flooring from the limited reaction space.

さらにもう1つの実施態様では、本発明によって、外周が環状付属フローリング導管に接続されたヒータサセプタを含む反応室装置が提供されるが、導管は、ヒータサセプタがその装入位置に対してそのプロセス(高)位置につくと、ウェハ上方の反応室の外部空間を限定された反応空間から分離する外部表面をエッジに備えており、外側エッジは反応室の蓋に取り付けられた環状リングに近接して配置されていて、リングと導管外側部材は共にタングイングルーブ(TIG:グルーブ内タング)構造の働きをする。場合によっては、TIG設計は階段形状(SC)を備えることによって、下流ガスの拡散逆流を反応器の外部空間に限定することも可能である。   In yet another embodiment, the present invention provides a reaction chamber apparatus comprising a heater susceptor, the outer periphery of which is connected to an annular attached flooring conduit, wherein the conduit is connected to the process of the heater susceptor relative to its loading position. When in the (high) position, the edge has an external surface that separates the external space of the reaction chamber above the wafer from the limited reaction space, and the outer edge is adjacent to an annular ring attached to the lid of the reaction chamber. Both the ring and the conduit outer member serve as a tongue-in-groove (TIG) structure. In some cases, the TIG design can be provided with a stepped shape (SC) to limit the diffusion back flow of the downstream gas to the external space of the reactor.

本発明のもう1つの実施態様によれば、装入(低)位置に対する垂直可動サセプタ(VMS)を備える反応室装置が提供されるが、サセプタはその外周が環状付属フローリング(AFR)(又はディープフローリング(DFR))導管に接続されており、前記環状AFR導管は反応室の軸心の中心に対して軸外にある下流ポンプオリフィスに排出反応ガスを送る。場合によっては、上流ウェハ面のウェハエッジにおいて対称ガス流を生じさせるため、環状AFRの下方オリフィスと下流ポンプの間に下流バッフルを配置することも可能である。   According to another embodiment of the present invention, there is provided a reaction chamber apparatus comprising a vertically movable susceptor (VMS) for a charged (low) position, the susceptor having an annular attached flooring (AFR) (or deep) on its outer periphery. Connected to a flooring (DFR) conduit, the annular AFR conduit delivers the exhaust reactant gas to a downstream pump orifice that is off-axis relative to the center of the reaction chamber axis. In some cases, it is possible to place a downstream baffle between the lower orifice of the annular AFR and the downstream pump to produce a symmetric gas flow at the wafer edge of the upstream wafer surface.

本発明のさらにもう1つの実施態様によれば、反応空間からガス流を除去するために接続されたポンプ、AFR導管、ポンプに通じる下方室を備える、上述のTIG室構造が提供される。反応空間とAFR導管のバイパスを可能にするガス注入オリフィスが配置されて、前記オリフィスに注入されたガスがAFRの出力オリフィスの下方のポンプに至る流れに流入するようになっている。従って、このオリフィスによれば、AFR出力オリフィスとポンプ入力オリフィスの間にさらなる制限器を設けることなく、ALDサイクリング中定期的にポンプの入力に直接通じるポンピング導管にガスを直接注入することが可能になる。   According to yet another embodiment of the present invention, there is provided a TIG chamber structure as described above comprising a pump connected to remove gas flow from the reaction space, an AFR conduit, and a lower chamber leading to the pump. A gas injection orifice is provided that allows the reaction space and the AFR conduit to be bypassed so that the gas injected into the orifice flows into the flow down to the pump below the output orifice of the AFR. Therefore, this orifice allows gas to be directly injected into the pumping conduit that leads directly to the pump input periodically during ALD cycling without the need for additional restriction between the AFR output orifice and the pump input orifice. Become.

さらにもう1つの実施態様では、ALD装置に複数のプロセスモジュールが含まれているが、各プロセスモジュールは1つ以上の反応室を備え、各反応室にはプロセス位置と装入/取出し位置の間で垂直方向に移動可能な基板ホルダが収容されており、基板ホルダの各1つずつが、そのそれぞれの反応室内においてそのプロセス位置につくと、それぞれの反応室内に排気ポートが形成されるような配向を施されていて、前記ポートはそれぞれの反応室の外周壁とそれぞれの基板ホルダのエッジ面によって形成される。排気ポートチャネルの壁面は基板ホルダに取り付けられて、それと共に移動可能である。排気ポートチャネルの外壁セグメントの上部セグメントは、リンググルーブ内に収まるのが望ましく、リンググルーブは、それ自体各個別反応室の給気ディストリビュータのまわりに嵌る円形シールドのまわりに嵌合する。   In yet another embodiment, the ALD apparatus includes a plurality of process modules, each process module comprising one or more reaction chambers, each reaction chamber having a position between the process position and the loading / unloading position. The substrate holder is movable in the vertical direction, and when each of the substrate holders reaches the process position in the respective reaction chamber, an exhaust port is formed in the respective reaction chamber. Oriented, the ports are formed by the outer peripheral walls of the respective reaction chambers and the edge surfaces of the respective substrate holders. The wall surface of the exhaust port channel is attached to the substrate holder and is movable therewith. The upper segment of the outer wall segment of the exhaust port channel desirably fits within the ring groove, which fits around a circular shield that itself fits around the air distributor of each individual reaction chamber.

本発明のさらなる実施態様、特徴、利点については以下で論考する。   Further embodiments, features and advantages of the present invention are discussed below.

制限のためではなく例証のために、添付図面の図には本発明が例示されている。   For purposes of illustration and not limitation, the present invention is illustrated in the figures of the accompanying drawings.

本明細書では、反応器の壁面への化学輸送を最小限にして対称流れを生じる最小ALD反応容積に対して定義された、小体積対称流れ(SVSF)装置について説明される。この説明には、反応器の設計とその機能性を含み、さらに、反応空間に関する小容積と、再循環のない反応器壁面から反応空間を分離するための汎用設計と、ウェハ面下方におけるガス膨張体積の最小化と、保守性や組立て特性を備えた軸外しポンピング導管の場合における流れの対称性を実現するためのあらゆる場合に適した設計が施された時間位相マルチレベルチョーク式下流ポンプ構造との複合効果に関する論考を含む。   Described herein is a small volume symmetric flow (SVSF) apparatus defined for a minimum ALD reaction volume that produces symmetric flow with minimal chemical transport to the reactor walls. This description includes the design of the reactor and its functionality, as well as a small volume with respect to the reaction space, a general design to separate the reaction space from the reactor wall without recirculation, and gas expansion below the wafer surface. Time phase multi-level choke downstream pump structure with all-round design to minimize volume and achieve flow symmetry in the case of off-axis pumping conduit with maintainability and assembly characteristics Includes discussion on the combined effects of

本発明の実施形態の1つでは、反応室装置に垂直可動ヒータサセプタが含まれているが、このヒータサセプタはガス導管として機能する環状付属フローリングに接続されており、サセプタがプロセス(高)位置につくと、フローリングの出口ポートはウェハ輸送スロット弁の底面の下方に延びる。   In one embodiment of the present invention, the reaction chamber apparatus includes a vertically movable heater susceptor that is connected to an annular attached flooring that functions as a gas conduit and the susceptor is in the process (high) position. At the end, the floor outlet port extends below the bottom of the wafer transport slot valve.

本発明のもう1つの実施形態によれば、その外周が環状付属フローリング導管に接続されたヒータサセプタを含む反応室装置が提供されるが、導管は、ヒータサセプタがその装入位置に対してそのプロセス(高)位置につくと、フローリングの底面に対するウェハの上方及びウェハの下方における反応器の外部空間を限定された反応空間から分離する外部表面をそのエッジに備えている。   In accordance with another embodiment of the present invention, a reaction chamber apparatus is provided that includes a heater susceptor, the outer periphery of which is connected to an annular attached flooring conduit, the conduit having a heater susceptor relative to its loading position. When in the process (high) position, it has an outer surface at its edge that separates the reactor outer space above and below the floor from the floor of the flooring from the limited reaction space.

さらにもう1つの実施形態の場合、本発明によって、その外周が環状付属フローリング導管に接続されたヒータサセプタを含む反応室装置が提供されるが、導管は、ヒータサセプタがその装入位置に対してそのプロセス(高)位置につくと、ウェハの上方の反応器の外部空間を限定された反応空間から分離する外部表面をそのエッジに備えており、外側エッジは反応室の蓋に取り付けられた環状リングに近接して配置されていて、リングと導管外側部材は共にタングイングルーブ(TIG)構造の働きをする。場合によっては、TIG設計は階段形状(SC)を備えることによって、下流ガスの拡散逆流を反応器の外部空間に限定することも可能である。   In yet another embodiment, the present invention provides a reaction chamber apparatus that includes a heater susceptor, the outer periphery of which is connected to an annular attached flooring conduit, the conduit being connected to the loading position of the heater susceptor. When in its process (high) position, it has an outer surface at its edge that separates the outer space of the reactor above the wafer from the limited reaction space, and the outer edge is a ring attached to the lid of the reaction chamber Located in close proximity to the ring, the ring and conduit outer member together act as a tongue-in-groove (TIG) structure. In some cases, the TIG design can be provided with a stepped shape (SC) to limit the diffusion back flow of the downstream gas to the external space of the reactor.

本発明の実施形態の場合、タング部材とグルーブ部材の設計と働きによって、これらの部材が互いに物理的に接触しないようになっているのが重要である。接触部材は、粒子源メカニズムとなって、おそらく金属間の接触固着(真空内における金属結合)を生じ、ヒータサセプタの引き戻しを困難にするであろう。さらに、接触設計は、機械的安定性の維持がかなり困難になる。これらの理由により、「近接」又は「拡散封じ」TIGメカニズムが設計され、操作された。   In the case of the embodiment of the present invention, it is important that the tongue member and the groove member are designed and operated so that these members do not come into physical contact with each other. The contact member would be a particle source mechanism, possibly causing contact sticking between metals (metal bonding in a vacuum), making it difficult to retract the heater susceptor. Furthermore, the contact design makes it very difficult to maintain mechanical stability. For these reasons, a “proximity” or “diffusion-sealed” TIG mechanism was designed and operated.

本発明のもう1つの実施形態によれば、その装入(低)位置に対する垂直可動サセプタ(VMS)を備えた反応室装置が提供されるが、前記サセプタはその外周が環状付属フローリング(AFR)(又はディープフローリング(DFR))導管に接続されており、前記環状AFR導管は反応室の軸心の中心に対して軸外にある下流ポンプオリフィスに排出反応ガスを送る。場合によっては、上流ウェハ面のウェハエッジにおいて対称ガス流を生じさせるため、環状AFRの下方オリフィスと下流ポンプの間に下流バッフルを配置することも可能である。   According to another embodiment of the present invention, there is provided a reaction chamber apparatus with a vertically movable susceptor (VMS) for its charging (low) position, the susceptor having an annular attached flooring (AFR) at its outer periphery. (Or deep flooring (DFR)) connected to a conduit that delivers the exhaust reactant gas to a downstream pump orifice that is off-axis relative to the center of the reaction chamber axis. In some cases, it is possible to place a downstream baffle between the lower orifice of the annular AFR and the downstream pump to produce a symmetric gas flow at the wafer edge of the upstream wafer surface.

本発明のさらにもう1つの実施形態によれば、反応空間からガス流を除去するために接続されたポンプ、AFR導管、ポンプに通じる下方室を備える、上述のTIG室構造が提供される。反応空間とAFR導管のバイパスを可能にするガス注入オリフィスが配置されて、前記オリフィスに注入されたガスがAFRの出力オリフィスの下方のポンプに至る流れに流入する。従って、このオリフィスによれば、AFR出力オリフィスとポンプ入力オリフィスの間にさらなる制限器を設けることなく、ALDサイクリング中、定期的にポンプの入力に直接通じるポンピング導管にガスを直接注入することが可能になる。場合によっては、こうして注入されるガスは、均一な暴露と均一な滞留時間を実現するため、方位角点から注入することも可能である。また、AFRのオリフィスは、そのオリフィス面に穴の形態の制限器を備えることが可能であり、これらの穴は、ウェハ面に対称流れを誘発するようにさまざまな方位角方向においてさまざまな設計を施すことが可能である。TIG設計は、TIG蓋部品の内側エッジを湾曲させて、反応空間のデッドスペースをなくすように施すことが可能である。   In accordance with yet another embodiment of the present invention, there is provided a TIG chamber structure as described above comprising a pump connected to remove gas flow from the reaction space, an AFR conduit, and a lower chamber leading to the pump. A gas injection orifice is provided that allows the reaction space and the AFR conduit to be bypassed so that the gas injected into the orifice flows into the flow down to the pump below the output orifice of the AFR. Thus, this orifice allows gas to be directly injected into the pumping conduit that leads directly to the pump input periodically during ALD cycling without any additional restriction between the AFR output orifice and the pump input orifice. become. In some cases, the injected gas can also be injected from an azimuth point to achieve uniform exposure and uniform residence time. An AFR orifice can also be provided with a restrictor in the form of a hole in its orifice surface, and these holes can be designed in various azimuth directions to induce a symmetric flow in the wafer surface. It is possible to apply. The TIG design can be applied by curving the inner edge of the TIG lid part to eliminate the dead space of the reaction space.

本明細書に記載のHP ALD設計は、さらに、例えば上述の米国特許出願第11/224,767号及び独国特許第102005056326.6号に記載の「マルチシングルウェハ」(MSW)反応器システムにおいて利用することも可能である。その場合、いくつかの(例えば4つの)ほぼ独立したHP反応器を共通の真空ハウジングシステムに収容することが可能である。独国特許第102005056326.6号の場合、同じマスタ真空ハウジング内に収容された別様にほぼ独立して動作する反応器間におけるわずかなガス流(対流とは対照的な拡散によるほぼ逆流)がさらに必要とされる。   The HP ALD design described herein is further described in the “multi-single wafer” (MSW) reactor system described, for example, in the above-mentioned US Patent Application No. 11 / 224,767 and German Patent No. 1020050563326.6. It can also be used. In that case, it is possible to house several (eg four) nearly independent HP reactors in a common vacuum housing system. In the case of DE 102005056326.6, a slight gas flow (almost countercurrent due to diffusion as opposed to convection) between otherwise independently operating reactors contained in the same master vacuum housing is present. Further needed.

すなわち、マルチシングルウェハシステムの場合、いくつかの反応室が単一プロセスモジュール内に配置される。円形ウェハが望ましい基板は、共通プロセス室内にはなく、代わりに排気ゾーンのフローダイナミクスに対して関連づけられた個別反応室内にある。プロセスモジュール内の個々の反応室は共通の装入/取出しステップ中に装入する/取り出すことが可能である。これを実施するため、基板ホルダがプロセス位置から装入/取出し位置に下ろされる。プロセス位置において、基板ホルダの壁面は本明細書に記載のガス排出ポートを形成する。基板ホルダが拡散障壁を通ってプロセス位置につくと、個々の反応室は分離され、1つの反応室からもう1つの反応室へのガス流が回避される。個々の反応室は共通の高さに配置され、装入/取出し装置の回転軸によって形成される中心まわりでグループをなすのが望ましい。   That is, in the case of a multi-single wafer system, several reaction chambers are arranged in a single process module. Substrates where circular wafers are desirable are not in a common process chamber, but instead are in separate reaction chambers associated with the exhaust zone flow dynamics. Individual reaction chambers within the process module can be loaded / unloaded during a common loading / unloading step. To do this, the substrate holder is lowered from the process position to the loading / unloading position. In the process position, the wall surface of the substrate holder forms the gas exhaust port described herein. When the substrate holder reaches the process position through the diffusion barrier, the individual reaction chambers are separated and gas flow from one reaction chamber to another is avoided. The individual reaction chambers are preferably arranged at a common height and are grouped around a center formed by the rotation axis of the loading / unloading device.

次に図3を参照すると、本発明の実施形態の1つに従って構成されたHP ALDシステム30が例示されているが、限定反応空間容積32に関して定量化(最小化と最適化)されており、再循環はせず、対称流を生じ、HP反応ゾーン外での反応ガス輸送が少ない。システム30には、反応室34並びに上述のものと同様のいくつかの構成部品が含まれている。反応室34内には、ウェハ38が載る垂直可動ヒータサセプタ36(例えば、本譲受人が所有する上述の特許に記載のように構成された)が収容されている。ヒータサセプタ36は、外周がガス導管として機能する環状フローリング40に接続されている。フローリング40は、サセプタ36がそのプロセス(高)位置につくと、ウェハ輸送スロット弁28の底面の下方に位置する出口ポート42を備えている。   Referring now to FIG. 3, an HP ALD system 30 configured in accordance with one embodiment of the present invention is illustrated, but quantified (minimized and optimized) with respect to a limited reaction volume 32, There is no recirculation, a symmetric flow is produced, and there is less reactant gas transport outside the HP reaction zone. System 30 includes a reaction chamber 34 as well as several components similar to those described above. Housed in the reaction chamber 34 is a vertically movable heater susceptor 36 (eg, configured as described in the above-mentioned patent owned by the assignee) on which a wafer 38 is placed. The heater susceptor 36 is connected to an annular flooring 40 whose outer periphery functions as a gas conduit. The flooring 40 includes an outlet port 42 located below the bottom surface of the wafer transport slot valve 28 when the susceptor 36 is in its process (high) position.

本ALDシステムは、シングルウェハ堆積に利用することもできるし、あるいは、場合によっては反応室内の単一キャリヤにいくつかのより小さいウェハを配置することも可能である。重要なのは、単一の独立ウェハ反応器としてのこの限定設計の利用に関して、反応物質は反応室の内壁に付着しないように有効に遮蔽されており、従ってシングルウェハ反応器に関する保守の便益が向上するという点である。   The ALD system can be used for single wafer deposition or, in some cases, several smaller wafers can be placed on a single carrier in a reaction chamber. Importantly, with respect to the use of this limited design as a single stand-alone wafer reactor, the reactants are effectively shielded from adhering to the inner walls of the reaction chamber, thus improving the maintenance benefits for the single wafer reactor. That is the point.

サセプタ36の外周に取り付けられた環状フローリング40は、ヒータサセプタがその装入位置に対するプロセス(高)位置につくと、フローリングの底面に対するウェハの上方とウェハの下方における反応器の外部空間を限定反応空間から分離する外部表面をそのエッジに備えている。この外側エッジ44は、反応器の蓋48に取り付けられた環状リング46に近接しており、リング46と導管外側部材44は共にタングイングルーブ(TIG)構造の働きをする。すなわち、図に示すように、フローリングの外側エッジは反応器の蓋に取り付けられた環状リングのグルーブ内に嵌合する。場合によっては、TIG設計は階段形状(SC)を備えることによって、下流ガスの拡散逆流を反応器の外部空間に限定することも可能である。環状フローリングは、反応室の軸心の中心に対して軸外にある下流ポンプオリフィス16に排出反応ガスを送る。   When the heater susceptor is in the process (high) position relative to its loading position, the annular flooring 40 attached to the outer periphery of the susceptor 36 limits the reaction of the external space of the reactor above and below the wafer with respect to the bottom surface of the flooring. The edge is provided with an external surface that separates from the space. The outer edge 44 is adjacent to an annular ring 46 attached to the reactor lid 48, and the ring 46 and the conduit outer member 44 together serve as a tongue-in-groove (TIG) structure. That is, as shown in the figure, the outer edge of the flooring fits into a groove in an annular ring attached to the reactor lid. In some cases, the TIG design can be provided with a stepped shape (SC) to limit the diffusion back flow of the downstream gas to the external space of the reactor. The annular flooring delivers exhaust reaction gas to the downstream pump orifice 16 which is off-axis with respect to the center of the reaction chamber axis.

小体積対称流れALD反応器に設計に関する考慮事項は、高い位相幾何学フィーチャを備えた単一又は複数の半導体ウェハあるいは単一又は複数の加工物にガス前駆体を迅速かつほぼ均一に行き渡らせる必要である。最短の暴露時間と効率の良い前駆体利用を実現するには、ウェハの中心内とエッジ内の高アスペクト比のフィーチャにほぼ同じ時間フレーム内にほぼ同じ濃度で化学前駆体を送るのが望ましい。   Design considerations for small volume symmetric flow ALD reactors require rapid and nearly uniform distribution of gas precursors to single or multiple semiconductor wafers or single or multiple workpieces with high topological features It is. To achieve the shortest exposure time and efficient precursor utilization, it is desirable to deliver chemical precursors at approximately the same concentration within approximately the same time frame to high aspect ratio features in the center and edge of the wafer.

同じ時間だけ暴露する利点は、ウェハ領域に対する効率の良いコンフォーマルコーティングを実現することにある。高い位相幾何学フィーチャのウェハ内の不均一性が最適程度に軽減され、同時に前駆体の使用量が最少になる。これを理解するには、高アスペクト比の「穴」にコーティングするための理論を参照されたい。R.Gordon他著、「A Kinetic Model for Step Coverage by Atomic layer Deposition in Narrow Holes or Trenches」、Chem.Vap.Deposition、2003年、第9巻、第2号、p.73以下参照。単一ALD前駆体の暴露は、穴の上部から底部へのガス拡散輸送によって行われる。第1の前駆体が到達するウェハ上の位置の近くに配置された穴は、適切な十分な用量の単一パルスによって最初に穴上部近くがコーティングされ、次に穴底部がコーティングされる。ウェハに到達する第1の前駆体の位置から遠い穴は、その後になってそれらのフィーチャの底部が飽和状態までコーティングされる。分散垂直注入が行われる反応器は、この条件を効率よく満たすのにより適しているが、水平注入が行われる反応器はこれに関して性能が不十分である。効率の良いコーティングを実現するため、ガスがウェハ表面全体にできるだけ同時に分配される適切に設計されたシャワーヘッド又はガス分配マニホルド(GDM)を利用するのが望ましい。   The advantage of exposing for the same time is to achieve an efficient conformal coating on the wafer area. The non-uniformity in the wafer of high topological features is reduced to an optimal degree, while at the same time the precursor usage is minimized. To understand this, refer to the theory for coating high aspect ratio “holes”. R. Gordon et al., “A Kinetic Model for Step Coverage by Atomic Layer Deposition in Narrow Holes or Trenches”, Chem. Vap. See Deposition, 2003, Vol. 9, No. 2, p.73 et seq. Single ALD precursor exposure is performed by gas diffusion transport from the top to the bottom of the hole. Holes located near the location on the wafer where the first precursor reaches are first coated near the top of the hole with a single pulse of the appropriate sufficient dose and then the bottom of the hole. Holes far from the location of the first precursor reaching the wafer are then coated at the bottom of their features to saturation. Reactors with distributed vertical injection are better suited to satisfy this condition efficiently, whereas reactors with horizontal injection are poor in this regard. In order to achieve an efficient coating, it is desirable to utilize a properly designed showerhead or gas distribution manifold (GDM) where gas is distributed over the wafer surface as simultaneously as possible.

最適なALDシステムには、GDMへの迅速で効率の良い化学前駆体の送出と、さらにはGDMによる反応空間への前駆体の迅速な流入という考慮事項がある(例えば、本発明の譲受人に譲渡され、参考までに本明細書で援用されている、2006年4月5日に提出されたDalton他の米国特許出願第11/278,700号参照)。注入が均一で滞留時間が短いシャワーヘッド(及び分圧の高い化学前駆体源蒸発器)の詳細な設計は、反応器自体の設計とは別個の考慮事項であるが、十分な競争力のあるシステムを得るためには、最適化して最良の手法と統合しなければならない。   An optimal ALD system has considerations for rapid and efficient delivery of chemical precursors to the GDM and even rapid flow of the precursor into the reaction space by the GDM (for example, to the assignee of the present invention). (See Dalton et al. US Patent Application No. 11 / 278,700 filed Apr. 5, 2006, assigned and incorporated herein by reference). The detailed design of a showerhead (and high partial pressure chemical precursor source evaporator) with uniform injection and short residence time is a separate consideration from the design of the reactor itself, but is sufficiently competitive To obtain a system, it must be optimized and integrated with the best method.

要するに、本発明に従って構成された高性能システムには、GDMと最適化された反応室設計によって高分圧の前駆体蒸気を迅速に送り出すことが可能な化学前駆体源が含まれている。本開示のため、化学前駆体源/送出装置、DGM、反応器は互いにモジュール式であって、個別に最適化される。しかし、上述のように、高い位相幾何学フィーチャの効率の良い均一なコーティングには、ウェハの中心とエッジにおけるほぼ同じ時間にわたる軸対称暴露と、ウェハのエッジにおける流れに関して軸対称な反応器設計を利用するのが有利である。   In summary, a high performance system constructed in accordance with the present invention includes a chemical precursor source capable of rapidly delivering high partial pressure precursor vapors with GDM and an optimized reaction chamber design. For the purposes of this disclosure, the chemical precursor source / delivery device, DGM, and reactor are modular with each other and are individually optimized. However, as mentioned above, an efficient and uniform coating of high topological features requires an axisymmetric exposure at approximately the same time at the center and edge of the wafer and an axisymmetric reactor design with respect to the flow at the edge of the wafer. It is advantageous to use it.

軸対称流れの利点を考慮するにあたって、暴露だけでなく反応物と副産物の除去中の対称流れの利点について論考する。方位角対称に前駆体を除去する重要性は、ウェハのエッジまわりの全方位角点における寄生CVDの発生を最小限に抑えることに関連している。さらに、方位角が対称をなすか否かにかかわらず、その設計によってウェハスロット弁に関連したポケット領域における流れの再循環又は不必要なコーナにおける停滞がもたらされる場合、渦が生じる可能性があり、前駆体除去/パージ期間に前駆体残留物が存在し、寄生CVDを生じる可能性がある。   In considering the benefits of axisymmetric flow, we discuss the benefits of symmetric flow during removal of reactants and byproducts as well as exposure. The importance of removing the precursor in azimuth symmetry is related to minimizing the occurrence of parasitic CVD at all azimuth points around the wafer edge. In addition, vortices can occur if the design results in flow recirculation in the pocket area associated with the wafer slot valve or unnecessary stagnation in the corners, regardless of whether the azimuth is symmetric. Precursor residue is present during the precursor removal / purge period and can result in parasitic CVD.

従って、設計開始の制約条件は次の通りである。
a.注入流が目標加工物に対して軸対称形状のGDMに有利に働く。例えば、これは、その中心が堆積が行われることになる円形ウェハ(又は他の加工物)又は一群の円形ウェハ(又は加工物)の中心とアライメントがとれる(少なくとも加工位置につくと)、円形GDMとすることが可能である。
b.ウェハが矩形スロット弁を介したロボット操作による水平移動を利用してヒータサセプタ上に配置される。
c.下流ポンプに通じるポンピングポートがウェハ中心軸に対して軸外とすることができる。
d.反応空間(シャワーヘッドとウェハ表面との間の容積)を最小化される。
e.下流容積を最小にして、パージ時間を長くするガス膨張を最小限に抑え、(不必要な)下流狭窄部の利用を排除して、反応空間から下流ポンプへのコンダクタンスを最大にできる。
f.下流ポンプの実効ポンピング速度を改変して、ウェハに対するALD反応効率を向上させるため、ガス注入口の注入点の下流側における制限狭窄部を用いずにマルチレベルフローが実現される。
Therefore, the constraint conditions for starting the design are as follows.
a. The injected flow favors a GDM that is axisymmetric with respect to the target workpiece. For example, it can be circular (at least when it is in the processing position) aligned with the center of a circular wafer (or other workpiece) or group of circular wafers (or workpieces) that will be deposited. It can be GDM.
b. A wafer is placed on the heater susceptor using horizontal movement by robot operation via a rectangular slot valve.
c. The pumping port leading to the downstream pump can be off-axis with respect to the wafer central axis.
d. The reaction space (volume between the showerhead and the wafer surface) is minimized.
e. Minimizing the downstream volume, minimizing gas expansion that lengthens the purge time, eliminating the use of (unnecessary) downstream constrictions, and maximizing conductance from the reaction space to the downstream pump.
f. In order to improve the ALD reaction efficiency for the wafer by modifying the effective pumping speed of the downstream pump, a multi-level flow is realized without using a constricted constriction portion downstream of the injection point of the gas injection port.

ALDサイクル時間(CT)は、第1の前駆体の暴露と、それに後続する第1の前駆体の未使用部分や第1の前駆体の反応副産物の除去(又は「パージ」)と、それに後続する第2の前駆体の暴露と、第2の駆体の未使用部分や第2の前駆体の反応副産物の除去から構成される。これら4つのサイクル時間要素の和がALD CTである。   The ALD cycle time (CT) is the exposure of the first precursor, followed by removal of unused portions of the first precursor and / or reaction by-products of the first precursor (or “purge”), followed by Exposure of the second precursor and removal of unused portions of the second precursor and reaction by-products of the second precursor. The sum of these four cycle time elements is ALD CT.

本発明のアプローチでは、反応空間容積を最小にするため、ヒータサセプタのエッジに案内ポンピング導管を取り付けることによって限定流路が形成される。この設計によれば、流路ができるだけウェハの近くに配置され、ヒータサセプタに機械的に取り付けられたフローリングの形態をとる。垂直可動サセプタに取り付けられた環状導管フローリングを用いることによって、除去期間が大幅に短縮され、CTが改善される。   In the approach of the present invention, a limited flow path is formed by attaching a guide pumping conduit to the edge of the heater susceptor to minimize the reaction space volume. This design takes the form of a flooring where the flow path is located as close as possible to the wafer and mechanically attached to the heater susceptor. By using an annular conduit flooring attached to a vertically movable susceptor, the removal period is greatly reduced and CT is improved.

フローリング40は、サセプタ36と同じ公称高さに入力オリフィス50付きの導管を備えている。ウェハ(すなわちサセプタ)が加工位置につくと、フローリング40の下方オリフィス42は、スロット弁28の下方エッジの下方又はかなり下方に位置することになる。この制約条件によって、スロット弁から対流がしっかりと分離され、ウェハのエッジとウェハ表面のすぐ下流における流れの対称性が改善される。これによりディープフローリング(DFR)が適切に形成される。DFRの外側エッジは下流反応室の壁面51の内側に近接して配置され、スロット弁と上方外側の反応器壁面への拡散逆流が最小限に抑えられる。   The flooring 40 includes a conduit with an input orifice 50 at the same nominal height as the susceptor 36. When the wafer (ie, susceptor) is in the processing position, the lower orifice 42 of the flooring 40 will be located below or well below the lower edge of the slot valve 28. This constraint provides a strong separation of convection from the slot valve and improves flow symmetry just downstream of the wafer edge and wafer surface. Thereby, deep flooring (DFR) is appropriately formed. The outer edge of the DFR is placed close to the inside of the downstream reaction chamber wall 51 to minimize diffusion backflow to the slot valve and the upper outer reactor wall.

フローリング40を備えた垂直可動サセプタ36が上昇して、その「上方」すなわち加工位置につくと、DFRの外側表面部品44は、反応器の蓋48の内側に取り付けられた「蓋リング」46の第2の表面部品の底面に極めて近接した位置について、重なる。蓋リングの内側表面とフローリングの外側表面によって、反応物質流の制限表面が形成され、反応空間が限定される。   When the vertically movable susceptor 36 with flooring 40 is raised to its “above” or processing position, the DFR outer surface component 44 is attached to the “lid ring” 46 mounted inside the reactor lid 48. Overlap at a position very close to the bottom surface of the second surface component. The inner surface of the lid ring and the outer surface of the flooring form a limiting surface for the reactant flow, limiting the reaction space.

反復シミュレーションによって、(それでも)少量の反応物質がフローリングの導管内において上流に逆拡散し、蓋リングの外側の故意に分離された領域55に到達することが判明した。この結果、反応器壁面57に望ましくない付着層が形成され、マルチシングルウェハ反応器の場合、故意に独立させた反応器間に過剰な拡散クロストークが生じる。   Iterative simulations have shown that (although) a small amount of reactant is back-diffused upstream in the flooring conduit and reaches a deliberately separated region 55 outside the lid ring. This results in an undesirable adhesion layer on the reactor wall 57 and, in the case of a multi-single wafer reactor, excessive diffusion crosstalk between the intentionally separated reactors.

従って、本発明の実施形態の1つでは、蓋リング46は、加工のための「上方」位置につくと、フローリングの外側表面44の挿入が可能になる凹部を備えるように構成されている。この結果が図4aに示されているように、タングイングループ(TIG)設計である。シミュレーションによると、この設計によって反応器の外側壁面への定常堆積速度がウェハ堆積速度に対して100倍のレベルで低下する。   Accordingly, in one embodiment of the present invention, the lid ring 46 is configured with a recess that allows the outer surface 44 of the flooring to be inserted when in the “up” position for processing. The result is a tongue-in group (TIG) design, as shown in FIG. 4a. According to simulation, this design reduces the steady deposition rate on the outer wall of the reactor at a level 100 times the wafer deposition rate.

フローリング40と蓋リング46に階段状の嵌め合わせ表面形状を備えたTIGを用いることによって、拡散逆流をさらに減少させることが可能になる。この構成が図4bに示されている。この構成では、フローリング40の外側表面が2つの部分44aと44bに分割され、内側部分44aは蓋リング46の内側部分と外側部分の間に形成されたグルーブ52の一部に重なり、外側部分44bはグルーブ52内に延びる。蓋リング46のグルーブ52に重なり、その中に延びるフローリングの内側部分44aと外側部分44bは、全体的に階段状構造に似ている。   By using a TIG having a stepped mating surface shape for the flooring 40 and the lid ring 46, it is possible to further reduce the diffusion backflow. This configuration is shown in FIG. 4b. In this configuration, the outer surface of the flooring 40 is divided into two portions 44a and 44b, the inner portion 44a overlapping a portion of the groove 52 formed between the inner and outer portions of the lid ring 46, and the outer portion 44b. Extends into the groove 52. The inner and outer portions 44a and 44b of the flooring that overlap and extend into the groove 52 of the lid ring 46 generally resemble a stepped structure.

図4bの階段状TIG設計に関する逆拡散の補強シミュレーションでは、階段の間隔に応じて定常ウェハ堆積速度に対して逆拡散が10,000倍まで低下することが示された。階段状設計は、別のやり方であればTIG設計において要求される公差の維持を困難にする可能性のある機械的熱膨張問題にも対応する。多段階段状設計(例えば、反応器壁面への拡散輸送をさらに減少させることが可能な、それぞれグルーブ52に順次ある範囲だけ重なり、その中に延びる44aと44bのような複数の部分を含んでいる)は示されていない(本発明の範囲内で検討されているが)。従って、付属フローリング設計については、多段を用いた「汎用階段状」設計にまで至る可能性のある性能の階層が存在する。   The back-diffusion reinforcement simulation for the stepped TIG design of FIG. 4b showed that the back-diffusion is reduced by a factor of 10,000 to the steady wafer deposition rate depending on the step spacing. The stepped design also addresses mechanical thermal expansion issues that could otherwise make it difficult to maintain the tolerances required in TIG designs. A multi-stage step design (eg, including multiple portions such as 44a and 44b, each overlapping a certain extent in each of the grooves 52 and extending therein, which can further reduce diffusive transport to the reactor wall. ) Is not shown (though considered within the scope of the present invention). Therefore, for the attached flooring design, there is a hierarchy of performance that can lead to a “general staircase” design using multiple stages.

代替案として、単一フィン部品(二重リングではなく)が反応器の蓋の内側表面に取り付けられ、プロセス位置にある間にDFRがサセプタの外側リングに対する重なり位置につくと、代替実施形態が実現する。しかしながら、この場合、反応空間は限定されるが、ウェハと同じ垂直高さにある反応器の外側壁面に対する反応物質の拡散は十分に遮断されない。同様に、DFRはスロット弁よりも深く延びるとしなければ、スロット弁に対する再循環と分離が不十分になる。これらの不十分な代替実施形態は、付属のより深い環状DFRと階段状TIG設計の組み合わせに対する一定の選好を示す。このTIG設計によれば、TIG蓋部品の内側エッジを湾曲させて、反応空間におけるデッドスペースをなくすことが可能である。例えば、図4に示すように湾曲した又は図4に示すような輪郭を示すフィレット54を利用することが可能である。   Alternatively, if a single fin component (not a double ring) is attached to the inner surface of the reactor lid and the DFR is in an overlapping position with respect to the outer ring of the susceptor while in the process position, an alternative embodiment would be Realize. However, in this case, the reaction space is limited, but the diffusion of reactants to the outer wall of the reactor at the same vertical height as the wafer is not sufficiently blocked. Similarly, if the DFR does not extend deeper than the slot valve, there will be insufficient recirculation and separation for the slot valve. These inadequate alternative embodiments show certain preferences for the combination of the attached deeper annular DFR and stepped TIG designs. According to this TIG design, the inner edge of the TIG lid part can be curved to eliminate dead space in the reaction space. For example, it is possible to use a fillet 54 that is curved as shown in FIG. 4 or has a contour as shown in FIG.

シミュレーションによれば、図3に示す設計では軸外しポンプのため約10%の非対称流れが生じることが分かっている。この軸外しポンプ位置は、方位角流れのバランスをとるため、約10〜150度の方位角をカバーする方位角バッフル56が中心にくるように配置することによって処理することが可能である。こうした構成が図5に示されている。   Simulations show that the design shown in FIG. 3 results in about 10% asymmetric flow due to the off-axis pump. This off-axis pump position can be handled by placing the azimuth baffle 56 at the center to cover an azimuth of about 10 to 150 degrees to balance the azimuthal flow. Such an arrangement is shown in FIG.

上述のALDシステムは、本発明の譲受人に譲渡され、参考までに本明細書で援用されているLiu他の米国特許出願公開第10/791,030号明細書(この出願では国際公開第03/062490号においてSnehによって提案されているバイレベルフローシステムについても論考されている)に記載のような、下流に制限器のないマルチレベルフロー設計を用いて操作することが可能である。従って、本発明の実施形態によれば、反応空間からガス流を除去するために接続されたポンプ、AFR導管、ポンプに通じる下方室を備える、上述のTIG室構造が提供される。反応空間とAFR導管のバイパスを可能にするガス注入オリフィスが配置されて、オリフィスに注入されたガスがAFRの出力オリフィスの下方のポンプに至る流れに流入するようになっている。従って、このオリフィスによれば、AFR出力オリフィスとポンプ入力オリフィスの間にさらなる制限器を設けることなく、ALDサイクリング中、定期的にポンプの入力に直接通じるポンピング導管にガスを直接注入することが可能になる。場合によっては、こうして注入されるガスは、均一な暴露と均一な滞留時間を実現するため、方位角点から注入することも可能である。TIG設計は、TIG蓋部品の内側エッジを湾曲させて、反応空間のデッドスペースをなくすように施すことが可能であり、例えば、図4に例示のように湾曲した又は図4に例示のような輪郭を示すフィレット54を利用することが可能である。   The ALD system described above is assigned to the assignee of the present invention and is incorporated by reference herein in its entirety. US Patent Application Publication No. 10 / 791,030 to Liu et al. It is possible to operate using a multi-level flow design without a restrictor downstream, as described in the bi-level flow system proposed by Sneh in US / 062490). Thus, according to an embodiment of the present invention, there is provided a TIG chamber structure as described above comprising a pump connected to remove gas flow from the reaction space, an AFR conduit, and a lower chamber leading to the pump. A gas injection orifice is provided that allows the reaction space and the AFR conduit to be bypassed so that the gas injected into the orifice flows into the flow down to the pump below the output orifice of the AFR. Thus, this orifice allows gas to be directly injected into the pumping conduit that leads directly to the pump input periodically during ALD cycling without any additional restriction between the AFR output orifice and the pump input orifice. become. In some cases, the injected gas can also be injected from an azimuth point to achieve uniform exposure and uniform residence time. The TIG design can be applied by curving the inner edge of the TIG lid part to eliminate the dead space of the reaction space, for example curved as illustrated in FIG. 4 or as illustrated in FIG. It is possible to use a fillet 54 that shows an outline.

保守の態様も望ましい。ディープフローリングの内側壁面へのALDによる付着層によって最終的には保守が必要になる。これは、ヒータサセプタへの蓋からの接近を利用し、その後用いられているDFR構成部品を手動で除去し交換するという保守手順によって実施される。用いられているディープフローリングは清浄化して、再利用することが可能である。   Maintenance aspects are also desirable. Maintenance is ultimately required due to the ALD adhesion layer on the inner wall of the deep flooring. This is accomplished by a maintenance procedure that utilizes access from the lid to the heater susceptor and then manually removes and replaces the DFR components used thereafter. The deep flooring used can be cleaned and reused.

シミュレーション方法及びその結果は、補強データと共に参考までに本明細書で援用されている上述の仮特許出願の付録Aに記載されている。   The simulation method and its results are described in Appendix A of the above provisional patent application, incorporated herein by reference, along with reinforcement data.

次に図6を参照すると、上述のものと同様で、上述のTIG嵌め合いリングを備えるように構成された個別反応室を含むことが可能なマルチシングルウェハALDプロセスが示されている。典型的な装置には、それぞれ4つの個別反応室60を備える全部で2つのプロセスモジュール58が含まれる。ここには移送室62のロボットアーム(図示せず)を用いて、基板を2つのロードロック64からプロセスモジュール58に移送し、その反応室60内でコーティングが施されるようにする。大気圧ウェハ移送モジュール68と2つの隣接するロードロックによって、真空移送室への装入と、真空移送室からの取出しのためのウェハ移送が可能になる。冷却ステーション66も設けられている。   Referring now to FIG. 6, there is shown a multi-single wafer ALD process that is similar to that described above and can include individual reaction chambers configured to include the TIG mating ring described above. A typical apparatus includes a total of two process modules 58 with four individual reaction chambers 60 each. Here, a robot arm (not shown) of the transfer chamber 62 is used to transfer the substrate from the two load locks 64 to the process module 58 so that the coating is applied in the reaction chamber 60. An atmospheric pressure wafer transfer module 68 and two adjacent load locks allow wafer transfer for loading and unloading from the vacuum transfer chamber. A cooling station 66 is also provided.

図7に示すように、反応室のそれぞれには基板72を支持するための基板ホルダ70が含まれている。基板72はその領域の大部分を占める基板ホルダ70に載る。基板ホルダ70によって占められていないのは、プロセス室を包囲するシールド74によって形成される反応室60の外周ゾーンだけである。この構成は円形ガス排出ポート76を設けることになる。   As shown in FIG. 7, each reaction chamber includes a substrate holder 70 for supporting the substrate 72. The substrate 72 rests on a substrate holder 70 that occupies most of the area. Only the outer peripheral zone of the reaction chamber 60 formed by the shield 74 surrounding the process chamber is not occupied by the substrate holder 70. This configuration provides a circular gas discharge port 76.

全ての反応室60の蓋又は天井には、円形シールド74によって包囲された給気オリフィス(GDMのような)構成部品78が含まれている。プロセスガスとキャリヤガスのガス注入口80が一緒になって給気オリフィスを形成する。ガス注入口80によって、給気オリフィス78のほぼ全レベルを超えるガス量になるように給気される。給気オリフィス78は、反応室60の方を向くその下側における多数の篩に似たガス放出開口部(この図には示されていない)を特徴とする。プロセスガス及びキャリヤガスはこれらのガス放出開口部を介して反応室60に流入することが可能になる。   The lid or ceiling of all reaction chambers 60 includes a supply orifice (such as GDM) component 78 surrounded by a circular shield 74. The process gas and carrier gas inlets 80 together form an inlet orifice. Gas is supplied by the gas inlet 80 so that the amount of gas exceeds almost the entire level of the supply orifice 78. The air supply orifice 78 features a number of sieve-like gas discharge openings (not shown in this figure) on its lower side facing the reaction chamber 60. The process gas and the carrier gas can flow into the reaction chamber 60 through these gas discharge openings.

すなわち、この実施形態では、ガスは水平に流れ(垂直注入の後)、反応室を半径方向に通って外周領域に向かい、そこでシールド74によって垂直方向の下方に方向転換される。ガスは、次にチャネル内壁82とチャネル外壁84によって形成された円形ガス排出チャネル76を通って流れる。ガス排出チャネル76から流れてくるガスは、プロセスモジュールの中心軸98を包囲する共通ガス排出導管86に流入する。この共通ガス排出導管86にはフォアラインと真空ポンプを接続することが可能である。   That is, in this embodiment, the gas flows horizontally (after vertical injection) and passes radially through the reaction chamber to the outer peripheral area where it is redirected downward by the shield 74 in the vertical direction. The gas then flows through the circular gas exhaust channel 76 formed by the channel inner wall 82 and the channel outer wall 84. The gas flowing from the gas exhaust channel 76 flows into a common gas exhaust conduit 86 that surrounds the central axis 98 of the process module. The common gas discharge conduit 86 can be connected to a foreline and a vacuum pump.

チャネル内壁82は基板ホルダ70の外壁でもある。基板ホルダ70は、全体が逆さにしたポットのような形状の本体を形成している。ポットのフラットな外壁(又は「底面」)は基板72の支持面を形成している。円筒形ポット壁面の外壁82はガス排出ポート76の内壁を形成している。ギャップによってチャネル内壁82から分離されたチャネル外壁84は、チャネル内壁82に、従って、基板ホルダ70にしっかりと接続されている。このしっかりとした接続のため、リブ又は支柱を利用することが可能である(不図示)。   The channel inner wall 82 is also the outer wall of the substrate holder 70. The substrate holder 70 forms a main body shaped like a pot that is inverted upside down. The flat outer wall (or “bottom surface”) of the pot forms the support surface of the substrate 72. The outer wall 82 of the cylindrical pot wall surface forms the inner wall of the gas discharge port 76. A channel outer wall 84 separated from the channel inner wall 82 by a gap is securely connected to the channel inner wall 82 and thus to the substrate holder 70. Ribs or struts can be utilized for this secure connection (not shown).

チャネル外壁84の上方セグメント90(階段状設計の「蹴上げ」)は、基板ホルダ70がそのプロセス位置につくとシールドに対して半径方向の外側に位置するシーリングリング92のリンググルーブに嵌る。この上方セグメント90において、チャネル外壁84の材料厚は設計に合わせて徐々に薄くなる。シーリングリング92には、チャネル外壁84のセグメント90を入れることが可能な底面の開いたリンググルーブが形成されている。これにより、反応室60とギャップ空間94との間にガスシーリングが設けられる。チャネル外壁(ディープフローリングの)によって、ガス排出導管86の内壁96に対する(ガス拡散流)シール面も形成される。従って、ガス排出導管86を通って流れるガスはギャップ空間94に到達することができない。基板ホルダ70がプロセス位置から装入位置に下ろされると、パイプ形状のチャネル外壁84の外側表面がガス排出導管86の内壁96に沿って移動する(わずかな間隔を保持して)。   The upper segment 90 of the channel outer wall 84 (stepped design “kick up”) fits into the ring groove of the sealing ring 92 located radially outward relative to the shield when the substrate holder 70 is in its process position. In the upper segment 90, the material thickness of the channel outer wall 84 is gradually reduced according to the design. The sealing ring 92 is formed with a ring groove having an open bottom surface into which the segment 90 of the channel outer wall 84 can be inserted. Thereby, a gas sealing is provided between the reaction chamber 60 and the gap space 94. The channel outer wall (of deep flooring) also forms a (gas diffusion flow) sealing surface for the inner wall 96 of the gas exhaust conduit 86. Accordingly, the gas flowing through the gas exhaust conduit 86 cannot reach the gap space 94. As the substrate holder 70 is lowered from the process position to the loading position, the outer surface of the pipe-shaped outer channel wall 84 moves along the inner wall 96 of the gas exhaust conduit 86 (with a slight spacing).

図示のように、ALD装置は中心軸98に対して対称にすることが可能である。リフトピン100(基板ホルダ70の開口部102を介して機能する)を設けることも可能である。基板ホルダ70はペデスタル104によって支持され、反応室は上部106を備えることが可能である。   As shown, the ALD device can be symmetric with respect to the central axis 98. It is also possible to provide a lift pin 100 (functioning through the opening 102 of the substrate holder 70). The substrate holder 70 is supported by the pedestal 104 and the reaction chamber can include an upper portion 106.

図7に示す位置から始めると、リフトピン100が引っ込んだ状態にあるので、基板72は接触点108、110に位置する。図8には、反応器60内においてその下方(装入/取出し)位置にある基板ホルダが示されている。基板72を反応器に送り込む及び反応器から送り出すため、駆動機構(不図示)によって図7に示す引っ込んだ位置から基板支持位置に押しやられるリフトピン100を用いて基板を持ち上げ、装入及び取出し移送を行うことが可能な高さより上方の位置でリフトピンによって支持することが可能である。こうして、基板を順次反応室に装入すること及び反応室から取り出すことが可能になる。   Starting from the position shown in FIG. 7, since the lift pins 100 are retracted, the substrate 72 is positioned at the contact points 108 and 110. FIG. 8 shows the substrate holder in its lower (loading / unloading) position in the reactor 60. In order to send the substrate 72 to and from the reactor, the drive mechanism (not shown) lifts the substrate using the lift pins 100 pushed from the retracted position to the substrate support position shown in FIG. It can be supported by lift pins at a position above the possible height. In this way, it becomes possible to sequentially load and remove substrates from the reaction chamber.

図8には、チャネル外壁84のセグメント90がシーリングリング92のリンググルーブ114に挿入されるシール界面の拡大図が示されいる。   FIG. 8 shows an enlarged view of the seal interface where the segment 90 of the channel outer wall 84 is inserted into the ring groove 114 of the sealing ring 92.

従って、基板ホルダがプロセス位置につくと、基板ホルダにしっかり接続されたチャネル壁の上部セグメントが、シールドによって覆われた円形シーリングリングのグルーブに嵌る。円形シールド74とシーリングリング92は一体化してシングルピース部品にすることが可能である。円形シールド74は、プロセス室の外周壁を形成しており、給気オリフィスから垂直方向の下方に延びて、その内壁82と外壁84によって囲まれ、内壁が基板ホルダ70にしっかり接続されているガス排出チャネル76まで達している。基板ホルダが、基板表面における層成長が生じる上述のプロセス位置から装入/取出し位置まで下ろされると、個々のプロセス室間のギャップ空間が開放される。この装入及び取出し位置において、基板ホルダはギャップ空間の下方に位置する。基板ホルダはリフトピンを貫通させるための開口部を特徴とする。リフトピンはリフト機構によって持ち上げられて、基板を下から持ち上げ、基板ホルダから引き離す。装入及び取出し操作を実施するため、反応室は、ロボットアームを反応室内に入れることを可能にする側方スロット開口部を特徴とする。基板ホルダは、上述のように基板ホルダを垂直方向にシフトさせることが可能なリフトシャフトによって運ばれる。   Thus, when the substrate holder is in the process position, the upper segment of the channel wall firmly connected to the substrate holder fits into the groove of the circular sealing ring covered by the shield. The circular shield 74 and the sealing ring 92 can be integrated into a single piece part. The circular shield 74 forms the outer peripheral wall of the process chamber, extends vertically downward from the air supply orifice, is surrounded by its inner wall 82 and outer wall 84, and the inner wall is firmly connected to the substrate holder 70. The discharge channel 76 is reached. When the substrate holder is lowered from the aforementioned process position where layer growth on the substrate surface occurs to the loading / unloading position, the gap space between the individual process chambers is opened. In this loading and unloading position, the substrate holder is located below the gap space. The substrate holder is characterized by an opening for penetrating the lift pins. The lift pins are lifted by a lift mechanism to lift the substrate from below and pull it away from the substrate holder. To perform the loading and unloading operations, the reaction chamber features a side slot opening that allows the robotic arm to enter the reaction chamber. The substrate holder is carried by a lift shaft that can shift the substrate holder vertically as described above.

これで、反応器壁面への化学輸送を最小限に抑えて、対称流を生じる最小ALD反応容積に関して定義された小体積対称流れ(SVSF)装置の説明を終えることにする。特定の例証となる実施形態について論考してきたが、本発明はその制限を受けることを意図したものではなく、付属の請求項によってのみ判定すべきである。   This concludes the description of the small volume symmetric flow (SVSF) apparatus defined with respect to the minimum ALD reaction volume that produces symmetric flow with minimal chemical transport to the reactor wall. While specific illustrative embodiments have been discussed, the invention is not intended to be limited thereto, but should only be determined by the appended claims.

垂直前駆体注入と半径/垂直流ポンピングを行うALD反応器を例示した図である。FIG. 2 illustrates an ALD reactor with vertical precursor injection and radius / vertical flow pumping. スロット弁とALD装置内における半径ガス流の対称性を崩す軸外し下流ポンプを例示した図である。It is the figure which illustrated the off-axis downstream pump which destroys the symmetry of the radial gas flow in a slot valve and an ALD apparatus. 本発明の実施形態の1つに従って構成されたALD装置内におけるディープフローリング(DFR)、ウェハスロット弁位置、及び、スロット弁の下方にあるDFRのオリフィスの相対的配向を例示した図である。FIG. 5 illustrates a deep flooring (DFR), wafer slot valve position, and relative orientation of a DFR orifice below the slot valve in an ALD apparatus configured in accordance with one embodiment of the present invention. 代替階段形状設計とDFRにガスを導く成形フィレットによる反応空間の隅のデッドゾーンの除去を示す、本発明の実施形態の1つによるタングイングルーブ(TIG)構造のALD装置の詳細図である。FIG. 4 is a detailed view of an ALD apparatus with a tongue-in-groove (TIG) structure according to one of the embodiments of the present invention showing an alternative staircase shape design and removal of dead zones at the corners of the reaction space by forming fillets that direct gas to the DFR. 本発明の実施形態の1つによるALD装置の上流の流れを対称にする下流バッフルの利用を例示した図である。FIG. 6 illustrates the use of a downstream baffle to symmetrize the upstream flow of an ALD apparatus according to one embodiment of the present invention. 本発明の実施形態の1つによって構成された、それぞれ、4つの反応室と関連ロードロックを備える移送室が設けられた全部で2つのプロセスモジュールを装備するALDマルチシングルウェハ(MSW)プロセス機器のレイアウトの平面図である。An ALD multi-single wafer (MSW) process equipment comprising a total of two process modules each provided with a transfer chamber with four reaction chambers and associated load locks, constituted by one of the embodiments of the present invention. It is a top view of a layout. 図6に示すMSW機器の反応室の断面図である。It is sectional drawing of the reaction chamber of MSW apparatus shown in FIG. その垂直可動サセプタがその低(装入/取出し)位置にある図6に示すMSW機器の反応室をさらに詳細に例示した図である。FIG. 7 is a diagram illustrating in more detail the reaction chamber of the MSW device shown in FIG. 6 with its vertically movable susceptor in its low (loading / unloading) position.

Claims (16)

ガス導管として構成され、垂直可動ヒータサセプタが加工位置につくと前記反応室装置のウェハ輸送スロット弁の底面より下方に延びる出口ポートを備える環状付属フローリングに結合された前記ヒータサセプタが含まれている、反応室装置。   The heater susceptor is configured as a gas conduit and is coupled to an annular attached flooring with an outlet port extending below the bottom surface of the wafer transport slot valve of the reaction chamber apparatus when the vertically movable heater susceptor is in the processing position. , Reaction chamber equipment. 外周が環状付属フローリング導管に結合されているヒータサセプタを含み、前記フローリング導管が、前記ヒータサセプタが加工位置につくと前記フローリングの底面対するウェハ位置の上方とウェハ位置の下方における前記反応器の外部空間を分離する外部表面をエッジに備えることを特徴とする、反応室装置。   A heater susceptor having an outer periphery coupled to an annular attached flooring conduit, the flooring conduit being external to the reactor above and below the wafer position relative to the bottom surface of the flooring when the heater susceptor is in the processing position. Reaction chamber apparatus, characterized in that the edge is provided with an external surface separating the spaces. 外周が環状付属フローリング導管に結合されているヒータサセプタが含まれており、前記フローリング導管が、前記ヒータサセプタが加工位置につくとウェハ位置の上方における前記反応室の外部空間を前記反応室の限定反応空間から分離する外部表面をエッジに備え、そのエッジは前記反応器の蓋に取り付けられた環状リングに近接しており、前記環状リングと前記導管の外側部材によってタングイングルーブ(TIG)構造が形成されていることを特徴とする、反応室装置。   A heater susceptor having an outer periphery coupled to an annular attached flooring conduit is included, and the flooring conduit defines a space outside the reaction chamber above the wafer position when the heater susceptor is in a processing position. An outer surface separated from the reaction space is provided at the edge, the edge being adjacent to an annular ring attached to the reactor lid, and a tongue-in groove (TIG) structure is formed by the annular ring and the outer member of the conduit. A reaction chamber device, characterized in that it is formed. 前記TIG構造に下流ガスの拡散逆流を前記装置の外部空間に限定する働きをする階段形状が含まれることを特徴とする請求項3に記載の反応室装置。   The reaction chamber apparatus according to claim 3, wherein the TIG structure includes a stepped shape that serves to limit the diffusion and backflow of the downstream gas to the external space of the apparatus. 外周が環状付属フローリング導管に結合されている垂直可動サセプタが含まれており、前記環状付属フローリングが前記反応室の軸心中心に対して軸外に配置された下流ポンプオリフィスに排出反応ガスを送るように構成されていることを特徴とする、反応室装置。   A vertically movable susceptor, the outer periphery of which is coupled to an annular attached flooring conduit, is included, and the annular attached flooring delivers exhaust reaction gas to a downstream pump orifice disposed off-axis relative to the axial center of the reaction chamber The reaction chamber apparatus is configured as described above. さらに、環状付属フローリングの下方オリフィスと下流ポンプの間に配置された下流バッフルが含まれることを特徴とする請求項5に記載の反応室装置。   6. The reaction chamber apparatus according to claim 5, further comprising a downstream baffle disposed between the lower orifice of the annular attached flooring and the downstream pump. さらに、前記反応室からガス流を除去するために結合されたポンプ、前記フローリング導管、前記ポンプに通じる下方室が含まれることを特徴とする請求項3に記載の反応室装置。   4. The reaction chamber apparatus of claim 3, further comprising a pump coupled to remove gas flow from the reaction chamber, the flooring conduit, and a lower chamber leading to the pump. さらに、前記反応室及び前記フローリング導管のバイパスを可能にするために結合されたガス注入オリフィスが含まれ、前記オリフィスに注入されたガスが、前記フローリングの出力オリフィスの下方のポンプに至る流れに流入するようになっていることを特徴とする請求項7に記載の反応室装置。   In addition, a gas injection orifice coupled to allow bypassing of the reaction chamber and the flooring conduit is included, and the gas injected into the orifice flows into the flow leading to the pump below the output orifice of the flooring. The reaction chamber apparatus according to claim 7, wherein the reaction chamber apparatus is configured as follows. 前記ガス注入オリフィスが、ガスを方位角点から注入するように構成されていることを特徴とする請求項8に記載の反応室装置。   The reaction chamber apparatus according to claim 8, wherein the gas injection orifice is configured to inject gas from an azimuth point. 前記フローリングオリフィスにオリフィス面における穴の形態をなす制限器が含まれることを特徴とする、請求項8に記載の反応室装置。   9. The reaction chamber apparatus of claim 8, wherein the flooring orifice includes a restrictor in the form of a hole in the orifice surface. 前記穴がさまざまな方位角方向においてさまざまに構成されていることを特徴とする請求項10に記載の反応室装置。   The reaction chamber apparatus according to claim 10, wherein the hole is variously configured in various azimuth directions. 前記蓋の内側エッジが湾曲していることを特徴とする請求項3に記載の反応室装置。   The reaction chamber apparatus according to claim 3, wherein an inner edge of the lid is curved. 複数のプロセスモジュールが含まれているALD装置であって、各プロセスモジュールが1つ以上の反応室を備え、各反応室にプロセス位置と装入/取出し位置の間で垂直に移動可能な基板ホルダが収容されており、前記基板ホルダの各個別ホルダ毎に、前記それぞれの基板ホルダがそのプロセス位置につくと前記それぞれの反応室内にガス排出ポートが形成されるように、そのそれぞれの反応室内における配向が施され、前記ポートがそれぞれの反応室の外周壁と前記それぞれの基板ホルダのエッジ面によって形成されることを特徴とする、ALD装置。   An ALD apparatus including a plurality of process modules, each process module having one or more reaction chambers, and each reaction chamber being vertically movable between a process position and a loading / unloading position For each individual holder of the substrate holder, so that a gas discharge port is formed in the respective reaction chamber when the respective substrate holder is in its process position. An ALD apparatus characterized in that an orientation is applied and the port is formed by an outer peripheral wall of each reaction chamber and an edge surface of each substrate holder. 前記ガス排出ポートチャネルの壁面が前記基板ホルダに取り付けられて、それと共に移動可能であることを特徴とする請求項13に記載の装置。   14. The apparatus of claim 13, wherein a wall surface of the gas exhaust port channel is attached to the substrate holder and is movable therewith. 前記ガス排出ポートチャネルの外壁セグメントの上部セグメントがリンググルーブ内に配置されることを特徴とする請求項13に記載の装置。   The apparatus of claim 13, wherein an upper segment of an outer wall segment of the gas exhaust port channel is disposed within a ring groove. 前記リンググルーブが、各個別反応室の給気ディストリビュータのまわりに嵌る円形シールドのまわりに嵌合することを特徴とする請求項15に記載のALD装置。   The ALD apparatus according to claim 15, wherein the ring groove is fitted around a circular shield that fits around an air supply distributor of each individual reaction chamber.
JP2008542517A 2005-11-22 2006-11-22 Small volume symmetrical flow single wafer ALD system Withdrawn JP2009529223A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
DE102005056326 2005-11-22
US82004206P 2006-07-21 2006-07-21
PCT/US2006/061201 WO2007076195A2 (en) 2005-11-22 2006-11-22 Small volume symmetric flow single wafer ald apparatus

Publications (1)

Publication Number Publication Date
JP2009529223A true JP2009529223A (en) 2009-08-13

Family

ID=38218748

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008542517A Withdrawn JP2009529223A (en) 2005-11-22 2006-11-22 Small volume symmetrical flow single wafer ALD system

Country Status (4)

Country Link
EP (1) EP1957688A2 (en)
JP (1) JP2009529223A (en)
KR (1) KR101505497B1 (en)
WO (1) WO2007076195A2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014097520A1 (en) * 2012-12-20 2014-06-26 キヤノンアネルバ株式会社 Oxidation treatment device, oxidation method, and method for producing electronic device
WO2019087715A1 (en) * 2017-11-02 2019-05-09 東京エレクトロン株式会社 Film formation device, and film formation method
WO2019124099A1 (en) * 2017-12-22 2019-06-27 株式会社村田製作所 Film-forming device
US11891692B2 (en) 2017-12-22 2024-02-06 Murata Manufacturing Co., Ltd. Film-forming device

Families Citing this family (230)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101006177B1 (en) * 2008-10-29 2011-01-07 주식회사 케이씨텍 Atomic layer deposition apparatus
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (en) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. Devices with clean compact zones
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (en) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
KR20200095082A (en) * 2019-01-31 2020-08-10 주식회사 엘지화학 Apparatus of Atomic Layer Deposition
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
DE102019127375A1 (en) * 2019-10-10 2021-04-15 Aixtron Se Gas outlet element of a CVD reactor
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5855675A (en) 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
DE10136767A1 (en) 2001-07-27 2003-02-13 Weinig Michael Ag Moulder and method for adjusting at least one of the spindles of the moulder
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014097520A1 (en) * 2012-12-20 2014-06-26 キヤノンアネルバ株式会社 Oxidation treatment device, oxidation method, and method for producing electronic device
JP6016946B2 (en) * 2012-12-20 2016-10-26 キヤノンアネルバ株式会社 Oxidation treatment apparatus, oxidation method, and electronic device manufacturing method
JPWO2014097520A1 (en) * 2012-12-20 2017-01-12 キヤノンアネルバ株式会社 Oxidation treatment apparatus, oxidation method, and electronic device manufacturing method
US9905441B2 (en) 2012-12-20 2018-02-27 Canon Anelva Corporation Oxidation process apparatus, oxidation method, and method for manufacturing electronic device
WO2019087715A1 (en) * 2017-11-02 2019-05-09 東京エレクトロン株式会社 Film formation device, and film formation method
WO2019124099A1 (en) * 2017-12-22 2019-06-27 株式会社村田製作所 Film-forming device
JPWO2019124099A1 (en) * 2017-12-22 2020-09-10 株式会社村田製作所 Film deposition equipment
US11377731B2 (en) 2017-12-22 2022-07-05 Murata Manufacturing Co., Ltd. Film-forming device
US11891692B2 (en) 2017-12-22 2024-02-06 Murata Manufacturing Co., Ltd. Film-forming device

Also Published As

Publication number Publication date
KR101505497B1 (en) 2015-03-24
KR20080075179A (en) 2008-08-14
WO2007076195A3 (en) 2008-01-24
EP1957688A2 (en) 2008-08-20
WO2007076195A2 (en) 2007-07-05

Similar Documents

Publication Publication Date Title
JP2009529223A (en) Small volume symmetrical flow single wafer ALD system
US20080072821A1 (en) Small volume symmetric flow single wafer ald apparatus
US11742189B2 (en) Multi-zone reactor, system including the reactor, and method of using the same
TWI671792B (en) Substrate processing apparatus
TWI722871B (en) Lid and lid assembly kit for substrate processing chamber
US7981472B2 (en) Methods of providing uniform gas delivery to a reactor
US20060011298A1 (en) Showerhead with branched gas receiving channel and apparatus including the same for use in manufacturing semiconductor substrates
KR102305854B1 (en) Micro-Volume Deposition Chamber
US20090017637A1 (en) Method and apparatus for batch processing in a vertical reactor
US20070022959A1 (en) Deposition apparatus for semiconductor processing
JP2008540840A (en) Reactor of atomic layer deposition apparatus with multiple gas inlets
JP2011042876A (en) Gas delivery apparatus for atomic layer deposition
TWI764225B (en) Substrate processing apparatus, manufacturing method of semiconductor device, substrate holder, and program
KR20200000582U (en) Shower head and process chamber containing same
TW202006179A (en) Gas distribution assembly for improved pump-purge and precursor delivery
TWI476297B (en) Vortex chamber lids for atomic layer deposition
KR20190119152A (en) Diffuser Design for Flowable CVD
CN115668436A (en) Remote Plasma Cleaning (RPC) directional flow apparatus
US11725284B2 (en) Substrate processing apparatus and substrate processing method
US20220081768A1 (en) Processing apparatus
JP2024007511A (en) Semiconductor processing apparatus for processing multiple substrates with cross flow
JP2022189179A (en) Shower head and substrate treatment device
CN117352444A (en) Spindle and lifter pin drive assembly with cleaning mechanism
WO2019152514A1 (en) Gas injector insert segment for spatial ald
KR20030039670A (en) Semiconductor manufacturing apparatus for progressing a process after suppling process gas in tube

Legal Events

Date Code Title Description
A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20100202