WO2019152514A1 - Gas injector insert segment for spatial ald - Google Patents

Gas injector insert segment for spatial ald Download PDF

Info

Publication number
WO2019152514A1
WO2019152514A1 PCT/US2019/015842 US2019015842W WO2019152514A1 WO 2019152514 A1 WO2019152514 A1 WO 2019152514A1 US 2019015842 W US2019015842 W US 2019015842W WO 2019152514 A1 WO2019152514 A1 WO 2019152514A1
Authority
WO
WIPO (PCT)
Prior art keywords
gas
slot
injector insert
peripheral end
gas injector
Prior art date
Application number
PCT/US2019/015842
Other languages
French (fr)
Inventor
Kevin Griffin
Mario D. Silvetti
Keiichi Tanaka
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Publication of WO2019152514A1 publication Critical patent/WO2019152514A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas

Definitions

  • Embodiments of the disclosure generally relate to apparatus for plasma enhanced substrate processing. More particularly, embodiments of the disclosure relate to modular microwave plasma sources for use with processing chambers like spatial atomic layer deposition batch processors.
  • Atomic Layer Deposition ALD and Plasma-Enhanced ALD (PEALD) are deposition techniques that offer control of film thickness and conformality in high- aspect ratio structures. Due to continuously decreasing device dimensions in the semiconductor industry, there is increasing interest and applications that use ALD/PEALD. in some cases, only PEALD can meet specifications for desired film thickness and conformality
  • One or more embodiments of the disclosure are directed to gas injector inserts comprising a wedge-shaped housing with a first opening and a second opening.
  • the wedge-shaped housing has a back face and front face, an inner peripheral end and an outer peripheral end defining a length and elongate axis.
  • a first side and a second side of the housing define a width. The width increases from the inner peripheral end to the outer peripheral end.
  • the first opening is in the back face of the housing and is in fluid communication with at least one first slot in the front face of the housing.
  • the first slot has an elongate axis extending from fhe inner peripheral end to the outer peripheral end of the housing.
  • the second opening is in the back face of the housing and is in fluid communication with at least one second slot in the front face of the housing.
  • the second slot has an elongate axis extending from the inner peripheral end to the outer peripheral end of the housing.
  • Additional embodiments of the disclosure are directed to gas distribution assemblies comprising an opening sized for a gas injector insert.
  • the gas injector insert is configured to fit within the opening.
  • FIG. 1 shows a schematic cross-sectional view of a substrate processing system in accordance with one or more embodiments of the disclosure:
  • FIG. 2 shows a perspective view of a substrate processing system in accordance with one or more embodiment of the disclosure:
  • FIG. 3 shows a schematic of a substrate processing system in accordance with one or more embodiment of the disclosure
  • FIG. 4 shows a schematic view of a front of an injector unit in accordance with one or more embodiment of the disclosure
  • FIG. 5 shows a schematic view of a front of a gas distribution assembly in accordance with one or more embodiment of the disclosure
  • FIG. 6 shows a schematic view of a front of an injector unit in accordance with one or more embodiment of the disclosure
  • FIG. 7 shows a perspective view of a gas injector insert in accordance with one or more embodiments of the disclosure
  • FIG. 8 shows a front view of a gas injector insert in accordance with one or more embodiments of the disclosure
  • FIG. 9 shows an isometric view of an injector unit with a gas injector insert in accordance with one or more embodiments of the disclosure
  • FIG. 10 shows a partial cross -sectional view of a gas injector insert in a gas distribution assembly in accordance with one or more embodiment of the disclosure
  • FIG. 11 shows a cross-sectional view of the gas injector insert of FIG. 7 taken along line 1 1 -1 1’;
  • FIG. 12 shows a partial perspective view of a gas path for a gas injector insert in accordance with one or more embodiment of the disclosure
  • FIG. 13 shows a cross-sectional view of the gas injector insert of FIG. 7 taken along line 13-13’;
  • FIG. 14 shows a partial perspective view of a gas path for a gas injector insert in accordance with one or more embodiment of the disclosure
  • FIG. 15 shows a cross-sectional view of the gas injector insert of FIG. 7 taken along line 15-15’
  • FIG. 16 shows a cross-sectional view of the gas Injector insert of FIG. / taken along line 16-16’;
  • FIG.17 shows a front view of a gas injector insert in accordance with one or more embodiment of the disclosure
  • FIG. 18 shows a cross-sectional schematic view of a gas injector insert in accordance with one or more embodiments of the disclosure
  • FIG. 19 shows a cross-sectional schematic view of a gas injector insert in accordance with one or more embodiments of the disclosure.
  • FIG. 20 shows a partial cross-sectional schematic view of a gas injector insert in a gas distribution assembly in accordance with one or more embodiments of the disclosure.
  • Embodiments of the disclosure provide a substrate processing system for continuous substrate deposition to maximize throughput and improve processing efficiency.
  • One or more embodiments of the disclosure are described with respect to a spatial atomic layer deposition chamber.
  • substrate and“wafer” are used interchangeably, both referring to a surface, or portion of a surface, upon which a process acts. It will also be understood by those skilled in the art that reference to a substrate can also refer to only a portion of the substrate, unless the context clearly indicates otherwise. Additionally, reference to depositing on a substrate can mean both a bare substrate and a substrate with one or more films or features deposited or formed thereon.
  • the terms“reactive gas”,“precursor”,“reactant”, and the like are used interchangeably to mean a gas that includes a species which is reactive with a substrate surface.
  • a first “reactive gas” may simply adsorb onto the surface of a substrate and be available for further chemical reaction with a second reactive gas.
  • the terms“pie shaped” and“wedge-shaped” are used interchangeably to describe a body that is a sector of a circle.
  • a wedge-shaped segment may be a fraction of a circle or disc-shaped structure and multiple wedge-shaped segments can be connected to form a circular body.
  • the sector can be defined as a part of a circle enclosed by two radii of a circle and the intersecting arc.
  • the inner edge of the pie shaped segment can come to a point or can be truncated to a flat edge or rounded in some embodiments, the sector can be defined as a portion of a ring or annulus.
  • each of the gas injector assemblies comprises a plurality of elongate gas ports which extend in a direction substantially perpendicular to the path traversed by a substrate, where a front face of the gas distribution assembly is substantially parallel to the platen.
  • substantially perpendicular means that the general direction of movement of the substrates is along a plane approximately perpendicular (e.g., about 45 q to 90 e ) to the axis of the gas ports.
  • the axis of the gas port can be considered to be a line defined as the mid-point of the width of the port extending along the length of the port
  • FIG. 1 shows a cross-section of a processing chamber 100 including a gas distribution assembly 120, also referred to as injectors or an injector assembly, and a susceptor assembly 140.
  • the gas distribution assembly 120 is any type of gas delivery device used in a processing chamber.
  • the gas distribution assembly 120 includes a front surface 121 which faces the susceptor assembly 140.
  • the front surface 121 can have any number or variety of openings to deliver a flow of gases toward the susceptor assembly 140.
  • the gas distribution assembly 120 also includes an outer peripheral edge 124 which in the embodiments shown, is substantially round.
  • gas distribution assembly 120 can vary depending on the particular process being used. Embodiments of the disclosure can be used with any type of processing system where the gap between the susceptor and the gas distribution assembly is controlled. While various types of gas distribution assemblies can be employed (e.g., showerheads), embodiments of the disclosure may be particularly useful with spatial ALD gas distribution assemblies which have a plurality of substantially parallel gas channels. As used In this specification and the appended claims, the term“substantially parallel” means that the elongate axis of the gas channels extend in the same general direction. There can be slight imperfections in the parallelism of the gas channels.
  • the plurality of substantially parallel gas channels can include at least one first reactive gas A channel, at least one second reactive gas B channel, at least one purge gas P channel and/or at least one vacuum V channel.
  • the gases flowing from the first reactive gas A channel(s), the second reactive gas B channei(s) and the purge gas P channel(s) are directed toward the top surface of the wafer. Some of the gas flow moves horizontally across the surface of the wafer and out of the processing region through the purge gas P channei(s). A substrate moving from one end of the gas distribution assembly to the other end will be exposed to each of the process gases in turn, forming a layer on the substrate surface.
  • the gas distribution assembly 120 is a rigid stationary body made of a single injector unit in one or more embodiments, the gas distribution assembly 120 is made up of a plurality of individual sectors (e.g., injector units 122), as shown in FIG. 2. Either a single piece body or a multi-sector body can be used with the various embodiments of the disclosure described.
  • the susceptor assembly 140 is positioned beneath the gas distribution assembly 120.
  • the susceptor assembly 140 includes a top surface 141 and at least one recess 142 in the top surface 141.
  • the susceptor assembly 140 also has a bottom surface 143 and an edge 144.
  • the recess 142 can be any suitable shape and size depending on the shape and size of the substrates 60 being processed in the embodiment shown in FIG 1 , the recess 142 has a flat bottom to support the bottom of the wafer; however, the bottom of the recess can vary in some embodiments, the recess has step regions around the outer peripheral edge of the recess which are sized to support the outer peripheral edge of the wafer.
  • the amount of the outer peripheral edge of the wafer that is supported by the steps can vary depending on, for example, the thickness of the wafer and the presence of features already present on the back side of the wafer.
  • the recess 142 in the top surface 141 of the susceptor assembly 140 is sized so that a substrate 60 supported in the recess 142 has a top surface 61 substantially coplanar with the top surface 141 of the susceptor 140.
  • the term“substantially coplanar” means that the top surface of the wafer and the top surface of the susceptor assembly are coplanar within ⁇ 0.2 mm.
  • the top surfaces are coplanar within ⁇ 0.15 mm, ⁇ 0.10 mm or ⁇ 0.05 mm.
  • the recess 142 of some embodiments supports a wafer so that the inner diameter (ID) of the wafer is located within the range of about 170 mm to about 185 m from the center (axis of rotation) of the susceptor.
  • the recess 142 supports a wafer so that the outer diameter (OD) of the wafer is located in the range of about 470 mm to about 485 mm from the center (axis of rotation) of the susceptor.
  • the susceptor assembly 140 of FIG. 1 includes a support post 160 which is capable of lifting, lowering and rotating the susceptor assembly 140.
  • the susceptor assembly may include a heater, or gas lines, or electrical components within the center of the support post 160.
  • the support post 160 may be the primary means of increasing or decreasing the gap between the susceptor assembly 140 and the gas distribution assembly 120, moving the susceptor assembly 140 into proper position.
  • the susceptor assembly 140 may also include fine tuning actuators 162 which can make micro-adjustments to susceptor assembly 140 to create a predetermined gap 170 between the susceptor assembly 140 and the gas distribution assembly 120.
  • the gap 170 distance is in the range of about 0.1 m to about 5.0 mm, or in the range of about 0.1 mm to about 3.0 mm, or in the range of about 0.1 mm to about 2.0 mm, or in the range of abouf 0.2 mm to about 1 .8 m, or in the range of about 0.3 mm to about 1.7 mm, or in the range of about 0 4 mm to about 1.6 mm, or in the range of about 0 5 mm to about 1.5 m, or in the range of about 0.6 mm to about 1.4 mm, or in the range of about 0.7 mm to about 1 .3 mm, or in the range of about 0.8 mm to about 1.2 mm, or in the range of about 0.9 mm to about 1.1 mm, or about 1 mm.
  • the processing chamber 100 shown in the Figures is a carousel-type chamber in which the susceptor assembly 140 can hold a plurality of substrates 60
  • the gas distribution assembly 120 may include a plurality of separate injector units 122, each injector unit 122 being capable of depositing a film on the wafer, as the wafer is moved beneath the injector unit.
  • Two pie-shaped injector units 122 are shown positioned on approximately opposite sides of and above the susceptor assembly 140. This number of injector units 122 is shown for illustrative purposes only. It will be understood that more or less injector units 122 can be included.
  • each of the individual pie-shaped injector units 122 may be independently moved, removed and/or replaced without affecting any of the other injector units 122. For example, one segment may be raised to permit a robot to access the region between the susceptor assembly 140 and gas distribution assembly 120 to load/unload substrates 60.
  • Processing chambers having multiple gas injectors can be used to process multiple wafers simultaneously so that the wafers experience the same process flow.
  • the processing chamber 100 has four gas injector assemblies and four substrates 60.
  • the substrates 60 can be positioned between the injector assemblies 30.
  • Rotating 17 the susceptor assembly 140 by 45 ® will result in each substrate 60 which is between gas distribution assemblies 120 to be moved to an gas distribution assembly 120 for film deposition, as illustrated by the dotted circle under the gas distribution assemblies 120.
  • An additional 45 ® rotation would move the substrates 60 away from the injector assemblies 30.
  • spatial ALD injectors a film is deposited on the wafer during movement of the wafer relative to the injector assembly.
  • the susceptor assembly 140 is rotated in increments that prevent the substrates 60 from stopping beneath the gas distribution assemblies 120.
  • the number of substrates 60 and gas distribution assemblies 120 can be the same or different in some embodiments, there is the same number of wafers being processed as there are gas distribution assemblies. In one or more embodiments, the number of wafers being processed are fraction of or an integer multiple of the number of gas distribution assemblies. For example, if there are four gas distribution assemblies, there are 4x wafers being processed, where x is an integer value greater than or equal to one.
  • the processing chamber 100 shown in FIG. 3 is merely representative of one possible configuration and should not be taken as limiting the scope of the disclosure. Here, the processing chamber 100 includes a plurality of gas distribution assemblies 120.
  • gas distribution assemblies also called injector assemblies 30
  • the processing chamber 100 shown is octagonal, however, those skilled in the art will understand that this is one possible shape and should not be taken as limiting the scope of the disclosure.
  • the gas distribution assemblies 120 shown are trapezoidal, but can be a single circular component or made up of a plurality of pie-shaped segments, like that shown in FIG. 2.
  • the embodiment shown in FIG. 3 includes a load lock chamber 180, or an auxiliary chamber like a buffer station.
  • This chamber 180 is connected to a side of the processing chamber 100 to allow, for example the substrates [also referred to as substrates 60) to be loaded/unloaded from the processing chamber 100.
  • a wafer robot may be positioned in the chamber 180 to move the substrate onto the susceptor
  • Rotation of the carousel can be continuous or discontinuous.
  • the wafers are constantly rotating so that they are exposed to each of the injectors in turn.
  • the wafers can be moved to the injector region and stopped, and then to the region 84 between the injectors and stopped.
  • the carousel can rotate so that the wafers move from an inter-injector region across the injector (or stop adjacent the injector) and on to the next inter-injector region where the carousel can pause again. Pausing between the injectors may provide time for additional processing steps between each layer deposition (e.g., exposure to plasma).
  • FIG. 4 shows a sector or portion of a gas distribution assembly 220, which may be referred to as an injector unit 122.
  • the injector units 122 can be used individually or in combination with other injector units. For example, as shown in FIG. 5, four of the injector units 122 of FIG. 4 are combined to form a single gas distribution assembly 220. (The lines separating the four injector units are not shown for clarity.) While the injector unit 122 of FIG. 4 has both a first reactive gas port 125 and a second reactive gas port 135 in addition to purge gas ports 155 and vacuum ports 145, an injector unit 122 does not need ail of these components.
  • a gas distribution assembly 220 in accordance with one or more embodiment may comprise a plurality of sectors [or injector units 122) with each sector being identical or different.
  • the gas distribution assembly 220 is positioned within the processing chamber and comprises a plurality of elongate gas ports 125, 135, 145 in a front surface 121 of the gas distribution assembly 220.
  • the plurality of elongate gas ports 125, 135, 145 and vacuum ports 155 extend from an area adjacent the inner peripheral edge 123 toward an area adjacent the outer peripheral edge 124 of the gas distribution assembly 220.
  • the plurality of gas ports shown include a first reactive gas port 125, a second reactive gas port 135, a vacuum port 145 which surrounds each of the first reactive gas ports and the second reactive gas ports and a purge gas port 155.
  • the ports when stating that the ports extend from at least about an inner peripheral region to at least about an outer peripheral region, however, the ports can extend more than just radially from inner to outer regions.
  • the ports can extend tangentially as vacuum port 145 surrounds reactive gas port 125 and reactive gas port 135. in the embodiment shown in FIGS. 4 and 5, the wedge shaped reactive gas ports 125, 135 are surrounded on all edges, including adjacent the inner peripheral region and outer peripheral region, by a vacuum port 145.
  • each portion of the substrate surface is exposed to the various reactive gases.
  • the substrate will be exposed to, or“see”, a purge gas port 155, a vacuum port 145, a first reactive gas port 125, a vacuum port 145, a purge gas port 155, a vacuum port 145, a second reactive gas port 135 and a vacuum port 145.
  • the substrate has been exposed to gas streams from the first reactive gas port 125 and the second reactive gas port 135 to form a layer.
  • the injector unit 122 shown makes a quarter circle but could be larger or smaller.
  • the injector unit 122 of FIG. 4 shows a gas curtain 150 that separates the reactive gases.
  • the term“gas curtain” is used to describe any combination of gas flows or vacuum that separate reactive gases from mixing.
  • the gas curtain 150 shown in FIG. 4 comprises the portion of the vacuum port 145 next to the first reactive gas port 125, the purge gas port 155 in the middle and a portion of the vacuum port 145 next to the second reactive gas port 135. This combination of gas flow and vacuum can be used to prevent or minimize gas phase reactions of the first reactive gas and the second reactive gas.
  • the combination of gas flows and vacuum from the gas distribution assembly 220 form a separation into a plurality of processing regions 250.
  • the processing regions are roughly defined around the individual reactive gas ports 125, 135 with the gas curtain 150 between 250.
  • the embodiment shown in FIG. 5 makes up eight separate processing regions 250 with eight separate gas curtains 150 between.
  • a processing chamber can have at least two processing region in some embodiments, there are at least three, four, five, six, seven, eight, nine, 10, 1 1 or 12 processing regions.
  • a substrate may be exposed to more than one processing region 250 at any given time.
  • the portions that are exposed to the different processing regions will have a gas curtain separating the two. For example, if the leading edge of a substrate enters a processing region including the second reactive gas port 135, a middle portion of the substrate will be under a gas curtain 150 and the trailing edge of the substrate will be in a processing region including the first reactive gas port 125.
  • a factory interface 280 which can be, for example, a load lock chamber, is shown connected to the processing chamber 100.
  • a substrate 60 is shown superimposed over the gas distribution assembly 220 to provide a frame of reference. The substrate 60 may often sit on a susceptor assembly to be held near the front surface 121 of the gas distribution assembly 120 (also referred to as a gas distribution plate).
  • the substrate 60 is loaded via the factory interface 280 into the processing chamber 100 onto a substrate support or susceptor assembly (see FIG. 3).
  • the substrate 60 can be shown positioned within a processing region because the substrate is located adjacent the first reactive gas port 125 and between two gas curtains 150a, 150b. Rotating the substrate 60 along path 127 will move the substrate counter-clockwise around the processing chamber 100.
  • the substrate 60 will be exposed to the first processing region 250a through the eighth processing region 250h, including all processing regions between. For each cycle around the processing chamber, using the gas distribution assembly shown, the substrate 60 will be exposed to four ALD cycles of first reactive gas and second reactive gas.
  • the conventional ALD sequence in a batch processor maintains chemical A and B flow respectively from spatially separated injectors with pump/purge section between.
  • the conventional ALD sequence has a starting and ending pattern which might result in non-uniformity of the deposited film.
  • the inventors have surprisingly discovered that a time based ALD process performed in a spatial ALD batch processing chamber provides a film with higher uniformity. The basic process of exposure to gas A, no reactive gas, gas B, no reactive gas would be to sweep the substrate under the injectors to saturate the surface with chemical A and B respectively to avoid having a starting and ending pattern form in the film.
  • the inventors have surprisingly found that the time based approach is especially beneficial when the target film thickness is thin (e.g., less than 20 ALD cycles), where starting and ending pattern have a significant impact on the within wafer uniformity performance.
  • the inventors have also discovered that the reaction process to create SiCN, SiCO and SiCON films, as described herein, could not be accomplished with a time-domain process.
  • the amount of time used to purge the processing chamber results in the stripping of material from the substrate surface. The stripping does not happen with the spatial ALD process described because the time under the gas curtain is short.
  • embodiments of the disclosure are directed to processing methods comprising a processing chamber 100 with a plurality of processing regions 25Ga-250h with each processing region separated from an adjacent region by a gas curtain 150.
  • a gas curtain 150 For example, the processing chamber shown in FIG 5.
  • the number of gas curtains and processing regions within the processing chamber can be any suitable number depending on the arrangement of gas flows.
  • the embodiment shown in FIG. 5 has eight gas curtains 150 and eight processing regions 250a-250h
  • the number of gas curtains is genera!iy equal to or greater than the number of processing regions. For example, if region 250a had no reactive gas flow, but merely served as a loading area, the processing chamber would have seven processing regions and eight gas curtains.
  • a plurality of substrates 60 are positioned on a substrate support, for example, the susceptor assembly 140 shown FIGS. 1 and 2.
  • the plurality of substrates 60 are rotated around the processing regions for processing.
  • the gas curtains 150 are engaged (gas flowing and vacuum on) throughout processing including periods when no reactive gas is flowing into the chamber.
  • a first reactive gas A is flowed into one or more of the processing regions 250 while an inert gas is flowed into any processing region 250 which does not have a first reactive gas A flowing into if.
  • an inert gas would be flowing into processing region 250a.
  • the inert gas can be flowed through the first reactive gas port 125 or the second reactive gas port 135.
  • the inert gas flow within the processing regions can be constant or varied in some embodiments, the reactive gas is co-flowed with an inert gas.
  • the inert gas will act as a carrier and diluent. Since the amount of reactive gas, relative to the carrier gas, is small, co-flowing may make balancing the gas pressures between the processing regions easier by decreasing the differences in pressure between adjacent regions.
  • One or more embodiments of the disclosure are directed to hardware gas injector modules that provide multiple gas inlets and multiple gas removal sections within a single module.
  • the number of gas inlets and gas removal sections can be any combination.
  • Some embodiments advantageously provide gas injector inserts that can be retrofit into existing gas distribution assemblies.
  • One or more embodiments advantageously provide injector inserts that allow local gas exchanges and local high and low pressure regions within the modular injector segment.
  • One or more embodiments of the disclosure are directed to injector modules or inserts that improve the removal of reaction by-product.
  • One or more embodiments of the disclosure provide injector modules that minimize or eliminate parasitic CVD that contributes to process non-uniformity and iack of eonformaiity.
  • Some embodiments of the disclosure provide modules that remove byproducts, target desorption of gas trapping, improve deposition uniformity, improve conformality in higher aspect ratio features, reduce in-film contaminates and/or reduce particles
  • One or more embodiments of the disclosure can provide apparatus with multiple localized pump-purge segments to target problematic or difficult precursor removal and desorption without effecting adjacent process regions.
  • lower pressure regions can be achieved which increase gas exchange time and diffusion transport from wafer features and pores.
  • a wail between the purge and pump sections provide reduces pressure for each section allowing lower pressure regions.
  • Some embodiments of the disclosure provide a module that can be used as an insert for the gas distribution assembly.
  • the injector unit 122 illustrated in FIG. 2 can have the combination of pump and purge channels described and can be installed in the gas distribution assembly to target regions where additional removal or purge or both is needed. This allows the ability to disrupt the injector symmetry to control the overall process.
  • FIG. 6 illustrates a front view of an injector unit 122 for a gas distribution assembly similar to that of FIG. 4.
  • the opening 610 can be occupied by an insert (not shown) which will form a uniform component.
  • FIGS. 7 and 8 illustrate a gas injector insert 700 in accordance with one more embodiment of the disclosure.
  • FIG. 8 illustrates a view of the front face 71 1 of a gas injector insert 700.
  • the gas injector insert 700 includes a wedge-shaped housing 710 with a back face 712 and a front face 71 1 , an inner peripheral end 715 and an outer peripheral end 716 and a first side 713 and second side 714.
  • the inner peripheral end 715 and outer peripheral end 716 define the length L and an elongate axis 717 that extends along the length L in the middle of the width of the housing 710.
  • the first side 713 and second side 714 define the width of the housing 710.
  • the width increases from the width W
  • the housing 710 is sized to fit within the opening 610 in the injector unit 122 or gas distribution plate 120.
  • FIG. 9 shows an embodiment of the disclosure in which the housing 710 of the injector insert 700 is shown adjacent a complementarily shaped opening 610 in the injector unit 122.
  • the housing 710 includes a top portion 702 and bottom portion 703 configured to form a flange 704.
  • the flange 704 can be a separate component from the injector insert 700 or integrally formed, as illustrated.
  • the injector insert 700 can be lowered into opening 610 so that the flange 704 rests on ledge 614.
  • FIG. 10 shows another embodiment in which the flange 704 is a separate component connected to the injector insert 700.
  • the flange 704 can be configured to be connected to the back surface 128 of the gas distribution assembly 120, or injector unit 122.
  • the flange 704 can be connected using any suitable components including, but not limited to, the bolts 705 shown.
  • the housing 710 of the gas injector insert 700 is configured so that the front face 71 1 of the gas injector insert 700 is substantially coplanar with the front face 121 of the gas distribution plate 120 or injector unit 122.
  • the term“substantially coplanar” means that the front face 71 1 of the gas injector insert 700 and the front face 121 of the gas distribution plate 120 are coplanar within ⁇ 0.2 mm, ⁇ 0.15 mm, ⁇ 0.10 mm or ⁇ 0.05 mm.
  • the gas injector insert 700 of some embodiments has a first opening 706 and a second opening 707 in the back face 712.
  • the openings can be connected to or connectable to one or more of a gas source and/or a vacuum source (e.g., vacuum pump or foreline).
  • a gas source e.g., vacuum pump or foreline.
  • a vacuum source e.g., vacuum pump or foreline.
  • FIG. 1 1 shows a cross-sectional view of the gas injector insert 700 of FIG 7 taken along line 1 1 -1 1’.
  • the first opening 706 is in fluid communication with at least one first slot 730 in the front face 71 1 of the gas injector insert 700.
  • the at least one first slot 730 has an elongate axis that extends from the inner peripheral end 715 to the outer peripheral end 716. It will be understood that any of the slots can extend from a region near the inner peripheral end 715 to a region near the outer peripheral end 716, as shown.
  • the elongate axis extending from the inner peripheral end means that the elongate axis has an inner end 731 near the inner peripheral end 715 and an outer end 732 near the outer peripheral end 716.
  • the first opening 706 is in fluid communication with at least one plenum 735.
  • the plenum 735 is connected to and in fluid communication with the first slot 730 through passages 736.
  • the volume of the plenum 735 is typically larger than the total volume of the passages 736 so that the flux through the passages 736 at the ends of the plenum is about the same as at the center of the plenum.
  • FIG. 12 shows a partial view of a plenum 735 connected to the first opening 706 and the passages 736.
  • FIG. 13 shows a cross-sectional view of the gas injector insert 700 of FIG. 7 taken along line 13-13'.
  • the second opening 707 is in fluid communication with at least one second slot 720 in the front face 71 1 of the gas injector insert 700.
  • the at least one second slot 720 has an elongate axis that extends from the inner peripheral end 715 to the outer peripheral end 716 of the housing 710. Stated differently, the elongate axis extends from an inner end 721 near the inner peripheral end 715 and an outer end 722 near the outer peripheral end 716.
  • the second opening 707 is in fluid communication with at least one second plenum 725.
  • the second plenum 725 is connected to and in fluid communication with the second slot 720 through passages 726.
  • the volume of the second plenum 725 is typically larger than the total volume of the passages 726 so that the flux through the passages 726 at the ends of the second plenum 725 is about the same as at the center of the second plenum.
  • FIG. 14 shows a partial view of a second opening 707 in fluid communication with two second plenums 725, each of which will be in fluid communication with different second slots 720.
  • the opening 707 is connected to inlet line 708 which splits at junction 728 into channel 709.
  • FIG. 15 shows a cross-sectional view of the gas injector Insert 700 of FIG. 7 taken along line 15-15’. This view is taken through the first opening 706 and shows the conduit connecting the opening 706 to the first plenum 735. The second plenums 725 are shown while the second opening 707 is in a different plane than 15- 15’. The passages 726 connecting the second plenums 725 to the second slots 720 are illustrated.
  • FIG. 16 shows a cross-sectional view of the gas injector insert 700 of FIG. 7 taken along line 16-16’. This view is taken through the second opening 707 and shows the conduit connecting the second opening 707 to the channel 709 at junction 728. The connection of the channel 709 to the second plenums 725 at junctions 727 is also illustrated in this view, the first plenum 735 and passage 736 connecting the first plenum 735 to the first slot 730 are visible while the first opening 706 is in a different plane, as shown in FIG. 15.
  • first slot and second slot or a first plenum and second plenum
  • first plenum and second plenum do not imply a particular order of components. Rather, the ordinals illustrate the connected nature of the components.
  • first slots will be connected to a first plenum (either the same plenum or different plenum) and each of the second slots will be connected to a second plenum (either the same plenum or different plenum).
  • a substrate passing the gas injector insert 700 could be first exposed to either the first slot or the second slot and the last exposure could be to either a first slot or a second slot.
  • the at least one first slot 730 has a shape with a substantially uniform width from the inner peripheral end 715 to the outer peripheral end 716.
  • the first slot 730 of some embodiments has a substantially uniform width from the inner end 731 near the inner peripheral end 715 to the outer end 732 near the outer peripheral end 716.
  • substantially uniform means that the width of the slot does not vary by more than 10%, 5%, 2% or 1% at any point along the elongate length relative to the average width.
  • At least one of the second slots 720 have a substantially uniform width from the inner peripheral end 715 to the outer peripheral end 716 of the housing 710. Stated differently, the second slot 720 of some embodiments has a substantially uniform width from the inner end 721 near the inner peripheral end 715 to the outer end 722 near the outer peripheral end 716.
  • each of the first slots 730 and second slots 720 have a substantially uniform width along the elongate length of the slots.
  • at least one of the first slot 730 and/or second slot 720 is wedge- shaped.
  • the embodiment illustrated in FIG. 17 has a first slot 730 that has a wedge-shape with a greater width at the outer end 732 than at the inner end 731 , while each of the second slots 720 have a substantially uniform width.
  • at least one second slot 720 has a wedge-shape while the first slots 730 have a substantially uniform width.
  • the width of the slots can vary both along the elongate length of the slots and between adjacent slots.
  • FIG. 18 shows an embodiment in which there is one first slot 730 and two second slots 720, with one of the second slots 720 on each side of the first slot 730.
  • the first slot 730 has a greater width Wi than the width W 2 of the second slots 720.
  • the first slot 730 has a greater width than the second slot 720 by at least about 1.1 x, 1.2x, 1.3x, 1.4x, 1.5x, 2x, 3x, 4x, 5x, 6x, 7x, 8x, 9x or 10x.
  • the first slot 730 would be 1 Qx of the second slot 720 width.
  • the second slot 720 is wider than the first slot 730. in the embodiment illustrated in FIG. 19, there are five slots with a relatively large second slot 720 on the left side of the drawing.
  • Each of the other second slots 720 and the first slots 730 are about the same width in some embodiments, each of the second slots 720 has a greater width the first slot 730. This may allow for a larger vacuum region under the wider left-side second slot 720.
  • the order, arrangement and widths of the slots can vary to change the flow dynamics of the process chamber.
  • a combination of vacuum and purge gas slots can create a gas curtain region to remove residual reactive species from the process region in some embodiments
  • the first opening 706 is connected to a vacuum source in some embodiments
  • the second opening 707 is connected to a purge gas source in some embodiments
  • the first opening 706 is connected to a vacuum source and the second opening 707 is connected to a purge gas source.
  • the distance that the front face 71 1 of the gas injector insert 700 is from the top surface 141 of the susceptor assembly 140 can vary. Referring to FIG. 20, the front face 71 1 a of the housing 710 on at least one side of the first slot 730 extends from the front face 71 1 than at least one side of the second slot 720. In the embodiment illustrated, the front face 71 1 a on either side of the first slot 730 extends further than the front face 71 1 on the outer sides of the second slots 720 so that the distance D-j is greater than the distance D 2 . Moving the front face closer to the substrate 60 or susceptor assembly 140 can cause a local change in pressure or gas flow dynamics which can help remove unwanted species from the reaction space.
  • the distance of the first slot 730 and/or second slot 720 from the first side 713 and/or the second side 714 of the housing 710 can be varied.
  • each of the second slots 720 are about the same distance from the first side 713 or the second side 714.
  • the right-most second slot 720 is closer to the first side 713 than the left-most second slot 720 is to the second side 714.
  • some embodiments of the disclosure are directed to gas distribution assemblies 120 or injector units 122 including an opening 610 and a gas injector insert 700 sized to fit within the opening 610.
  • the substrate is subjected to processing prior to and/or after forming the layer.
  • This processing can be performed in the same chamber or in one or more separate processing chambers.
  • the substrate is moved from the first chamber to a separate, second chamber for further processing.
  • the substrate can be moved directly from the first chamber to the separate processing chamber, or the substrate can be moved from the first chamber to one or more transfer chambers, and then moved to the separate processing chamber.
  • the processing apparatus may comprise multiple chambers in communication with a transfer station. An apparatus of this sort may be referred to as a "cluster tool” or“clustered system”, and the like.
  • a cluster tool is a modular system comprising multiple chambers which perform various functions including substrate center-finding and orientation, degassing, annealing, deposition and/or etching.
  • a cluster tool includes at least a first chamber and a central transfer chamber.
  • the central transfer chamber may house a robot that can shuttle substrates between and among processing chambers and load lock chambers.
  • the transfer chamber is typically maintained at a vacuum condition and provides an intermediate stage for shuttling substrates from one chamber to another and/or to a load lock chamber positioned at a front end of the cluster tool.
  • Centura ® and the Endura ® Two well-known cluster tools which may be adapted for the present disclosure are the Centura ® and the Endura ® , both available from Applied Materials, Inc., of Santa Clara, Calif However, the exact arrangement and combination of chambers may be altered for purposes of performing specific steps of a process as described herein.
  • Other processing chambers which may be used include, but are not limited to, cyclical layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, chemical clean, thermal treatment such as RTF, plasma nitridation, degas, orientation, hydroxylation and other substrate processes.
  • the substrate is continuously under vacuum or load lock” conditions, and is not exposed to ambient air when being moved from one chamber to the next.
  • the transfer chambers are thus under vacuum and are "pumped down” under vacuum pressure.
  • Inert gases may be present in the processing chambers or the transfer chambers.
  • an inert gas is used as a purge gas to remove some or all of the reactants after forming the layer on the surface of the substrate.
  • a purge gas is injected at the exit of the deposition chamber to prevent reactants from moving from the deposition chamber to the transfer chamber and/or additional processing chamber. Thus, the flow of inert gas forms a curtain at the exit of the chamber.
  • the substrate can be heated or cooled.
  • heating or cooling can be accomplished by any suitable means including, but not limited to, changing the temperature of the substrate support (e.g., susceptor) and flowing heated or cooled gases to the substrate surface.
  • the substrate support includes a heater/cooler which can be controlled to change the substrate temperature conductive!y.
  • the gases either reactive gases or inert gases
  • a heater/cooler is positioned within the chamber adjacent the substrate surface to convectively change the substrate temperature.
  • the substrate can also be stationary or rotated during processing.
  • a rotating substrate can be rotated continuously or in discrete steps.
  • a substrate may be rotated throughout the entire process, or the substrate can be rotated by a small amount between exposures to different reactive or purge gases.
  • Rotating the substrate during processing may help produce a more uniform deposition or etch by minimizing the effect of, for example, local variability in gas flow geometries.

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

Gas injector inserts having a wedge-shaped housing, at least one first slot and at least one second slot are described. The housing has a first opening in the back face that is in fluid communication with the first slot in the front face and a second opening in the back face that is in fluid communication with the second slot in the front face. Each of the first slot and the second slot has an elongate axis that extends from the inner peripheral end to the outer peripheral end of the housing.

Description

GAS INJECTOR INSERT SEGMENT FOR SPATIAL ALD
FIELD
[0001] Embodiments of the disclosure generally relate to apparatus for plasma enhanced substrate processing. More particularly, embodiments of the disclosure relate to modular microwave plasma sources for use with processing chambers like spatial atomic layer deposition batch processors.
BACKGROUND
[0002] Atomic Layer Deposition (ALD) and Plasma-Enhanced ALD (PEALD) are deposition techniques that offer control of film thickness and conformality in high- aspect ratio structures. Due to continuously decreasing device dimensions in the semiconductor industry, there is increasing interest and applications that use ALD/PEALD. in some cases, only PEALD can meet specifications for desired film thickness and conformality
[0003] Semiconductor device formation is commonly conducted in substrate processing platforms containing multiple chambers. In some instances, the purpose of a multi-chamber processing platform or cluster tool is to perform two or more processes on a substrate sequentially in a controlled environment. In other instances, however, a multiple chamber processing platform may only perform a single processing step on substrates; the additional chambers are intended to maximize the rate at which substrates are processed by the platform in the latter case, the process performed on substrates is typically a batch process, wherein a relatively large number of substrates, e.g. 25 or 50, are processed in a given chamber simultaneously. Batch processing is especially beneficial for processes that are too time-consuming to be performed on individual substrates in an economically viable manner, such as for atomic layer deposition (ALD) processes and some chemical vapor deposition (CVD) processes.
[0004] in large spatial ALD processing chambers, reactive gases can be dragged between process regions resulting in gas phase mixing of the reactive gases. Additionally, reaction byproducts can be dragged through the gas curtains separating process regions. [0005] Therefore, there is a need in the art for apparatus to improve separation of process gases in a spatial AID processing chamber.
SUMMARY
[0006] One or more embodiments of the disclosure are directed to gas injector inserts comprising a wedge-shaped housing with a first opening and a second opening. The wedge-shaped housing has a back face and front face, an inner peripheral end and an outer peripheral end defining a length and elongate axis. A first side and a second side of the housing define a width. The width increases from the inner peripheral end to the outer peripheral end. The first opening is in the back face of the housing and is in fluid communication with at least one first slot in the front face of the housing. The first slot has an elongate axis extending from fhe inner peripheral end to the outer peripheral end of the housing. The second opening is in the back face of the housing and is in fluid communication with at least one second slot in the front face of the housing. The second slot has an elongate axis extending from the inner peripheral end to the outer peripheral end of the housing.
[0007] Additional embodiments of the disclosure are directed to gas distribution assemblies comprising an opening sized for a gas injector insert. The gas injector insert is configured to fit within the opening.
BRIEF DESCRIPTION OF THE DRAWINGS
[0008] So that the manner in which the above recited features of embodiments of the disclosure can be understood in detail, a more particular description of embodiments of the disclosure, briefly summarized above, may be had by reference to embodiments, some of which are illustrated In the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this disclosure and are therefore not to be considered limiting of its scope, for the disclosure may admit to other equally effective embodiments.
[0009] FIG. 1 shows a schematic cross-sectional view of a substrate processing system in accordance with one or more embodiments of the disclosure: [0010] FIG. 2 shows a perspective view of a substrate processing system in accordance with one or more embodiment of the disclosure:
[0011] FIG. 3 shows a schematic of a substrate processing system in accordance with one or more embodiment of the disclosure;
[0012] FIG. 4 shows a schematic view of a front of an injector unit in accordance with one or more embodiment of the disclosure;
[0013] FIG. 5 shows a schematic view of a front of a gas distribution assembly in accordance with one or more embodiment of the disclosure;
[0014] FIG. 6 shows a schematic view of a front of an injector unit in accordance with one or more embodiment of the disclosure;
[0015] FIG. 7 shows a perspective view of a gas injector insert in accordance with one or more embodiments of the disclosure;
[0016] FIG. 8 shows a front view of a gas injector insert in accordance with one or more embodiments of the disclosure;
[0017] FIG. 9 shows an isometric view of an injector unit with a gas injector insert in accordance with one or more embodiments of the disclosure;
[0018] FIG. 10 shows a partial cross -sectional view of a gas injector insert in a gas distribution assembly in accordance with one or more embodiment of the disclosure;
[0019] FIG. 11 shows a cross-sectional view of the gas injector insert of FIG. 7 taken along line 1 1 -1 1’;
[0020] FIG. 12 shows a partial perspective view of a gas path for a gas injector insert in accordance with one or more embodiment of the disclosure;
[0021] FIG. 13 shows a cross-sectional view of the gas injector insert of FIG. 7 taken along line 13-13’;
[0022] FIG. 14 shows a partial perspective view of a gas path for a gas injector insert in accordance with one or more embodiment of the disclosure;
[0023] FIG. 15 shows a cross-sectional view of the gas injector insert of FIG. 7 taken along line 15-15’; [0024] FIG. 16 shows a cross-sectional view of the gas Injector insert of FIG. / taken along line 16-16’;
[0025] FIG.17 shows a front view of a gas injector insert in accordance with one or more embodiment of the disclosure;
[0026] FIG. 18 shows a cross-sectional schematic view of a gas injector insert in accordance with one or more embodiments of the disclosure;
[0027] FIG. 19 shows a cross-sectional schematic view of a gas injector insert in accordance with one or more embodiments of the disclosure; and
[0028] FIG. 20 shows a partial cross-sectional schematic view of a gas injector insert in a gas distribution assembly in accordance with one or more embodiments of the disclosure.
DETAILED DESCRIPTION
[0029] Embodiments of the disclosure provide a substrate processing system for continuous substrate deposition to maximize throughput and improve processing efficiency. One or more embodiments of the disclosure are described with respect to a spatial atomic layer deposition chamber.
[0030] As used in this specification and the appended claims, the term“substrate” and“wafer” are used interchangeably, both referring to a surface, or portion of a surface, upon which a process acts. It will also be understood by those skilled in the art that reference to a substrate can also refer to only a portion of the substrate, unless the context clearly indicates otherwise. Additionally, reference to depositing on a substrate can mean both a bare substrate and a substrate with one or more films or features deposited or formed thereon.
[0031] As used in this specification and the appended claims, the terms“reactive gas”,“precursor”,“reactant”, and the like, are used interchangeably to mean a gas that includes a species which is reactive with a substrate surface. For example, a first “reactive gas” may simply adsorb onto the surface of a substrate and be available for further chemical reaction with a second reactive gas. [0032] As used in this specification and the appended eiaims, the terms“pie shaped” and“wedge-shaped" are used interchangeably to describe a body that is a sector of a circle. For example, a wedge-shaped segment may be a fraction of a circle or disc-shaped structure and multiple wedge-shaped segments can be connected to form a circular body. The sector can be defined as a part of a circle enclosed by two radii of a circle and the intersecting arc. The inner edge of the pie shaped segment can come to a point or can be truncated to a flat edge or rounded in some embodiments, the sector can be defined as a portion of a ring or annulus.
[0033] The path of the substrates can be perpendicular to the gas ports. In some embodiments, each of the gas injector assemblies comprises a plurality of elongate gas ports which extend in a direction substantially perpendicular to the path traversed by a substrate, where a front face of the gas distribution assembly is substantially parallel to the platen. As used in this specification and the appended claims, the term“substantially perpendicular” means that the general direction of movement of the substrates is along a plane approximately perpendicular (e.g., about 45q to 90e) to the axis of the gas ports. For a wedge-shaped gas port, the axis of the gas port can be considered to be a line defined as the mid-point of the width of the port extending along the length of the port
[0034] FIG. 1 shows a cross-section of a processing chamber 100 including a gas distribution assembly 120, also referred to as injectors or an injector assembly, and a susceptor assembly 140. The gas distribution assembly 120 is any type of gas delivery device used in a processing chamber. The gas distribution assembly 120 includes a front surface 121 which faces the susceptor assembly 140. The front surface 121 can have any number or variety of openings to deliver a flow of gases toward the susceptor assembly 140. The gas distribution assembly 120 also includes an outer peripheral edge 124 which in the embodiments shown, is substantially round.
[0035] The specific type of gas distribution assembly 120 used can vary depending on the particular process being used. Embodiments of the disclosure can be used with any type of processing system where the gap between the susceptor and the gas distribution assembly is controlled. While various types of gas distribution assemblies can be employed (e.g., showerheads), embodiments of the disclosure may be particularly useful with spatial ALD gas distribution assemblies which have a plurality of substantially parallel gas channels. As used In this specification and the appended claims, the term“substantially parallel” means that the elongate axis of the gas channels extend in the same general direction. There can be slight imperfections in the parallelism of the gas channels. The plurality of substantially parallel gas channels can include at least one first reactive gas A channel, at least one second reactive gas B channel, at least one purge gas P channel and/or at least one vacuum V channel. The gases flowing from the first reactive gas A channel(s), the second reactive gas B channei(s) and the purge gas P channel(s) are directed toward the top surface of the wafer. Some of the gas flow moves horizontally across the surface of the wafer and out of the processing region through the purge gas P channei(s). A substrate moving from one end of the gas distribution assembly to the other end will be exposed to each of the process gases in turn, forming a layer on the substrate surface.
[0036] in some embodiments, the gas distribution assembly 120 is a rigid stationary body made of a single injector unit in one or more embodiments, the gas distribution assembly 120 is made up of a plurality of individual sectors (e.g., injector units 122), as shown in FIG. 2. Either a single piece body or a multi-sector body can be used with the various embodiments of the disclosure described.
[0037] The susceptor assembly 140 is positioned beneath the gas distribution assembly 120. The susceptor assembly 140 includes a top surface 141 and at least one recess 142 in the top surface 141. The susceptor assembly 140 also has a bottom surface 143 and an edge 144. The recess 142 can be any suitable shape and size depending on the shape and size of the substrates 60 being processed in the embodiment shown in FIG 1 , the recess 142 has a flat bottom to support the bottom of the wafer; however, the bottom of the recess can vary in some embodiments, the recess has step regions around the outer peripheral edge of the recess which are sized to support the outer peripheral edge of the wafer. The amount of the outer peripheral edge of the wafer that is supported by the steps can vary depending on, for example, the thickness of the wafer and the presence of features already present on the back side of the wafer. [0038] In some embodiments, as shown in FIG. 1 , the recess 142 in the top surface 141 of the susceptor assembly 140 is sized so that a substrate 60 supported in the recess 142 has a top surface 61 substantially coplanar with the top surface 141 of the susceptor 140. As used in this specification and the appended claims, the term“substantially coplanar” means that the top surface of the wafer and the top surface of the susceptor assembly are coplanar within ±0.2 mm. In some embodiments, the top surfaces are coplanar within ± 0.15 mm, ± 0.10 mm or ± 0.05 mm. The recess 142 of some embodiments supports a wafer so that the inner diameter (ID) of the wafer is located within the range of about 170 mm to about 185 m from the center (axis of rotation) of the susceptor. In some embodiments, the recess 142 supports a wafer so that the outer diameter (OD) of the wafer is located in the range of about 470 mm to about 485 mm from the center (axis of rotation) of the susceptor.
[0039] The susceptor assembly 140 of FIG. 1 includes a support post 160 which is capable of lifting, lowering and rotating the susceptor assembly 140. The susceptor assembly may include a heater, or gas lines, or electrical components within the center of the support post 160. The support post 160 may be the primary means of increasing or decreasing the gap between the susceptor assembly 140 and the gas distribution assembly 120, moving the susceptor assembly 140 into proper position. The susceptor assembly 140 may also include fine tuning actuators 162 which can make micro-adjustments to susceptor assembly 140 to create a predetermined gap 170 between the susceptor assembly 140 and the gas distribution assembly 120. in some embodiments, the gap 170 distance is in the range of about 0.1 m to about 5.0 mm, or in the range of about 0.1 mm to about 3.0 mm, or in the range of about 0.1 mm to about 2.0 mm, or in the range of abouf 0.2 mm to about 1 .8 m, or in the range of about 0.3 mm to about 1.7 mm, or in the range of about 0 4 mm to about 1.6 mm, or in the range of about 0 5 mm to about 1.5 m, or in the range of about 0.6 mm to about 1.4 mm, or in the range of about 0.7 mm to about 1 .3 mm, or in the range of about 0.8 mm to about 1.2 mm, or in the range of about 0.9 mm to about 1.1 mm, or about 1 mm.
[0040] The processing chamber 100 shown in the Figures is a carousel-type chamber in which the susceptor assembly 140 can hold a plurality of substrates 60 As shown in FIG. 2, the gas distribution assembly 120 may include a plurality of separate injector units 122, each injector unit 122 being capable of depositing a film on the wafer, as the wafer is moved beneath the injector unit. Two pie-shaped injector units 122 are shown positioned on approximately opposite sides of and above the susceptor assembly 140. This number of injector units 122 is shown for illustrative purposes only. It will be understood that more or less injector units 122 can be included. In some embodiments, there are a sufficient number of pie-shaped injector units 122 to form a shape conforming to the shape of the susceptor assembly 140. In some embodiments, each of the individual pie-shaped injector units 122 may be independently moved, removed and/or replaced without affecting any of the other injector units 122. For example, one segment may be raised to permit a robot to access the region between the susceptor assembly 140 and gas distribution assembly 120 to load/unload substrates 60.
[0041] Processing chambers having multiple gas injectors can be used to process multiple wafers simultaneously so that the wafers experience the same process flow. For example, as shown in FIG. 3, the processing chamber 100 has four gas injector assemblies and four substrates 60. At the outset of processing, the substrates 60 can be positioned between the injector assemblies 30. Rotating 17 the susceptor assembly 140 by 45® will result in each substrate 60 which is between gas distribution assemblies 120 to be moved to an gas distribution assembly 120 for film deposition, as illustrated by the dotted circle under the gas distribution assemblies 120. An additional 45® rotation would move the substrates 60 away from the injector assemblies 30. With spatial ALD injectors, a film is deposited on the wafer during movement of the wafer relative to the injector assembly. In some embodiments, the susceptor assembly 140 is rotated in increments that prevent the substrates 60 from stopping beneath the gas distribution assemblies 120. The number of substrates 60 and gas distribution assemblies 120 can be the same or different in some embodiments, there is the same number of wafers being processed as there are gas distribution assemblies. In one or more embodiments, the number of wafers being processed are fraction of or an integer multiple of the number of gas distribution assemblies. For example, if there are four gas distribution assemblies, there are 4x wafers being processed, where x is an integer value greater than or equal to one. [0042] The processing chamber 100 shown in FIG. 3 is merely representative of one possible configuration and should not be taken as limiting the scope of the disclosure. Here, the processing chamber 100 includes a plurality of gas distribution assemblies 120. in the embodiment shown, there are four gas distribution assemblies (also called injector assemblies 30) evenly spaced about the processing chamber 100. The processing chamber 100 shown is octagonal, however, those skilled in the art will understand that this is one possible shape and should not be taken as limiting the scope of the disclosure. The gas distribution assemblies 120 shown are trapezoidal, but can be a single circular component or made up of a plurality of pie-shaped segments, like that shown in FIG. 2.
[0043] The embodiment shown in FIG. 3 includes a load lock chamber 180, or an auxiliary chamber like a buffer station. This chamber 180 is connected to a side of the processing chamber 100 to allow, for example the substrates [also referred to as substrates 60) to be loaded/unloaded from the processing chamber 100. A wafer robot may be positioned in the chamber 180 to move the substrate onto the susceptor
[0044] Rotation of the carousel (e.g., the susceptor assembly 140) can be continuous or discontinuous. In continuous processing, the wafers are constantly rotating so that they are exposed to each of the injectors in turn. In discontinuous processing, the wafers can be moved to the injector region and stopped, and then to the region 84 between the injectors and stopped. For example, the carousel can rotate so that the wafers move from an inter-injector region across the injector (or stop adjacent the injector) and on to the next inter-injector region where the carousel can pause again. Pausing between the injectors may provide time for additional processing steps between each layer deposition (e.g., exposure to plasma).
[0045] FIG. 4 shows a sector or portion of a gas distribution assembly 220, which may be referred to as an injector unit 122. The injector units 122 can be used individually or in combination with other injector units. For example, as shown in FIG. 5, four of the injector units 122 of FIG. 4 are combined to form a single gas distribution assembly 220. (The lines separating the four injector units are not shown for clarity.) While the injector unit 122 of FIG. 4 has both a first reactive gas port 125 and a second reactive gas port 135 in addition to purge gas ports 155 and vacuum ports 145, an injector unit 122 does not need ail of these components.
[0048] Referring to both FIGS. 4 and 5, a gas distribution assembly 220 in accordance with one or more embodiment may comprise a plurality of sectors [or injector units 122) with each sector being identical or different. The gas distribution assembly 220 is positioned within the processing chamber and comprises a plurality of elongate gas ports 125, 135, 145 in a front surface 121 of the gas distribution assembly 220. The plurality of elongate gas ports 125, 135, 145 and vacuum ports 155 extend from an area adjacent the inner peripheral edge 123 toward an area adjacent the outer peripheral edge 124 of the gas distribution assembly 220. The plurality of gas ports shown include a first reactive gas port 125, a second reactive gas port 135, a vacuum port 145 which surrounds each of the first reactive gas ports and the second reactive gas ports and a purge gas port 155.
[0047] With reference to the embodiments shown in FIG. 4 or 5, when stating that the ports extend from at least about an inner peripheral region to at least about an outer peripheral region, however, the ports can extend more than just radially from inner to outer regions. The ports can extend tangentially as vacuum port 145 surrounds reactive gas port 125 and reactive gas port 135. in the embodiment shown in FIGS. 4 and 5, the wedge shaped reactive gas ports 125, 135 are surrounded on all edges, including adjacent the inner peripheral region and outer peripheral region, by a vacuum port 145.
[0048] Referring to FIG. 4, as a substrate moves along path 127, each portion of the substrate surface is exposed to the various reactive gases. To follow the path 127, the substrate will be exposed to, or“see”, a purge gas port 155, a vacuum port 145, a first reactive gas port 125, a vacuum port 145, a purge gas port 155, a vacuum port 145, a second reactive gas port 135 and a vacuum port 145. Thus, at the end of the path 127 shown in FIG. 4, the substrate has been exposed to gas streams from the first reactive gas port 125 and the second reactive gas port 135 to form a layer. The injector unit 122 shown makes a quarter circle but could be larger or smaller. The gas distribution assembly 220 shown in FIG. 5 can be considered a combination of four of the injector units 122 of FIG. 4 connected in series. [0049] The injector unit 122 of FIG. 4 shows a gas curtain 150 that separates the reactive gases. The term“gas curtain” is used to describe any combination of gas flows or vacuum that separate reactive gases from mixing. The gas curtain 150 shown in FIG. 4 comprises the portion of the vacuum port 145 next to the first reactive gas port 125, the purge gas port 155 in the middle and a portion of the vacuum port 145 next to the second reactive gas port 135. This combination of gas flow and vacuum can be used to prevent or minimize gas phase reactions of the first reactive gas and the second reactive gas.
[0050] Referring to FIG. 5, the combination of gas flows and vacuum from the gas distribution assembly 220 form a separation into a plurality of processing regions 250. The processing regions are roughly defined around the individual reactive gas ports 125, 135 with the gas curtain 150 between 250. The embodiment shown in FIG. 5 makes up eight separate processing regions 250 with eight separate gas curtains 150 between. A processing chamber can have at least two processing region in some embodiments, there are at least three, four, five, six, seven, eight, nine, 10, 1 1 or 12 processing regions.
[0051] During processing a substrate may be exposed to more than one processing region 250 at any given time. However, the portions that are exposed to the different processing regions will have a gas curtain separating the two. For example, if the leading edge of a substrate enters a processing region including the second reactive gas port 135, a middle portion of the substrate will be under a gas curtain 150 and the trailing edge of the substrate will be in a processing region including the first reactive gas port 125.
[0052] A factory interface 280, which can be, for example, a load lock chamber, is shown connected to the processing chamber 100. A substrate 60 is shown superimposed over the gas distribution assembly 220 to provide a frame of reference. The substrate 60 may often sit on a susceptor assembly to be held near the front surface 121 of the gas distribution assembly 120 (also referred to as a gas distribution plate). The substrate 60 is loaded via the factory interface 280 into the processing chamber 100 onto a substrate support or susceptor assembly (see FIG. 3). The substrate 60 can be shown positioned within a processing region because the substrate is located adjacent the first reactive gas port 125 and between two gas curtains 150a, 150b. Rotating the substrate 60 along path 127 will move the substrate counter-clockwise around the processing chamber 100. Thus, the substrate 60 will be exposed to the first processing region 250a through the eighth processing region 250h, including all processing regions between. For each cycle around the processing chamber, using the gas distribution assembly shown, the substrate 60 will be exposed to four ALD cycles of first reactive gas and second reactive gas.
[0053] The conventional ALD sequence in a batch processor, like that of FIG. 5, maintains chemical A and B flow respectively from spatially separated injectors with pump/purge section between. The conventional ALD sequence has a starting and ending pattern which might result in non-uniformity of the deposited film. The inventors have surprisingly discovered that a time based ALD process performed in a spatial ALD batch processing chamber provides a film with higher uniformity. The basic process of exposure to gas A, no reactive gas, gas B, no reactive gas would be to sweep the substrate under the injectors to saturate the surface with chemical A and B respectively to avoid having a starting and ending pattern form in the film. The inventors have surprisingly found that the time based approach is especially beneficial when the target film thickness is thin (e.g., less than 20 ALD cycles), where starting and ending pattern have a significant impact on the within wafer uniformity performance. The inventors have also discovered that the reaction process to create SiCN, SiCO and SiCON films, as described herein, could not be accomplished with a time-domain process. The amount of time used to purge the processing chamber results in the stripping of material from the substrate surface. The stripping does not happen with the spatial ALD process described because the time under the gas curtain is short.
[0054] Accordingly, embodiments of the disclosure are directed to processing methods comprising a processing chamber 100 with a plurality of processing regions 25Ga-250h with each processing region separated from an adjacent region by a gas curtain 150. For example, the processing chamber shown in FIG 5. The number of gas curtains and processing regions within the processing chamber can be any suitable number depending on the arrangement of gas flows. The embodiment shown in FIG. 5 has eight gas curtains 150 and eight processing regions 250a-250h The number of gas curtains is genera!iy equal to or greater than the number of processing regions. For example, if region 250a had no reactive gas flow, but merely served as a loading area, the processing chamber would have seven processing regions and eight gas curtains.
[0055] A plurality of substrates 60 are positioned on a substrate support, for example, the susceptor assembly 140 shown FIGS. 1 and 2. The plurality of substrates 60 are rotated around the processing regions for processing. Generally, the gas curtains 150 are engaged (gas flowing and vacuum on) throughout processing including periods when no reactive gas is flowing into the chamber.
[0056] A first reactive gas A is flowed into one or more of the processing regions 250 while an inert gas is flowed into any processing region 250 which does not have a first reactive gas A flowing into if. For example if the first reactive gas is flowing into processing regions 250b through processing region 250h, an inert gas would be flowing into processing region 250a. The inert gas can be flowed through the first reactive gas port 125 or the second reactive gas port 135.
[0057] The inert gas flow within the processing regions can be constant or varied in some embodiments, the reactive gas is co-flowed with an inert gas. The inert gas will act as a carrier and diluent. Since the amount of reactive gas, relative to the carrier gas, is small, co-flowing may make balancing the gas pressures between the processing regions easier by decreasing the differences in pressure between adjacent regions.
[0058] One or more embodiments of the disclosure are directed to hardware gas injector modules that provide multiple gas inlets and multiple gas removal sections within a single module. The number of gas inlets and gas removal sections can be any combination. Some embodiments advantageously provide gas injector inserts that can be retrofit into existing gas distribution assemblies. One or more embodiments advantageously provide injector inserts that allow local gas exchanges and local high and low pressure regions within the modular injector segment.
[0059] One or more embodiments of the disclosure are directed to injector modules or inserts that improve the removal of reaction by-product. One or more embodiments of the disclosure provide injector modules that minimize or eliminate parasitic CVD that contributes to process non-uniformity and iack of eonformaiity. Some embodiments of the disclosure provide modules that remove byproducts, target desorption of gas trapping, improve deposition uniformity, improve conformality in higher aspect ratio features, reduce in-film contaminates and/or reduce particles
[0060] One or more embodiments of the disclosure can provide apparatus with multiple localized pump-purge segments to target problematic or difficult precursor removal and desorption without effecting adjacent process regions. In some embodiments, lower pressure regions can be achieved which increase gas exchange time and diffusion transport from wafer features and pores. In some embodiments, a wail between the purge and pump sections provide reduces pressure for each section allowing lower pressure regions.
[0061] Some embodiments of the disclosure provide a module that can be used as an insert for the gas distribution assembly. For example, the injector unit 122 illustrated in FIG. 2 can have the combination of pump and purge channels described and can be installed in the gas distribution assembly to target regions where additional removal or purge or both is needed. This allows the ability to disrupt the injector symmetry to control the overall process.
[0062] FIG. 6 illustrates a front view of an injector unit 122 for a gas distribution assembly similar to that of FIG. 4. There are two process regions 650a, 650b shown in the illustrated embodiment. In the first process region 650a there is a gas port 605 and in the second process region 650b there is an opening 610 that extends through the injector unit 122. The opening 610 can be occupied by an insert (not shown) which will form a uniform component.
[0063] FIGS. 7 and 8 illustrate a gas injector insert 700 in accordance with one more embodiment of the disclosure. FIG. 8 illustrates a view of the front face 71 1 of a gas injector insert 700. The gas injector insert 700 includes a wedge-shaped housing 710 with a back face 712 and a front face 71 1 , an inner peripheral end 715 and an outer peripheral end 716 and a first side 713 and second side 714. The inner peripheral end 715 and outer peripheral end 716 define the length L and an elongate axis 717 that extends along the length L in the middle of the width of the housing 710. The first side 713 and second side 714 define the width of the housing 710. The width increases from the width W| at the inner peripheral end 715 to the width WQ at the outer peripheral end 716, forming the wedge-shape.
[0064] The housing 710 is sized to fit within the opening 610 in the injector unit 122 or gas distribution plate 120. FIG. 9 shows an embodiment of the disclosure in which the housing 710 of the injector insert 700 is shown adjacent a complementarily shaped opening 610 in the injector unit 122. In some embodiments, as illustrated, the housing 710 includes a top portion 702 and bottom portion 703 configured to form a flange 704. The flange 704 can be a separate component from the injector insert 700 or integrally formed, as illustrated. In the embodiment illustrated in FIG. 9, the injector insert 700 can be lowered into opening 610 so that the flange 704 rests on ledge 614.
[0065] FIG. 10 shows another embodiment in which the flange 704 is a separate component connected to the injector insert 700. The flange 704 can be configured to be connected to the back surface 128 of the gas distribution assembly 120, or injector unit 122. The flange 704 can be connected using any suitable components including, but not limited to, the bolts 705 shown.
[0066] In some embodiments, as shown in FIG 10, the housing 710 of the gas injector insert 700 is configured so that the front face 71 1 of the gas injector insert 700 is substantially coplanar with the front face 121 of the gas distribution plate 120 or injector unit 122. As used in this manner, the term“substantially coplanar” means that the front face 71 1 of the gas injector insert 700 and the front face 121 of the gas distribution plate 120 are coplanar within ±0.2 mm, ± 0.15 mm, ± 0.10 mm or ± 0.05 mm.
[0067] Referring back to FIG. 7, the gas injector insert 700 of some embodiments has a first opening 706 and a second opening 707 in the back face 712. The openings can be connected to or connectable to one or more of a gas source and/or a vacuum source (e.g., vacuum pump or foreline). The
[0068] FIG. 1 1 shows a cross-sectional view of the gas injector insert 700 of FIG 7 taken along line 1 1 -1 1’. The first opening 706 is in fluid communication with at least one first slot 730 in the front face 71 1 of the gas injector insert 700. The at least one first slot 730 has an elongate axis that extends from the inner peripheral end 715 to the outer peripheral end 716. It will be understood that any of the slots can extend from a region near the inner peripheral end 715 to a region near the outer peripheral end 716, as shown. The elongate axis extending from the inner peripheral end means that the elongate axis has an inner end 731 near the inner peripheral end 715 and an outer end 732 near the outer peripheral end 716.
[0069] in some embodiments, the first opening 706 is in fluid communication with at least one plenum 735. The plenum 735 is connected to and in fluid communication with the first slot 730 through passages 736. The volume of the plenum 735 is typically larger than the total volume of the passages 736 so that the flux through the passages 736 at the ends of the plenum is about the same as at the center of the plenum. FIG. 12 shows a partial view of a plenum 735 connected to the first opening 706 and the passages 736.
[0070] FIG. 13 shows a cross-sectional view of the gas injector insert 700 of FIG. 7 taken along line 13-13'. The second opening 707 is in fluid communication with at least one second slot 720 in the front face 71 1 of the gas injector insert 700. The at least one second slot 720 has an elongate axis that extends from the inner peripheral end 715 to the outer peripheral end 716 of the housing 710. Stated differently, the elongate axis extends from an inner end 721 near the inner peripheral end 715 and an outer end 722 near the outer peripheral end 716.
[0071] in some embodiments, the second opening 707 is in fluid communication with at least one second plenum 725. The second plenum 725 is connected to and in fluid communication with the second slot 720 through passages 726. The volume of the second plenum 725 is typically larger than the total volume of the passages 726 so that the flux through the passages 726 at the ends of the second plenum 725 is about the same as at the center of the second plenum. FIG. 14 shows a partial view of a second opening 707 in fluid communication with two second plenums 725, each of which will be in fluid communication with different second slots 720. in FIG. 14, the opening 707 is connected to inlet line 708 which splits at junction 728 into channel 709. The ends of channel 709 connect to the second plenums 725 at junctions 727. [0072] FIG. 15 shows a cross-sectional view of the gas injector Insert 700 of FIG. 7 taken along line 15-15’. This view is taken through the first opening 706 and shows the conduit connecting the opening 706 to the first plenum 735. The second plenums 725 are shown while the second opening 707 is in a different plane than 15- 15’. The passages 726 connecting the second plenums 725 to the second slots 720 are illustrated.
[0073] FIG. 16 shows a cross-sectional view of the gas injector insert 700 of FIG. 7 taken along line 16-16’. This view is taken through the second opening 707 and shows the conduit connecting the second opening 707 to the channel 709 at junction 728. The connection of the channel 709 to the second plenums 725 at junctions 727 is also illustrated in this view, the first plenum 735 and passage 736 connecting the first plenum 735 to the first slot 730 are visible while the first opening 706 is in a different plane, as shown in FIG. 15.
[0074] As will be understood by the skilled artisan, the use of the ordinal descriptors for a first slot and second slot, or a first plenum and second plenum, do not imply a particular order of components. Rather, the ordinals illustrate the connected nature of the components. For example, each of the first slots will be connected to a first plenum (either the same plenum or different plenum) and each of the second slots will be connected to a second plenum (either the same plenum or different plenum). A substrate passing the gas injector insert 700 could be first exposed to either the first slot or the second slot and the last exposure could be to either a first slot or a second slot.
[0075] Referring again to FIG. 8, in some embodiments of the disclosure, the at least one first slot 730 has a shape with a substantially uniform width from the inner peripheral end 715 to the outer peripheral end 716. Stated differently, the first slot 730 of some embodiments has a substantially uniform width from the inner end 731 near the inner peripheral end 715 to the outer end 732 near the outer peripheral end 716. As used in this manner, the term“substantially uniform” means that the width of the slot does not vary by more than 10%, 5%, 2% or 1% at any point along the elongate length relative to the average width. [0076] In some embodiments, at least one of the second slots 720 have a substantially uniform width from the inner peripheral end 715 to the outer peripheral end 716 of the housing 710. Stated differently, the second slot 720 of some embodiments has a substantially uniform width from the inner end 721 near the inner peripheral end 715 to the outer end 722 near the outer peripheral end 716.
[0077] In some embodiments, each of the first slots 730 and second slots 720 have a substantially uniform width along the elongate length of the slots. In some embodiments, at least one of the first slot 730 and/or second slot 720 is wedge- shaped. For example, the embodiment illustrated in FIG. 17 has a first slot 730 that has a wedge-shape with a greater width at the outer end 732 than at the inner end 731 , while each of the second slots 720 have a substantially uniform width. In some embodiments, at least one second slot 720 has a wedge-shape while the first slots 730 have a substantially uniform width.
[0078] The width of the slots can vary both along the elongate length of the slots and between adjacent slots. FIG. 18 shows an embodiment in which there is one first slot 730 and two second slots 720, with one of the second slots 720 on each side of the first slot 730. The first slot 730 has a greater width Wi than the width W2 of the second slots 720. In some embodiments, the first slot 730 has a greater width than the second slot 720 by at least about 1.1 x, 1.2x, 1.3x, 1.4x, 1.5x, 2x, 3x, 4x, 5x, 6x, 7x, 8x, 9x or 10x. For example, if the first slot 730 had a width of 10 mm and the second slots 720 had a width of 1 m, the first slot 730 would be 1 Qx of the second slot 720 width.
[0079] in some embodiments, the second slot 720 is wider than the first slot 730. in the embodiment illustrated in FIG. 19, there are five slots with a relatively large second slot 720 on the left side of the drawing. Each of the other second slots 720 and the first slots 730 are about the same width in some embodiments, each of the second slots 720 has a greater width the first slot 730. This may allow for a larger vacuum region under the wider left-side second slot 720.
[0080] The order, arrangement and widths of the slots can vary to change the flow dynamics of the process chamber. For example, a combination of vacuum and purge gas slots can create a gas curtain region to remove residual reactive species from the process region in some embodiments, the first opening 706 is connected to a vacuum source in some embodiments, the second opening 707 is connected to a purge gas source in some embodiments, the first opening 706 is connected to a vacuum source and the second opening 707 is connected to a purge gas source.
[0081] The distance that the front face 71 1 of the gas injector insert 700 is from the top surface 141 of the susceptor assembly 140 can vary. Referring to FIG. 20, the front face 71 1 a of the housing 710 on at least one side of the first slot 730 extends from the front face 71 1 than at least one side of the second slot 720. In the embodiment illustrated, the front face 71 1 a on either side of the first slot 730 extends further than the front face 71 1 on the outer sides of the second slots 720 so that the distance D-j is greater than the distance D2. Moving the front face closer to the substrate 60 or susceptor assembly 140 can cause a local change in pressure or gas flow dynamics which can help remove unwanted species from the reaction space.
[0082] The distance of the first slot 730 and/or second slot 720 from the first side 713 and/or the second side 714 of the housing 710 can be varied. In the embodiment illustrated in FIG. 18, each of the second slots 720 are about the same distance from the first side 713 or the second side 714. in FIG. 20, the right-most second slot 720 is closer to the first side 713 than the left-most second slot 720 is to the second side 714.
[0083] Referring to FIG. 9, some embodiments of the disclosure are directed to gas distribution assemblies 120 or injector units 122 including an opening 610 and a gas injector insert 700 sized to fit within the opening 610.
[0084] According to one or more embodiments, the substrate is subjected to processing prior to and/or after forming the layer. This processing can be performed in the same chamber or in one or more separate processing chambers. In some embodiments, the substrate is moved from the first chamber to a separate, second chamber for further processing. The substrate can be moved directly from the first chamber to the separate processing chamber, or the substrate can be moved from the first chamber to one or more transfer chambers, and then moved to the separate processing chamber. Accordingly, the processing apparatus may comprise multiple chambers in communication with a transfer station. An apparatus of this sort may be referred to as a "cluster tool” or“clustered system”, and the like.
[0085] Generally, a cluster tool is a modular system comprising multiple chambers which perform various functions including substrate center-finding and orientation, degassing, annealing, deposition and/or etching. According to one or more embodiments, a cluster tool includes at least a first chamber and a central transfer chamber. The central transfer chamber may house a robot that can shuttle substrates between and among processing chambers and load lock chambers. The transfer chamber is typically maintained at a vacuum condition and provides an intermediate stage for shuttling substrates from one chamber to another and/or to a load lock chamber positioned at a front end of the cluster tool. Two well-known cluster tools which may be adapted for the present disclosure are the Centura® and the Endura®, both available from Applied Materials, Inc., of Santa Clara, Calif However, the exact arrangement and combination of chambers may be altered for purposes of performing specific steps of a process as described herein. Other processing chambers which may be used include, but are not limited to, cyclical layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, chemical clean, thermal treatment such as RTF, plasma nitridation, degas, orientation, hydroxylation and other substrate processes. By carrying out processes in a chamber on a cluster tool, surface contamination of the substrate with atmospheric impurities can be avoided without oxidation prior to depositing a subsequent film.
[0086] According to one or more embodiments, the substrate is continuously under vacuum or load lock” conditions, and is not exposed to ambient air when being moved from one chamber to the next. The transfer chambers are thus under vacuum and are "pumped down" under vacuum pressure. Inert gases may be present in the processing chambers or the transfer chambers. In some embodiments, an inert gas is used as a purge gas to remove some or all of the reactants after forming the layer on the surface of the substrate. According to one or more embodiments, a purge gas is injected at the exit of the deposition chamber to prevent reactants from moving from the deposition chamber to the transfer chamber and/or additional processing chamber. Thus, the flow of inert gas forms a curtain at the exit of the chamber.
[0087] During processing, the substrate can be heated or cooled. Such heating or cooling can be accomplished by any suitable means including, but not limited to, changing the temperature of the substrate support (e.g., susceptor) and flowing heated or cooled gases to the substrate surface. In some embodiments, the substrate support includes a heater/cooler which can be controlled to change the substrate temperature conductive!y. in one or more embodiments, the gases (either reactive gases or inert gases) being employed are heated or cooled to locally change the substrate temperature in some embodiments, a heater/cooler is positioned within the chamber adjacent the substrate surface to convectively change the substrate temperature.
[0088] The substrate can also be stationary or rotated during processing. A rotating substrate can be rotated continuously or in discrete steps. For example, a substrate may be rotated throughout the entire process, or the substrate can be rotated by a small amount between exposures to different reactive or purge gases. Rotating the substrate during processing (either continuously or in steps) may help produce a more uniform deposition or etch by minimizing the effect of, for example, local variability in gas flow geometries.
[0089] While the foregoing is directed to embodiments of the present disclosure, other and further embodiments of the disclosure may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

What is claimed is:
1. A gas injector insert comprising:
a wedge-shaped housing having a back face and front face, an inner peripheral end and an outer peripheral end defining a length and elongate axis, and a first side and a second side defining a width, the width increasing from the inner peripheral end to the outer peripheral end;
a first opening in the back face of the housing, the first opening in fluid communication with at least one first slot in the front face of the housing, the first slot having an elongate axis extending from the inner peripheral end to the outer peripheral end; and
a second opening in the back face of the housing, the second opening in fluid communication with at least one second slot in the front face of the housing, the second slot having an elongate axis extending from the inner peripheral end to the outer peripheral end.
2. The gas injector insert of claim 1 , wherein the first opening is in fluid communication with the first slot through a plenum.
3. The gas injector insert of claim 1 , wherein the second opening is in fluid communication with the second slot through a second plenum.
4. The gas injector insert of claim 1 , wherein the first slot and the second slot have a substantially uniform width from the inner peripheral end to the outer peripheral end.
5. The gas injector insert of claim 1 , wherein one or more of the first slot or the second slot is wedge-shaped.
8. The gas injector insert of claim 1 , wherein the first opening is connected to a vacuum source.
7. The gas injector insert of claim 1 , wherein the second opening is connected to a purge gas source.
8. The gas injector insert of claim 1 , wherein the first slot has a width greater than the second slot.
9. The gas injector insert of claim 1 , wherein there are two second slots and one first slot with one second slot on either side of the first slot.
10. The gas injector insert of claim 9, wherein each of the two second slots have a greater width than the first slot.
1 1. The gas injector insert of claim 10, wherein the second slots are in fluid communication with a purge gas and the first slot is in fluid communication with a vacuum source.
12. The gas injector insert of claim 9, wherein each of the two second slots has a smaller width than the first slot.
13. The gas injector insert of claim 12, wherein the second slots are in fluid communication with a vacuum source and the first slot is in fluid communication with a purge gas.
14. The gas injector insert of claim 1 , wherein the front face of the housing on at least one side of the second slot extends from the front face around the first slot.
15. A gas distribution assembly comprising the gas injector insert of claim 1
PCT/US2019/015842 2018-01-30 2019-01-30 Gas injector insert segment for spatial ald WO2019152514A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862624091P 2018-01-30 2018-01-30
US62/624,091 2018-01-30

Publications (1)

Publication Number Publication Date
WO2019152514A1 true WO2019152514A1 (en) 2019-08-08

Family

ID=67479956

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2019/015842 WO2019152514A1 (en) 2018-01-30 2019-01-30 Gas injector insert segment for spatial ald

Country Status (1)

Country Link
WO (1) WO2019152514A1 (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070221129A1 (en) * 2006-03-21 2007-09-27 Atto Co., Ltd Apparatus for depositing atomic layer using gas separation type showerhead
WO2008070181A2 (en) * 2006-12-05 2008-06-12 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma control grid and electrode
US20130210238A1 (en) * 2012-01-31 2013-08-15 Joseph Yudovsky Multi-Injector Spatial ALD Carousel and Methods of Use
US20150147889A1 (en) * 2013-11-26 2015-05-28 Applied Materials, Inc. Tilted Plate For Batch Processing And Methods Of Use
US20170191159A1 (en) * 2016-01-01 2017-07-06 Applied Materials, Inc. Non-Metallic Thermal CVD/ALD Gas Injector And Purge System

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070221129A1 (en) * 2006-03-21 2007-09-27 Atto Co., Ltd Apparatus for depositing atomic layer using gas separation type showerhead
WO2008070181A2 (en) * 2006-12-05 2008-06-12 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma control grid and electrode
US20130210238A1 (en) * 2012-01-31 2013-08-15 Joseph Yudovsky Multi-Injector Spatial ALD Carousel and Methods of Use
US20150147889A1 (en) * 2013-11-26 2015-05-28 Applied Materials, Inc. Tilted Plate For Batch Processing And Methods Of Use
US20170191159A1 (en) * 2016-01-01 2017-07-06 Applied Materials, Inc. Non-Metallic Thermal CVD/ALD Gas Injector And Purge System

Similar Documents

Publication Publication Date Title
TWI729101B (en) Apparatus and methods for wafer rotation in carousel susceptor
KR102557061B1 (en) Multi-zone reactor, system including the reactor, and method of using the same
US20210210312A1 (en) Symmetric Plasma Source to Generate Pie-Shaped Treatment
US10121655B2 (en) Lateral plasma/radical source
US11530480B2 (en) Injector for batch processing and methods of use
US11584992B2 (en) Gas distribution assembly for improved pump-purge and precursor delivery
US20170076917A1 (en) Plasma Module With Slotted Ground Plate
US20170306490A1 (en) Enhanced Spatial ALD Of Metals Through Controlled Precursor Mixing
US11887818B2 (en) Methods and systems to modulate film stress
US10658223B2 (en) Apparatus for prevention of backside deposition in a spatial ALD process chamber
US20220093443A1 (en) Susceptor wafer chucks for bowed wafers
WO2019152514A1 (en) Gas injector insert segment for spatial ald
US11015246B2 (en) Apparatus and methods for depositing ALD films with enhanced chemical exchange

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 19747502

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 19747502

Country of ref document: EP

Kind code of ref document: A1