US20140262028A1 - Non-Contact Wet-Process Cell Confining Liquid to a Region of a Solid Surface by Differential Pressure - Google Patents

Non-Contact Wet-Process Cell Confining Liquid to a Region of a Solid Surface by Differential Pressure Download PDF

Info

Publication number
US20140262028A1
US20140262028A1 US14/013,281 US201314013281A US2014262028A1 US 20140262028 A1 US20140262028 A1 US 20140262028A1 US 201314013281 A US201314013281 A US 201314013281A US 2014262028 A1 US2014262028 A1 US 2014262028A1
Authority
US
United States
Prior art keywords
cell
cavity
main cavity
port
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/013,281
Inventor
Rajesh Kelekar
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intermolecular Inc
Original Assignee
Intermolecular Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intermolecular Inc filed Critical Intermolecular Inc
Priority to US14/013,281 priority Critical patent/US20140262028A1/en
Assigned to INTERMOLECULAR, INC. reassignment INTERMOLECULAR, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KELEKAR, RAJESH
Publication of US20140262028A1 publication Critical patent/US20140262028A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C9/00Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/0046Sequential or parallel reactions, e.g. for the synthesis of polypeptides or polynucleotides; Apparatus and devices for combinatorial chemistry or for making molecular arrays
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1619Apparatus for electroless plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1675Process conditions
    • C23C18/1682Control of atmosphere
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/02Tanks; Installations therefor
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/12Process control or regulation
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0004Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements comprising amorphous/crystalline phase transition cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67057Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing with the semiconductor substrates being dipped in baths or vessels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of switching materials, e.g. deposition of layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00279Features relating to reactor vessels
    • B01J2219/00281Individual reactor vessels
    • B01J2219/00283Reactor vessels with top opening
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00279Features relating to reactor vessels
    • B01J2219/00281Individual reactor vessels
    • B01J2219/00286Reactor vessels with top and bottom openings
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00279Features relating to reactor vessels
    • B01J2219/00281Individual reactor vessels
    • B01J2219/00301Individual reactor vessels the reactor vessels having impervious side walls
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00279Features relating to reactor vessels
    • B01J2219/00306Reactor vessels in a multiple arrangement
    • B01J2219/00313Reactor vessels in a multiple arrangement the reactor vessels being formed by arrays of wells in blocks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00351Means for dispensing and evacuation of reagents
    • B01J2219/0038Drawing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00351Means for dispensing and evacuation of reagents
    • B01J2219/00382Stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00351Means for dispensing and evacuation of reagents
    • B01J2219/00414Means for dispensing and evacuation of reagents using suction
    • B01J2219/00416Vacuum
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00351Means for dispensing and evacuation of reagents
    • B01J2219/00418Means for dispensing and evacuation of reagents using pressure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00497Features relating to the solid phase supports
    • B01J2219/00527Sheets
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00583Features relative to the processes being carried out
    • B01J2219/00585Parallel processes

Definitions

  • a variety of electronic, optical, or micro-mechanical devices are fabricated by forming many small components on a common larger substrate (e.g., a semiconductor wafer or a sheet of glass, polymer, or carbon). “Wet” processes, involving the application of liquid to the substrate, may be used in many phases of fabrication: cleaning, etching, polishing, texturing, passivation and other surface reactions, and film-deposition methods such as plating, dip-coating, and spin-coating.
  • the confinement of liquid can be challenging. Often the liquid is dispensed from a cell, or reactor, inside the chamber. An open end of the cell may seal to the substrate by touching it. However, unwanted particle deposition, abrasion, and other forms of damage may result from the contact. This may be tolerable if the affected area does not include any device features; for example, the extreme outer periphery of a substrate may be left unprocessed to facilitate robotic handling or for other reasons. However, some fabrication methods call for isolated processing of one or more regions of the substrate that may be adjacent to other regions where devices are fabricated.
  • HPC high-productivity combinatorial
  • Non-contact approaches to site-isolated or substrate-confined wet processing include suspending the substrate with the process surface facing downward and sending the liquid upward to the surface with atomizers or impellers.
  • Other non-contact approaches include dispensing a barrier liquid or gas around the periphery of the cell; the pressure of the barrier liquid or gas acts to confine the process liquid to the desired area of the substrate.
  • the mechanisms for these approaches are complex and costly. Some require high-quality consumables that also add cost. Some approaches also leave undesired gaps between processed sites, or may require moving the reactor cell or the substrate to produce contiguous or overlapping processed sites.
  • the body of a reactor cell for processing an isolated site on a substrate has a peripheral channel around its main cavity.
  • At least four cavity ports (CP1, CP2, CP3, CP4) connect the outside of the body to the main cavity, and at least one peripheral port (PP) connects the outside of the body to the peripheral channel.
  • the manipulation of fluid (meaning either liquid or gas) communication through the ports allows process liquid to be confined to either (1) an area of the substrate inside a circle defined by the outer border of the peripheral channel (the “internal site”), or (2) an area of the substrate outside a circle defined by the inner border of the peripheral channel (the “external site”). If both areas are processed in sequence, there is an overlap region, defined by the inner and outer borders of the peripheral channel, which is processed twice.
  • the twice-processed overlap region is annular.
  • the reactor cell is placed just slightly above the substrate surface, never touching.
  • the gap height is chosen in a range where surface tension dominates the process liquid's wetting behavior (e.g., about 0.25 mm).
  • CP1 is connected to PP; CP2 is connected to a process-liquid source; CP3 is connected to a controllable exhaust (e.g., a vacuum pump configured to evacuate the main cavity); and CP4 is connected to a controllable gas source.
  • a controllable exhaust e.g., a vacuum pump configured to evacuate the main cavity
  • CP4 is connected to a controllable gas source.
  • liquid is introduced through CP2
  • gas inflow through CP4 and gas outflow through CP3 are balanced to maintain a constant underpressure, compared to the ambient chamber pressure outside the reactor cell, of about ⁇ 25 mm H 2 O.
  • CP3 may be connected to an exhaust via a mass flow controller and CP4 may have an orifice connected to the chamber ambient atmosphere.
  • the orifice controls the flow impedance
  • the mass flow controller controls the flow rate and the two controls together maintain the desired underpressure in the reactor cell.
  • the liquid is allowed to fill the cavity and channel above the gap; e.g., to a height of about 6 mm above the substrate. Meniscus effects, coupled with the pressure differential, cause the process liquid to wet up the peripheral channel rather than spreading across the substrate outside the cell.
  • PP is opened to the chamber ambient; CP1 and CP2 are sealed; gas flows controllably in through CP3 and out through CP4.
  • CP3 may be connected to a pressure source (e.g., a container of pressurized gas or a gas compressor) and CP4 may have an orifice connected to the chamber ambient atmosphere.
  • the control of flow impedance by the orifice and the control of flow by the mass flow controller together maintain the desired overpressure in the reactor cell.
  • Process liquid is introduced outside the cell while the gas inflow and outflow inside the main cavity is controlled to produce a slight overpressure ( ⁇ +25 mm H 2 O) compared to the chamber ambient.
  • the liquid is allowed to fill the peripheral channel above the gap; e.g., to a height of about 6 mm above the substrate. Meniscus effects, coupled with the pressure differential, cause the process liquid to wet up the peripheral channel rather than spreading across the substrate into the area under the main cavity.
  • the process liquid wetting up into the peripheral channel covers the area of substrate directly under the peripheral channel.
  • this area is common to the external site and the internal site, and will be processed twice as a result of sequential processing of the external and internal sites.
  • FIG. 1 is a schematic diagram of device development using primary, secondary, and tertiary screening methods that include HPC processing and may also include conventional processing.
  • FIG. 2 is a simplified schematic diagram illustrating a general methodology for combinatorial process sequence integration that includes site-isolated processing, conventional processing, or both.
  • FIGS. 3A and 3B are two conceptual views of a combinatorially-processed substrate.
  • FIG. 4 is a schematic diagram of one type of generic combinatorial wet processing system used to investigate processes involving liquids.
  • FIGS. 5A , 5 B, and 5 C are various schematic views of an example of a no-contact reactor cell body.
  • FIGS. 6A and 6B are schematic cross-sections of a no-contact reactor cell with a controllable orifice processing an internal site and an external site of a substrate.
  • FIGS. 7A and 7B are schematic cross-sections of a no-contact reactor cell with controllable gas inlet and exhaust processing an internal site and an external site of a substrate.
  • FIG. 8 is a flowchart of a method for processing an internal site on a substrate.
  • FIG. 9 is a flowchart of a method for processing an external site on a substrate.
  • FIGS. 10A-10D are conceptual views of substrates with sequentially processed internal and external sites.
  • HPC generally varies materials, unit processes, or process sequences (collectively, “candidates”) across multiple regions on a substrate. The results of the variations can be characterized to determine which candidates merit further evaluation or may be the most suitable for production or high-volume manufacturing.
  • Systems and methods for HPC processing are described in U.S. Pat. Nos. 7,544,574, 7,824,935, 7,871,928, 7,902,063, 7,947,531, and 8,084,400, and also in US Published Pat. Apps. 2007/0267631, 2007/0202614, and 2007/0202610. All of these are incorporated by reference herein for all purposes.
  • FIG. 1 is a schematic diagram of device development using primary, secondary, and tertiary screening methods that include HPC processing and may also include conventional processing.
  • the diagram 100 illustrates how the selection of a subset of the most promising candidates at each stage decreases the relative number of combinatorial processes that need to be run in the next stage.
  • a large number of processes are performed during a primary screening stage.
  • a subset of promising candidates is selected and subjected to a secondary screening stage.
  • a smaller subset of promising candidates is selected and subjected to a tertiary screening stage, and so on.
  • feedback from later stages to earlier stages can be used to refine the success criteria and provide better screening results.
  • a materials discovery stage 102 a primary screening stage.
  • Techniques for this stage may include, e.g., dividing substrates into coupons and depositing materials on each of the coupons. Materials, deposition processes, or both may vary from coupon to coupon.
  • the processed coupons are then evaluated using various metrology tools, such as electronic testers and imagers. A subset of promising candidates is advanced to the secondary screening stage, materials and process development stage 104 .
  • Hundreds of materials may be evaluated during the materials and process development stage 104 , which may focus on finding the best process for depositing each of the candidate materials.
  • a subset of promising candidates is selected to advance to the tertiary screening stage, process integration stage 106 .
  • Tens of material/process pairs may be evaluated during the process integration stage 106 , which may focus on integrating the selected processes and materials with other processes and materials. A subset of promising candidates is selected to advance to device qualification stage 108 .
  • a few candidate combinations may be evaluated during the device qualification stage 108 , which may focus on the suitability of the candidate combinations for high volume manufacturing. These evaluations may or may not be carries out on full-size substrates and production tools. Successful candidate combinations proceed to pilot manufacturing stage 110 .
  • the schematic diagram 100 is an example.
  • the descriptions of the various stages are arbitrary. In other embodiments of HPC, the stages may overlap, occur out of sequence, or be described or performed in other ways.
  • HPC techniques may arrive at a globally optimal process sequence by considering the interactions between the unit manufacturing processes, the process conditions, the process hardware details, and material characteristics of components. Rather than only considering a series of local optima for each unit operation considered in isolation, these methods consider interaction effects between the multitude of processing operations, influenced by the order in which they are performed, to derive a global optimum sequence order.
  • HPC may alternatively analyze a subset of the overall process sequence used to manufacture a device; the combinatorial approach may optimize the materials, unit processes, hardware details, and process sequence used to build a specific portion of the device.
  • Structures similar to parts of the subject device structures e.g., electrodes, resistors, transistors, capacitors, waveguides, or reflectors may be formed on the processed substrate as part of the evaluation.
  • composition or thickness of the layers or structures, or the unit process action such as cleaning, surface preparation, deposition, surface treatment, or the like
  • application of each layer or the use of a given unit process may be substantially consistent among the different regions.
  • aspects of the processing may be uniform within a region (inter-region uniformity) or between regions (intra-region uniformity), as desired.
  • the result is a series of regions on the substrate that contain structures or unit process sequences that have been uniformly applied within that region or, as applicable, across different regions.
  • This process uniformity allows comparison of the properties within and across the different regions so that the variations in test results are due to the intentionally varied parameter (e.g., material, unit process, unit process parameter, hardware detail, or process sequence) and not a lack of process uniformity.
  • the positions of the discrete regions can be defined as needed, but are preferably systematized for ease of tooling and design of experiments.
  • the number, location, and variants of structures in each region preferably enable valid statistical analysis of test results within and between regions.
  • FIG. 2 is a simplified schematic diagram illustrating a general methodology for combinatorial process sequence integration that includes site-isolated processing, conventional processing, or both.
  • the substrate is initially processed using conventional process N, then processed using site isolated process N+1.
  • an HPC module may be used, such as the HPC module described in U.S. Pat. No. 8,084,400.
  • the substrate can then be processed using site isolated process N+2, and thereafter processed using conventional process N+3. Testing is performed and the results are evaluated. The testing can include physical, chemical, acoustic, magnetic, electrical, optical, etc. tests. From this evaluation, a particular process from the various site isolated processes (e.g.
  • steps N+1 and N+2) may be selected and fixed so that additional combinatorial process sequence integration may be performed using site isolated processing for either process N or N+3.
  • a next process sequence can include processing the substrate using site isolated process N, conventional processing for processes N+1, N+2, and N+3, with testing performed thereafter.
  • the combinatorial process sequence integration can be applied to any desired segments and/or portions of an overall process flow. Characterization can be performed after each process operation and/or series of process operations within the process flow as desired. Furthermore, the flows can be applied to entire monolithic substrates, or portions such as coupons.
  • Parameters which can be varied between site-isolated regions include, but are not limited to, process material amounts, reactant species, process temperatures, process times, process pressures, process flow rates, process powers, reagent compositions, the rates at which the reactions are quenched, atmospheres in which the processes are conducted, order in which materials are deposited, hardware details including gas or liquid distribution assemblies, etc. These process parameter examples are not an exhaustive list; numerous other process parameters used in device manufacturing may also be varied.
  • the process conditions may be kept substantially uniform, in contrast to gradient processing techniques which rely on the inherent non-uniformity of the material deposition. That is, each site-isolated region may be processed in a substantially consistent and substantially uniform way, even though the materials, processes, and process sequences may vary from region to region over the substrate. Thus, the testing will find optima without interference from process variation differences between processes that are meant to be the same. Regions may be contiguous, or may overlap, or may be surrounded by unprocessed margins. Where regions are contiguous or overlapping, the materials or process interactions in the overlap may be uncertain. However in some embodiments at least 50% of the area within a region is uniformly processed and all testing can be done in that uniform area. Experiments may be designed to allow potential overlap only between materials or processes that will not adversely affect the result of the tests.
  • Combinatorial processing can be used to determine optimal processing parameters (e.g., time, concentration, temperature, stirring rate, etc.) of wet processing techniques such as wet etching, wet cleaning, rinsing, and wet deposition techniques (e.g., electroplating, electroless deposition, chemical bath deposition, dip coating, spin coating, and the like).
  • wet processing techniques such as wet etching, wet cleaning, rinsing, and wet deposition techniques
  • wet deposition techniques e.g., electroplating, electroless deposition, chemical bath deposition, dip coating, spin coating, and the like.
  • FIGS. 3A and 3B are two conceptual views of a combinatorially-processed substrate.
  • FIG. 3A is a top view of substrate 300 showing 6 site-isolated regions 302 , 312 , 322 , 332 , 342 , and 352 .
  • substrate 300 is rectangular in the illustration, any suitable substrate shape such as circular, square, or polygonal may also be used in some embodiments.
  • the site-isolated regions 302 , 312 , 322 , 332 , 342 , and 352 are shown as separated from each other by unprocessed areas of substrate 300 , in some embodiments the site-isolated regions may be contiguous or partially overlapping. Some of the site-isolated regions may be chosen to be processed identically (as regions 302 and 352 are shown here with identical shading) to test the consistency of the results on different regions of the same substrate.
  • FIG. 3B is a sectional view through section line A-A of FIG. 3A showing different films formed on site-isolated regions 332 , 342 , and 352 .
  • the regions could alternatively have identical (or no) films formed, and the variation could instead be in the cleaning, etching, polishing, or some other treatment of the different regions.
  • FIG. 4 is a schematic diagram of one type of generic combinatorial wet processing system used to investigate processes involving liquids.
  • Substrate 300 and site-isolated regions 332 , 342 , and 352 are shown in cross-section similarly to FIG. 3B .
  • Each site-isolated region is covered by one of the individual reactor cells 402 , 412 , and 422 .
  • the reactor cells confine different liquids 406 , 416 , and 426 to their main cavities 401 , 411 , and 421 and thus to the underlying regions 332 , 342 , and 352 of the substrate.
  • Conduits 404 , 414 , and 424 are connected to the cells.
  • conduits 404 , 414 , and 424 are in fluid communication with main cavities 401 , 411 , and 421 of reactor cells 402 , 412 , and 422 through ports 405 , 415 , and 425 respectively.
  • Wet processes such as cleaning, etching, surface treatment, surface functionalization, etc. may be investigated by HPC by varying liquid parameters (e.g., composition, temperature, exposure time) between different site-isolated regions.
  • FIGS. 5A , 5 B, and 5 C are various schematic views of an example of a no-contact reactor cell body.
  • FIG. 5A is a bottom perspective view
  • FIG. 5B is a top perspective view
  • FIG. 5C is a cross-section through section A-A of FIG. 5B .
  • a main cavity 501 is defined by an inner ceiling 511 and an inner sidewall 521 extending to the cell bottom surface 533 .
  • a peripheral channel 502 extends around the periphery of main cavity 501 between the inner sidewall 521 and the outer sidewall 523 .
  • Peripheral channel 502 is also open at the cell bottom surface 533 .
  • At least one peripheral port 512 extends between peripheral channel 502 and the outer surface of the cell body.
  • At least four cavity ports 541 , 551 , 561 , and 571 extend between main cavity 501 and the outer surface of the cell body.
  • the outer surface of the cell body includes outer top 513 and outer sidewall 523 .
  • a spout 531 may extend into main cavity 501 to extend the second cavity port 551 that delivers liquid to main cavity 501 .
  • Spout 531 may prevent incoming liquid from being drawn into any nearby gas exhausts operated through, for example, third cavity port 561 .
  • a spout longer than 10 mm may effectively prevent liquid from being drawn into a nearby gas exhaust.
  • spout 531 may also be used to withdraw a liquid from main cavity 501 if coupled to a pump by appropriate controllable valves.
  • the spout may extend to within 2 mm of the bottom surface.
  • an orifice 543 may be included on fourth cavity port 571 to control inflow or outflow of gas to and from main cavity 501 .
  • outer sidewall 523 , inner sidewall 521 , and peripheral channel 502 need not have annular cross-sections parallel to cell bottom surface 533 . Rectangular, rounded-rectangular, polygonal, or ovoid cross-sections may be used.
  • Peripheral port 512 and cavity ports 541 , 551 , 561 , and 571 need not penetrate through outer top 513 as shown, but may alternatively penetrate through outer sidewall 523 .
  • the ports need not be arranged in a straight line as illustrated, but may be arranged in any convenient configuration.
  • Peripheral channel 502 need not have the same depth as main-cavity 501 as shown; either one may extend further into the cell body than the other.
  • FIGS. 6A and 6B are schematic cross-sections of a no-contact reactor cell with a controllable orifice processing an internal site and an external site of a substrate.
  • a reactor cell with an orifice 643 processes an interior site on substrate 600 .
  • the reactor cell bottom surface 633 does not touch the substrate 600 , but hovers over it at a gap height 610 .
  • Gap height 610 may be between about 0.2 mm and about 0.3 mm.
  • Gap height 610 may be controlled by a height (or proximity) sensor 647 in communication with a controller 608 , such as a computer.
  • Height sensor 647 may be on the reactor cell, or may be part of a substrate holder, or may be part of a machine vision system. Some embodiments of machine-vision-based height sensors may operate from outside the chamber, viewing the cells and substrates through windows.
  • peripheral port 612 and the outer end of first cavity port 641 are connected to each other by gas conduit 604 to maintain pressure equilibrium between main cavity 601 and peripheral channel 602 .
  • a liquid source 605 is connected by liquid conduit 614 to second cavity port 651 , delivering liquid 606 to the substrate through spout 631 .
  • Liquid delivery may also be controlled by controller 608 .
  • Gas is drawn out of main cavity 601 through the third cavity port 661 , for example by a vacuum pump 615 .
  • the pressure inside main cavity 601 and peripheral channel 602 is maintained slightly lower than ambient by a control loop including a pressure sensor 607 , pressure monitor 617 , orifice control 612 , and orifice valve 637 .
  • the control loop components 607 , 617 , 627 , and 637 , as well as vacuum pump 615 may also be controlled by controller 608 .
  • Pressure sensor 607 measures the pressure inside the reactor cell or the pressure differential between the cell interior and the chamber ambient.
  • Pressure monitor 617 monitors the pressure differential between the cell interior and the chamber ambient. In some embodiments, pressure monitor 617 monitors the signals from two or more pressure sensors, and one of the sensors may be in the chamber outside the reactor cell. If the pressure inside main cavity 601 drops below a predetermined minimum value, controller 608 causes orifice valve 637 to open, admitting ambient gas from the surrounding chamber, and optionally may decrease or stop the pumping function a vacuum pump 615 , until the pressure is within a desired range. If the pressure inside main cavity 601 rises above a predetermined maximum value, controller 608 causes orifice valve 637 to close, and optionally may increase the pumping function of vacuum pump 615 , until the pressure is within a desired range.
  • the desired range and the minimum and maximum pressure values are calculated to keep liquid 606 confined to main cavity 601 and peripheral channel 602 .
  • Liquid 606 wets up the walls of main cavity 601 and peripheral channel 602 , and is confined in gap 610 by meniscus 616 .
  • the pressure range inside the cell within which this condition can be maintained can be calculated from factors such as the viscosity of liquid 606 , the height of gap 610 , and the adhesion of liquid 606 to the materials of the top surface of substrate 600 and the walls of the main cavity and peripheral channel (for example, the hydrophilic or hydrophobic properties of those surfaces if a liquid 606 is an aqueous solution).
  • a pressure range between ⁇ 0.9 and ⁇ 1.1′′ ( ⁇ 23 to ⁇ 28 mm) H 2 O will confine the process liquid to the interior site.
  • a reactor cell with an orifice 643 processes an exterior site on substrate 600 .
  • the reactor cell bottom surface 633 does not touch the substrate 600 , but hovers over it at a gap height 610 .
  • Gap height 610 may be controlled by a height sensor 647 in communication with a controller 608 .
  • peripheral port 612 is open to maintain pressure equilibrium between peripheral channel 602 and the chamber ambient.
  • First cavity port 641 and second cavity port 651 are sealed, as schematically symbolized by stoppers 624 .
  • a gas source 625 is connected by gas conduit 634 to third cavity port 661 , delivering gas to the main cavity.
  • the pressure inside main cavity 601 is maintained slightly higher than ambient by the control loop including pressure sensor 607 , pressure monitor 617 , orifice control 612 , and orifice valve 637 .
  • Orifice valve 637 may be controllable to open and close, thus permitting or restricting gas flow between the main cavity and the chamber ambient. In some embodiments, valve 637 may be continuously variable between a full-open position and a fully-closed position.
  • the control loop components 607 , 617 , 627 , and 637 , as well as gas source 625 may also be controlled by controller 608 .
  • controller 608 causes orifice valve 637 to close and gas source 625 to deliver more gas to raise the pressure to a value within the desired range. If the pressure inside main cavity 601 rises above a predetermined maximum value, controller 608 causes orifice valve 637 to open, and optionally may decrease or stop delivery of gas from source 625 , until the pressure is within the desired range.
  • the minimum and maximum pressure values are calculated to keep liquid 626 excluded from main cavity 601 and confined to peripheral channel 602 and an area outside the reactor cell.
  • Liquid 626 wets up the walls of peripheral channel 602 and the outer cell body wall 613 , and is confined in gap 610 by meniscus 636 .
  • the pressure range inside the cell within which this condition can be maintained can be calculated from factors such as the viscosity of liquid 626 , the height of gap 610 , and the adhesion of liquid 626 to the materials of the top surface of substrate 600 and the walls of the reactor cell (for example, the hydrophilic or hydrophobic properties of those surfaces if a liquid 626 is an aqueous solution).
  • a pressure range between +0.9 and +1.1′′ (+23 to +28 mm) H 2 O will confine the process liquid to the exterior site.
  • Processing the common exterior site of multiple reactor cells can be useful in HPC to map, and remove from the individual SIR results, any process non-uniformity varying spatially across the substrate due to the hardware or some underlying non-uniformity of the substrate itself.
  • FIGS. 7A and 7B are schematic cross-sections of a no-contact reactor cell with controllable gas inlet and exhaust processing an internal site and an external site of a substrate.
  • a reactor cell with an orifice 733 processes an interior site on substrate 700 .
  • the reactor cell bottom surface 733 does not touch the substrate 700 , but hovers over it at a gap height 710 .
  • Gap height 710 may be between about 0.2 mm and about 0.3 mm.
  • Gap height 710 may be controlled by a height sensor 747 in communication with a controller 708 , such as a computer, similarly to the embodiment illustrated in FIG. 6A .
  • Peripheral port 712 and first cavity port 741 are connected to each other by gas conduit 704 to maintain pressure equilibrium between main cavity 701 and peripheral channel 702 .
  • a liquid source 705 is connected by liquid conduit 714 to second cavity port 751 , delivering liquid 706 to the substrate through spout 731 . Liquid delivery may also be controlled by controller 708 .
  • Gas is drawn out of main cavity 701 through the fourth cavity port 771 , for example by a vacuum pump 715 . Gas from gas source 725 may be let into the main cavity through conduit 734 and port 761 . In some embodiments, the connections and roles of port 761 and port 771 may be reversed.
  • the pressure inside main cavity 701 and peripheral channel 702 is maintained slightly lower than ambient by a control loop including a pressure sensor 707 , pressure monitor 717 , and flow control 757 .
  • Flow control 757 may be configured to control both the inflow through port 761 and the outflow through port 771 .
  • the control loop components 707 , 717 , 757 , 725 , and 715 may also be controlled by controller 708 .
  • controller 708 causes more gas delivery from gas source 725 , and optionally may decrease or stop the pumping function a vacuum pump 715 , until the pressure is within a desired range. If the pressure inside main cavity 701 rises above a predetermined maximum value, controller 708 increases the pumping function of vacuum pump 715 , and optionally may decrease or stop the gas delivery from gas source 725 , until the pressure is within a desired range. As in FIG. 6A , the desired range and the minimum and maximum pressure values are calculated to keep liquid 706 confined to main cavity 701 and peripheral channel 702 . Liquid 706 wets up the walls of main cavity 701 and peripheral channel 702 , and is confined in gap 710 by meniscus 716 .
  • a reactor cell with an orifice 733 processes an exterior site on substrate 700 .
  • the reactor cell bottom surface 733 does not touch the substrate 700 , but hovers over it at a gap height 710 .
  • Gap height 710 may be controlled by a height sensor 747 in communication with a controller 708 .
  • peripheral port 712 is open to maintain pressure equilibrium between peripheral channel 702 and the chamber ambient.
  • First cavity port 741 and second cavity port 751 are sealed, as schematically symbolized by stoppers 724 .
  • Gas source 725 remains connected by gas conduit 734 to third cavity port 761 and vacuum pump 715 remains connected to fourth cavity port 771 .
  • the pressure inside main cavity 701 is maintained slightly higher than the chamber ambient by the control loop including pressure sensor 707 , pressure monitor 717 , and flow control 757 that may control both inflow through third cavity port 761 and outflow through fourth cavity port 771 .
  • the control loop components may also be controlled by controller 708 .
  • controller 708 causes more gas delivery from gas source 725 , and optionally may decrease or stop the pumping function a vacuum pump 715 , until the pressure is within a desired range. If the pressure inside main cavity 701 rises above a predetermined maximum value, controller 708 increases the pumping function of vacuum pump 715 , and optionally may decrease or stop the gas delivery from gas source 725 , until the pressure is within a desired range.
  • ports 761 and 771 are the same when processing an external site in FIG. 7B as when processing an internal site in FIG. 7A ; only the minimum, maximum, and desired range of differential pressures have changed to provide an overpressure in the main cavity instead of an underpressure.
  • the minimum and maximum pressure values are calculated to keep liquid 726 excluded from main cavity 701 and confined to peripheral channel 702 and an area outside the reactor cell. Liquid 726 wets up the walls of peripheral channel 702 and the outer cell body wall 713 , and is confined in gap 710 by meniscus 736 .
  • FIGS. 6A-7B demonstrate that any suitable known method of regulating pressure inside the reactor cell to be slightly under or slightly over chamber ambient can be used in some variant of this type of reactor cell.
  • FIG. 8 is a flowchart of a method for processing an internal site on a substrate.
  • the connections to the cavity and peripheral ports are configured 801 .
  • a peripheral port is connected to a first cavity port
  • a liquid source is connected to a second cavity port
  • connections to a third cavity port and a fourth cavity port operate to provide a slight gas underpressure compared to chamber ambient.
  • a vacuum pump may be connected to the third cavity port.
  • a gas source may be connected to the third cavity port and a vacuum pump may be connected to the fourth cavity port, or vice versa.
  • the reactor cell is positioned 802 over the substrate without touching it, leaving a narrow gap (e.g., between about 0.2 mm and about 0.3 mm) between the top surface of the substrate and the bottom surface of the cell.
  • a below-ambient pressure is created 803 in the main cavity by controlling the inflow and outflow of gas through the third and fourth cavity ports.
  • Process liquid is introduced 804 into the main cavity through the second cavity port.
  • the process liquid may be a deposition layer material, an etchant, a cleaning solution, a polishing mixture, or any other liquid used for any other process. Due to the underpressure, the process-liquid forms a meniscus in the gap and wets up the walls of the main cavity and the peripheral channel above the cell bottom.
  • the liquid may be introduced 804 to a depth of, for example, between 4 mm and 10 mm.
  • the pressure inside the main cavity is maintained within a desired range below chamber ambient pressure by controlling the inflow and outflow of gas through the third and fourth cavity ports. Keeping the pressure within the desired range confines the process liquid to an area of the substrate underneath this cell, within the outer periphery of a projection of the peripheral channel onto the substrate surface.
  • the desired range may be between ⁇ 23 mm and ⁇ 28 mm H 2 O.
  • the process liquid is removed 806 from the substrate. This may be done in any manner used for known substrate-contacting reactor cells; for example, by pumping it out of the cell through a liquid-exhaust conduit, or by raising the cell higher above the substrate and rinsing the entire substrate with a rinsing solution.
  • the underpressure may be maintained while the liquid is pumped out to keep it confined to the interior site. If a brief contact with the process liquid would not adversely affect part of the substrate outside the interior site, the underpressure may be released while the liquid is being removed 806 . Afterward, the next process 809 may begin.
  • FIG. 9 is a flowchart of a method for processing an external site on a substrate.
  • the connections to the cavity and peripheral ports are configured 901 .
  • a peripheral port is opened to vent the peripheral channel to the ambient atmosphere in the chamber, the first and second cavity ports are sealed, and connections to a third cavity port and a fourth cavity port operate to provide a slight gas overpressure compared to chamber ambient.
  • the fourth cavity port has an orifice with a controllable valve as in FIGS. 6A and 6B , a gas source may be connected to the third cavity port. Without a controllable orifice, a gas source may be connected to the third cavity port and a vacuum pump may be connected to the fourth cavity port, or vice versa.
  • the reactor cell is positioned 902 over the substrate without touching it, leaving a narrow gap (e.g., between about 0.2 mm and about 0.3 mm) between the top surface of the substrate and the bottom surface of the cell.
  • An above-ambient pressure is created 903 in the main cavity by controlling the inflow and outflow of gas through the third and fourth cavity ports.
  • Process liquid is introduced 904 onto the substrate outside the main cavity.
  • the process liquid may be a deposition layer material, an etchant, a cleaning solution, a polishing mixture, or any other liquid used for any other process. Due to the overpressure, the process-liquid forms a meniscus in the gap and wets up the walls of the peripheral channel and the outer sidewall of the cell above the cell bottom.
  • the liquid may be introduced 904 to a depth of, for example, between 4 mm and 10 mm.
  • the pressure inside the main cavity is maintained within a desired range above chamber ambient pressure by controlling the inflow and outflow of gas through the third and fourth cavity ports. Keeping the pressure within the desired range confines the process liquid to an area of the substrate outside the inner periphery of a projection of the peripheral channel onto the substrate surface, and excludes the liquid from the area under the main cavity.
  • the desired range may be between +23 mm and +28 mm H 2 O.
  • the process liquid is removed 906 from the substrate. This may be done in any manner used for known substrate-contacting reactor cells. In processes where it is critical that the process liquid must not touch an inner part of an interior site (i.e., the area under the main cavity), the overpressure may be maintained while the liquid is removed so that no liquid flows into that area. If a brief contact with the process liquid would not adversely affect that area, the overpressure may be released while the liquid is being removed 906 . Afterward, the next process 909 may begin.
  • FIGS. 10A-10D are conceptual views of substrates with sequentially processed internal and external sites.
  • FIG. 10A is a top view of a section of a substrate 1000 where both an interior site and an exterior site have been processed using one of the described no-contact reactor cells.
  • Circular area 1001 is the area that was located under the main cavity of the reactor cell.
  • Annular area 1003 surrounding circular area 1001 is the area that was located under the peripheral channel of the reactor cell.
  • Rectangular area 1002 outside annular area 1003 is the area that was located outside the outer boundary of the peripheral channel.
  • both an interior site and an exterior site of a reactor cell may include annular area 1003 under the peripheral channel, causing area 1003 to be processed twice.
  • FIGS. 10B-10D are sectional views through section B-B of FIG. 10A , showing some of the possible results of the overlap of the two processed areas.
  • FIG. 10B sequential processes with a no-contact cell deposited new layer 1010 on substrate 1000 .
  • a raised ring appearing in the sectional view as a pair of bumps 1003 B, resulted from the double processing of overlap zone 1003 .
  • the doubly-processed overlap regions can be ignored by doing all the characterizations in other parts of the substrate. However, there are situations where the overlap regions may share the characteristics of the non-overlap regions.
  • FIG. 10D sequential processes with a no-contact cell processed layer 1030 on substrate 1000 without creating any non-uniformity in the overlap zone.
  • a number of approaches can produce this result.
  • a layer formed like 1010 with double deposition in the overlap zone might, in some circumstances, be etched like 1020 such that two etch steps in the overlap zone level the raised area to the same plane as its surroundings.
  • layer 1030 may have been buried under an overlayer 1040 , which was wholly etched away using a wet etchant that does not etch layer 1030 . The second exposure therefore did not affect layer 1030 .
  • layer 1030 could be a coating or other surface treatment that chemically reacts with unprocessed substrate 1000 but not with an already-reacted area 1001 or 1002 .
  • Other processes can also result in a uniformly processed interior site and exterior site with no non-uniformity in the overlap zone.

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electrochemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Physical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)
  • Automation & Control Theory (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Chemical Vapour Deposition (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

An open-bottomed reactor cell for wet processing of substrates can be configured to confine a process liquid to an area under the cell (processing the “internal site”), or alternatively to exclude the process liquid from most of the area under the cell (processing the “external site”) without physical contact between the cell and substrate. A slight underpressure or overpressure maintained inside the main cavity of the cell causes the liquid to form a meniscus in the narrow gap between the cell and substrate rather than flowing outside the desired process area. An area under a peripheral channel outside the main cavity of the cell is shared by both the internal site and the external side, allowing the entire substrate to be processed.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims priority to U.S. Prov. Pat. App. No. 61/780,128, filed 13 Mar. 2013, which is entirely incorporated by reference herein for all purposes.
  • BACKGROUND
  • Related fields include combinatorial methods for device process development; in particular, combinatorial methods of developing and optimizing wet processes and the formulations used in those processes.
  • A variety of electronic, optical, or micro-mechanical devices are fabricated by forming many small components on a common larger substrate (e.g., a semiconductor wafer or a sheet of glass, polymer, or carbon). “Wet” processes, involving the application of liquid to the substrate, may be used in many phases of fabrication: cleaning, etching, polishing, texturing, passivation and other surface reactions, and film-deposition methods such as plating, dip-coating, and spin-coating.
  • Often the fabrication of a particular device involves both wet processes and “dry” (no-liquid) processes such as treatments with gas, plasma, solid particulates, or electrical and magnetic fields. The performance of these devices is often highly sensitive to contamination. Performing as many of the processes as possible in the same controlled environment (e.g., the same process chamber or sealed group of chambers) minimizes the risk of exposure. The risk of contamination exposure is also reduced by reducing the need for chamber-cleaning operations that admit ambient atmosphere to the chamber; confining both dry and wet process substances to the substrate surface, where possible, is helpful.
  • Since most substrates are flat, the confinement of liquid can be challenging. Often the liquid is dispensed from a cell, or reactor, inside the chamber. An open end of the cell may seal to the substrate by touching it. However, unwanted particle deposition, abrasion, and other forms of damage may result from the contact. This may be tolerable if the affected area does not include any device features; for example, the extreme outer periphery of a substrate may be left unprocessed to facilitate robotic handling or for other reasons. However, some fabrication methods call for isolated processing of one or more regions of the substrate that may be adjacent to other regions where devices are fabricated.
  • One example of a requirement for isolated processing of regions on a substrate is high-productivity combinatorial (HPC) processing. As part of the discovery, optimization and qualification of each unit process, it is desirable to rapidly and efficiently test different i) materials, ii) unit-process conditions, iii) sequences and integrations of unit-process modules in a processing tool, iv) sequences of processing tools in different process-integration flows, and (v) combinations thereof. Results can be acquired faster and at lower cost if each set of variables tested does not consume an entire substrate; i.e., if multiple materials, process conditions, sequences, integration flows, or combinations can be tested on isolated sites of the same substrate. HPC processing techniques have been successfully adapted to both dry and wet chemical processing.
  • Known non-contact approaches to site-isolated or substrate-confined wet processing include suspending the substrate with the process surface facing downward and sending the liquid upward to the surface with atomizers or impellers. Other non-contact approaches include dispensing a barrier liquid or gas around the periphery of the cell; the pressure of the barrier liquid or gas acts to confine the process liquid to the desired area of the substrate. The mechanisms for these approaches are complex and costly. Some require high-quality consumables that also add cost. Some approaches also leave undesired gaps between processed sites, or may require moving the reactor cell or the substrate to produce contiguous or overlapping processed sites.
  • Therefore, the industry would benefit from simple, robust non-contact techniques for confining wet-process liquids to isolated sites on a substrate. Additional benefits would result from an ability to process contiguous or overlapping sites without needing to translate the reactor cell(s) or the substrate.
  • SUMMARY
  • The following summary presents some concepts in a simplified form as an introduction to the detailed description that follows. It does not necessarily identify key or critical elements and is not intended to reflect a scope of invention.
  • The body of a reactor cell for processing an isolated site on a substrate has a peripheral channel around its main cavity. At least four cavity ports (CP1, CP2, CP3, CP4) connect the outside of the body to the main cavity, and at least one peripheral port (PP) connects the outside of the body to the peripheral channel. The manipulation of fluid (meaning either liquid or gas) communication through the ports allows process liquid to be confined to either (1) an area of the substrate inside a circle defined by the outer border of the peripheral channel (the “internal site”), or (2) an area of the substrate outside a circle defined by the inner border of the peripheral channel (the “external site”). If both areas are processed in sequence, there is an overlap region, defined by the inner and outer borders of the peripheral channel, which is processed twice. For example, if the opening of the peripheral channel facing the substrate is circular, the twice-processed overlap region is annular. In operation, the reactor cell is placed just slightly above the substrate surface, never touching. The gap height is chosen in a range where surface tension dominates the process liquid's wetting behavior (e.g., about 0.25 mm).
  • To process the internal site, CP1 is connected to PP; CP2 is connected to a process-liquid source; CP3 is connected to a controllable exhaust (e.g., a vacuum pump configured to evacuate the main cavity); and CP4 is connected to a controllable gas source. As liquid is introduced through CP2, gas inflow through CP4 and gas outflow through CP3 are balanced to maintain a constant underpressure, compared to the ambient chamber pressure outside the reactor cell, of about −25 mm H2O. For example, CP3 may be connected to an exhaust via a mass flow controller and CP4 may have an orifice connected to the chamber ambient atmosphere. The orifice controls the flow impedance, the mass flow controller controls the flow rate and the two controls together maintain the desired underpressure in the reactor cell. The liquid is allowed to fill the cavity and channel above the gap; e.g., to a height of about 6 mm above the substrate. Meniscus effects, coupled with the pressure differential, cause the process liquid to wet up the peripheral channel rather than spreading across the substrate outside the cell.
  • To process the external site, PP is opened to the chamber ambient; CP1 and CP2 are sealed; gas flows controllably in through CP3 and out through CP4. For example, CP3 may be connected to a pressure source (e.g., a container of pressurized gas or a gas compressor) and CP4 may have an orifice connected to the chamber ambient atmosphere. The control of flow impedance by the orifice and the control of flow by the mass flow controller together maintain the desired overpressure in the reactor cell. Process liquid is introduced outside the cell while the gas inflow and outflow inside the main cavity is controlled to produce a slight overpressure (˜+25 mm H2O) compared to the chamber ambient. The liquid is allowed to fill the peripheral channel above the gap; e.g., to a height of about 6 mm above the substrate. Meniscus effects, coupled with the pressure differential, cause the process liquid to wet up the peripheral channel rather than spreading across the substrate into the area under the main cavity.
  • In both cases, the process liquid wetting up into the peripheral channel covers the area of substrate directly under the peripheral channel. Thus this area is common to the external site and the internal site, and will be processed twice as a result of sequential processing of the external and internal sites.
  • BRIEF DESCRIPTION OF DRAWINGS
  • The accompanying drawings may illustrate examples of concepts, embodiments, or results. They do not define or limit the scope of invention. They are not drawn to any absolute or relative scale. In some cases, identical or similar reference numbers may be used for identical or similar features in multiple drawings.
  • FIG. 1 is a schematic diagram of device development using primary, secondary, and tertiary screening methods that include HPC processing and may also include conventional processing.
  • FIG. 2 is a simplified schematic diagram illustrating a general methodology for combinatorial process sequence integration that includes site-isolated processing, conventional processing, or both.
  • FIGS. 3A and 3B are two conceptual views of a combinatorially-processed substrate.
  • FIG. 4 is a schematic diagram of one type of generic combinatorial wet processing system used to investigate processes involving liquids.
  • FIGS. 5A, 5B, and 5C are various schematic views of an example of a no-contact reactor cell body.
  • FIGS. 6A and 6B are schematic cross-sections of a no-contact reactor cell with a controllable orifice processing an internal site and an external site of a substrate.
  • FIGS. 7A and 7B are schematic cross-sections of a no-contact reactor cell with controllable gas inlet and exhaust processing an internal site and an external site of a substrate.
  • FIG. 8 is a flowchart of a method for processing an internal site on a substrate.
  • FIG. 9 is a flowchart of a method for processing an external site on a substrate.
  • FIGS. 10A-10D are conceptual views of substrates with sequentially processed internal and external sites.
  • DETAILED DESCRIPTION OF EXAMPLE EMBODIMENTS
  • In the following description, methods for evaluating processing conditions and wet chemicals are illustrated using a simple planar structure. The description and teachings can be readily applied to any simple or complex testing methodology.
  • Unless the text or context clearly dictates otherwise: (1) By default, singular articles “a,” “an,” and “the” (or the absence of an article) may encompass plural variations; for example, “a layer” may mean “one or more layers.” (2) “Or” in a list of multiple items means that any, all, or any combination of less than all the items in the list may be used in the invention. (3) Where a range of values is provided, each intervening value is encompassed within the invention. (4) “About” or “approximately” contemplates up to 10% variation; “substantially” contemplates up to 5% variation. (5) “Fluid” may be either liquid or gas. (6) A “port” is an opening for fluid communication between otherwise separate spaces. (7) “Wet” and “wick” describe spreading of liquid on a surface due to adhesion. (8) “Process liquid” may include colloids or suspensions containing solid particles and capable of flowing (e.g., slurries).
  • HPC generally varies materials, unit processes, or process sequences (collectively, “candidates”) across multiple regions on a substrate. The results of the variations can be characterized to determine which candidates merit further evaluation or may be the most suitable for production or high-volume manufacturing. Systems and methods for HPC processing are described in U.S. Pat. Nos. 7,544,574, 7,824,935, 7,871,928, 7,902,063, 7,947,531, and 8,084,400, and also in US Published Pat. Apps. 2007/0267631, 2007/0202614, and 2007/0202610. All of these are incorporated by reference herein for all purposes.
  • FIG. 1 is a schematic diagram of device development using primary, secondary, and tertiary screening methods that include HPC processing and may also include conventional processing. The diagram 100 illustrates how the selection of a subset of the most promising candidates at each stage decreases the relative number of combinatorial processes that need to be run in the next stage. Generally, a large number of processes are performed during a primary screening stage. Based on the primary-screening results, a subset of promising candidates is selected and subjected to a secondary screening stage. Based on the secondary-screening results, a smaller subset of promising candidates is selected and subjected to a tertiary screening stage, and so on. In addition, feedback from later stages to earlier stages can be used to refine the success criteria and provide better screening results.
  • For example, thousands of materials may be evaluated during a materials discovery stage 102, a primary screening stage. Techniques for this stage may include, e.g., dividing substrates into coupons and depositing materials on each of the coupons. Materials, deposition processes, or both may vary from coupon to coupon. The processed coupons are then evaluated using various metrology tools, such as electronic testers and imagers. A subset of promising candidates is advanced to the secondary screening stage, materials and process development stage 104.
  • Hundreds of materials (i.e., a magnitude smaller than the primary stage) may be evaluated during the materials and process development stage 104, which may focus on finding the best process for depositing each of the candidate materials. A subset of promising candidates is selected to advance to the tertiary screening stage, process integration stage 106.
  • Tens of material/process pairs may be evaluated during the process integration stage 106, which may focus on integrating the selected processes and materials with other processes and materials. A subset of promising candidates is selected to advance to device qualification stage 108.
  • A few candidate combinations may be evaluated during the device qualification stage 108, which may focus on the suitability of the candidate combinations for high volume manufacturing. These evaluations may or may not be carries out on full-size substrates and production tools. Successful candidate combinations proceed to pilot manufacturing stage 110.
  • The schematic diagram 100 is an example. The descriptions of the various stages are arbitrary. In other embodiments of HPC, the stages may overlap, occur out of sequence, or be described or performed in other ways.
  • HPC techniques may arrive at a globally optimal process sequence by considering the interactions between the unit manufacturing processes, the process conditions, the process hardware details, and material characteristics of components. Rather than only considering a series of local optima for each unit operation considered in isolation, these methods consider interaction effects between the multitude of processing operations, influenced by the order in which they are performed, to derive a global optimum sequence order.
  • HPC may alternatively analyze a subset of the overall process sequence used to manufacture a device; the combinatorial approach may optimize the materials, unit processes, hardware details, and process sequence used to build a specific portion of the device. Structures similar to parts of the subject device structures (e.g., electrodes, resistors, transistors, capacitors, waveguides, or reflectors) may be formed on the processed substrate as part of the evaluation.
  • While certain materials, unit processes, hardware details, or process sequences are varied, other parameters (e.g., composition or thickness of the layers or structures, or the unit process action such as cleaning, surface preparation, deposition, surface treatment, or the like) are kept substantially uniform across each discrete region of the substrate. Furthermore, while different materials or unit processes may be used for corresponding layers or steps in the formation of a structure in different regions of the substrate, the application of each layer or the use of a given unit process may be substantially consistent among the different regions. Thus, aspects of the processing may be uniform within a region (inter-region uniformity) or between regions (intra-region uniformity), as desired.
  • The result is a series of regions on the substrate that contain structures or unit process sequences that have been uniformly applied within that region or, as applicable, across different regions. This process uniformity allows comparison of the properties within and across the different regions so that the variations in test results are due to the intentionally varied parameter (e.g., material, unit process, unit process parameter, hardware detail, or process sequence) and not a lack of process uniformity. The positions of the discrete regions can be defined as needed, but are preferably systematized for ease of tooling and design of experiments. The number, location, and variants of structures in each region preferably enable valid statistical analysis of test results within and between regions.
  • FIG. 2 is a simplified schematic diagram illustrating a general methodology for combinatorial process sequence integration that includes site-isolated processing, conventional processing, or both. In one embodiment, the substrate is initially processed using conventional process N, then processed using site isolated process N+1. During site isolated processing, an HPC module may be used, such as the HPC module described in U.S. Pat. No. 8,084,400. The substrate can then be processed using site isolated process N+2, and thereafter processed using conventional process N+3. Testing is performed and the results are evaluated. The testing can include physical, chemical, acoustic, magnetic, electrical, optical, etc. tests. From this evaluation, a particular process from the various site isolated processes (e.g. from steps N+1 and N+2) may be selected and fixed so that additional combinatorial process sequence integration may be performed using site isolated processing for either process N or N+3. For example, a next process sequence can include processing the substrate using site isolated process N, conventional processing for processes N+1, N+2, and N+3, with testing performed thereafter.
  • Various other combinations of conventional and combinatorial processes can be included in the processing sequence. The combinatorial process sequence integration can be applied to any desired segments and/or portions of an overall process flow. Characterization can be performed after each process operation and/or series of process operations within the process flow as desired. Furthermore, the flows can be applied to entire monolithic substrates, or portions such as coupons.
  • Parameters which can be varied between site-isolated regions include, but are not limited to, process material amounts, reactant species, process temperatures, process times, process pressures, process flow rates, process powers, reagent compositions, the rates at which the reactions are quenched, atmospheres in which the processes are conducted, order in which materials are deposited, hardware details including gas or liquid distribution assemblies, etc. These process parameter examples are not an exhaustive list; numerous other process parameters used in device manufacturing may also be varied.
  • Within a region, the process conditions may be kept substantially uniform, in contrast to gradient processing techniques which rely on the inherent non-uniformity of the material deposition. That is, each site-isolated region may be processed in a substantially consistent and substantially uniform way, even though the materials, processes, and process sequences may vary from region to region over the substrate. Thus, the testing will find optima without interference from process variation differences between processes that are meant to be the same. Regions may be contiguous, or may overlap, or may be surrounded by unprocessed margins. Where regions are contiguous or overlapping, the materials or process interactions in the overlap may be uncertain. However in some embodiments at least 50% of the area within a region is uniformly processed and all testing can be done in that uniform area. Experiments may be designed to allow potential overlap only between materials or processes that will not adversely affect the result of the tests.
  • Combinatorial processing can be used to determine optimal processing parameters (e.g., time, concentration, temperature, stirring rate, etc.) of wet processing techniques such as wet etching, wet cleaning, rinsing, and wet deposition techniques (e.g., electroplating, electroless deposition, chemical bath deposition, dip coating, spin coating, and the like).
  • FIGS. 3A and 3B are two conceptual views of a combinatorially-processed substrate. FIG. 3A is a top view of substrate 300 showing 6 site-isolated regions 302, 312, 322, 332, 342, and 352. Although substrate 300 is rectangular in the illustration, any suitable substrate shape such as circular, square, or polygonal may also be used in some embodiments. Although the site-isolated regions 302, 312, 322, 332, 342, and 352 are shown as separated from each other by unprocessed areas of substrate 300, in some embodiments the site-isolated regions may be contiguous or partially overlapping. Some of the site-isolated regions may be chosen to be processed identically (as regions 302 and 352 are shown here with identical shading) to test the consistency of the results on different regions of the same substrate.
  • FIG. 3B is a sectional view through section line A-A of FIG. 3A showing different films formed on site-isolated regions 332, 342, and 352. The regions could alternatively have identical (or no) films formed, and the variation could instead be in the cleaning, etching, polishing, or some other treatment of the different regions.
  • FIG. 4 is a schematic diagram of one type of generic combinatorial wet processing system used to investigate processes involving liquids. Substrate 300 and site-isolated regions 332, 342, and 352 are shown in cross-section similarly to FIG. 3B. Each site-isolated region is covered by one of the individual reactor cells 402, 412, and 422. The reactor cells confine different liquids 406, 416, and 426 to their main cavities 401, 411, and 421 and thus to the underlying regions 332, 342, and 352 of the substrate. Conduits 404, 414, and 424 are connected to the cells. Some types of conduits deliver process liquid to the reactor cells, while other conduits may remove the process liquids, inject or remove gases or buffer liquids, or maintain pressure equilibrium with the chamber ambient. The illustrated conduits 404, 414, and 424 are in fluid communication with main cavities 401, 411, and 421 of reactor cells 402, 412, and 422 through ports 405, 415, and 425 respectively. Wet processes such as cleaning, etching, surface treatment, surface functionalization, etc. may be investigated by HPC by varying liquid parameters (e.g., composition, temperature, exposure time) between different site-isolated regions.
  • FIGS. 5A, 5B, and 5C are various schematic views of an example of a no-contact reactor cell body. FIG. 5A is a bottom perspective view, FIG. 5B is a top perspective view, and FIG. 5C is a cross-section through section A-A of FIG. 5B. A main cavity 501 is defined by an inner ceiling 511 and an inner sidewall 521 extending to the cell bottom surface 533. A peripheral channel 502 extends around the periphery of main cavity 501 between the inner sidewall 521 and the outer sidewall 523. Peripheral channel 502 is also open at the cell bottom surface 533. At least one peripheral port 512 extends between peripheral channel 502 and the outer surface of the cell body. At least four cavity ports 541, 551, 561, and 571 extend between main cavity 501 and the outer surface of the cell body. The outer surface of the cell body includes outer top 513 and outer sidewall 523.
  • Optionally, a spout 531 may extend into main cavity 501 to extend the second cavity port 551 that delivers liquid to main cavity 501. Spout 531 may prevent incoming liquid from being drawn into any nearby gas exhausts operated through, for example, third cavity port 561. For example, a spout longer than 10 mm may effectively prevent liquid from being drawn into a nearby gas exhaust. Depending on its length, spout 531 may also be used to withdraw a liquid from main cavity 501 if coupled to a pump by appropriate controllable valves. For example, the spout may extend to within 2 mm of the bottom surface. In some embodiments, an orifice 543 may be included on fourth cavity port 571 to control inflow or outflow of gas to and from main cavity 501.
  • Numerous variations on the illustrated example are possible. For example, outer sidewall 523, inner sidewall 521, and peripheral channel 502 need not have annular cross-sections parallel to cell bottom surface 533. Rectangular, rounded-rectangular, polygonal, or ovoid cross-sections may be used. Peripheral port 512 and cavity ports 541, 551, 561, and 571 need not penetrate through outer top 513 as shown, but may alternatively penetrate through outer sidewall 523. The ports need not be arranged in a straight line as illustrated, but may be arranged in any convenient configuration. Peripheral channel 502 need not have the same depth as main-cavity 501 as shown; either one may extend further into the cell body than the other.
  • FIGS. 6A and 6B are schematic cross-sections of a no-contact reactor cell with a controllable orifice processing an internal site and an external site of a substrate. In FIG. 6A, a reactor cell with an orifice 643 processes an interior site on substrate 600. The reactor cell bottom surface 633 does not touch the substrate 600, but hovers over it at a gap height 610. Gap height 610 may be between about 0.2 mm and about 0.3 mm. Gap height 610 may be controlled by a height (or proximity) sensor 647 in communication with a controller 608, such as a computer. Height sensor 647 may be on the reactor cell, or may be part of a substrate holder, or may be part of a machine vision system. Some embodiments of machine-vision-based height sensors may operate from outside the chamber, viewing the cells and substrates through windows.
  • The outer end of peripheral port 612 and the outer end of first cavity port 641 are connected to each other by gas conduit 604 to maintain pressure equilibrium between main cavity 601 and peripheral channel 602. A liquid source 605 is connected by liquid conduit 614 to second cavity port 651, delivering liquid 606 to the substrate through spout 631. Liquid delivery may also be controlled by controller 608. Gas is drawn out of main cavity 601 through the third cavity port 661, for example by a vacuum pump 615. The pressure inside main cavity 601 and peripheral channel 602 is maintained slightly lower than ambient by a control loop including a pressure sensor 607, pressure monitor 617, orifice control 612, and orifice valve 637. The control loop components 607, 617, 627, and 637, as well as vacuum pump 615, may also be controlled by controller 608.
  • Pressure sensor 607 measures the pressure inside the reactor cell or the pressure differential between the cell interior and the chamber ambient. Pressure monitor 617 monitors the pressure differential between the cell interior and the chamber ambient. In some embodiments, pressure monitor 617 monitors the signals from two or more pressure sensors, and one of the sensors may be in the chamber outside the reactor cell. If the pressure inside main cavity 601 drops below a predetermined minimum value, controller 608 causes orifice valve 637 to open, admitting ambient gas from the surrounding chamber, and optionally may decrease or stop the pumping function a vacuum pump 615, until the pressure is within a desired range. If the pressure inside main cavity 601 rises above a predetermined maximum value, controller 608 causes orifice valve 637 to close, and optionally may increase the pumping function of vacuum pump 615, until the pressure is within a desired range.
  • The desired range and the minimum and maximum pressure values are calculated to keep liquid 606 confined to main cavity 601 and peripheral channel 602. Liquid 606 wets up the walls of main cavity 601 and peripheral channel 602, and is confined in gap 610 by meniscus 616. The pressure range inside the cell within which this condition can be maintained can be calculated from factors such as the viscosity of liquid 606, the height of gap 610, and the adhesion of liquid 606 to the materials of the top surface of substrate 600 and the walls of the main cavity and peripheral channel (for example, the hydrophilic or hydrophobic properties of those surfaces if a liquid 606 is an aqueous solution). For example, for many process liquids 606, a pressure range between −0.9 and −1.1″ (−23 to −28 mm) H2O will confine the process liquid to the interior site.
  • In FIG. 6B, a reactor cell with an orifice 643 processes an exterior site on substrate 600. The reactor cell bottom surface 633 does not touch the substrate 600, but hovers over it at a gap height 610. Gap height 610 may be controlled by a height sensor 647 in communication with a controller 608.
  • In this configuration, peripheral port 612 is open to maintain pressure equilibrium between peripheral channel 602 and the chamber ambient. First cavity port 641 and second cavity port 651 are sealed, as schematically symbolized by stoppers 624. A gas source 625 is connected by gas conduit 634 to third cavity port 661, delivering gas to the main cavity. The pressure inside main cavity 601 is maintained slightly higher than ambient by the control loop including pressure sensor 607, pressure monitor 617, orifice control 612, and orifice valve 637. Orifice valve 637 may be controllable to open and close, thus permitting or restricting gas flow between the main cavity and the chamber ambient. In some embodiments, valve 637 may be continuously variable between a full-open position and a fully-closed position. The control loop components 607, 617, 627, and 637, as well as gas source 625, may also be controlled by controller 608.
  • If the pressure inside main cavity 601, as measured by pressure sensor 607 and monitored by pressure monitor 617, drops below a predetermined minimum value, controller 608 causes orifice valve 637 to close and gas source 625 to deliver more gas to raise the pressure to a value within the desired range. If the pressure inside main cavity 601 rises above a predetermined maximum value, controller 608 causes orifice valve 637 to open, and optionally may decrease or stop delivery of gas from source 625, until the pressure is within the desired range. The minimum and maximum pressure values are calculated to keep liquid 626 excluded from main cavity 601 and confined to peripheral channel 602 and an area outside the reactor cell. Liquid 626 wets up the walls of peripheral channel 602 and the outer cell body wall 613, and is confined in gap 610 by meniscus 636. The pressure range inside the cell within which this condition can be maintained can be calculated from factors such as the viscosity of liquid 626, the height of gap 610, and the adhesion of liquid 626 to the materials of the top surface of substrate 600 and the walls of the reactor cell (for example, the hydrophilic or hydrophobic properties of those surfaces if a liquid 626 is an aqueous solution). For many process liquids 626, a pressure range between +0.9 and +1.1″ (+23 to +28 mm) H2O will confine the process liquid to the exterior site.
  • Processing the common exterior site of multiple reactor cells can be useful in HPC to map, and remove from the individual SIR results, any process non-uniformity varying spatially across the substrate due to the hardware or some underlying non-uniformity of the substrate itself.
  • FIGS. 7A and 7B are schematic cross-sections of a no-contact reactor cell with controllable gas inlet and exhaust processing an internal site and an external site of a substrate. In FIG. 7A, a reactor cell with an orifice 733 processes an interior site on substrate 700. The reactor cell bottom surface 733 does not touch the substrate 700, but hovers over it at a gap height 710. Gap height 710 may be between about 0.2 mm and about 0.3 mm. Gap height 710 may be controlled by a height sensor 747 in communication with a controller 708, such as a computer, similarly to the embodiment illustrated in FIG. 6A.
  • Peripheral port 712 and first cavity port 741 are connected to each other by gas conduit 704 to maintain pressure equilibrium between main cavity 701 and peripheral channel 702. A liquid source 705 is connected by liquid conduit 714 to second cavity port 751, delivering liquid 706 to the substrate through spout 731. Liquid delivery may also be controlled by controller 708. Gas is drawn out of main cavity 701 through the fourth cavity port 771, for example by a vacuum pump 715. Gas from gas source 725 may be let into the main cavity through conduit 734 and port 761. In some embodiments, the connections and roles of port 761 and port 771 may be reversed. The pressure inside main cavity 701 and peripheral channel 702 is maintained slightly lower than ambient by a control loop including a pressure sensor 707, pressure monitor 717, and flow control 757. Flow control 757 may be configured to control both the inflow through port 761 and the outflow through port 771. The control loop components 707, 717, 757, 725, and 715 may also be controlled by controller 708.
  • If the pressure inside main cavity 701, as measured by pressure sensor 707 and monitored by pressure monitor 717, drops below a predetermined minimum value, controller 708 causes more gas delivery from gas source 725, and optionally may decrease or stop the pumping function a vacuum pump 715, until the pressure is within a desired range. If the pressure inside main cavity 701 rises above a predetermined maximum value, controller 708 increases the pumping function of vacuum pump 715, and optionally may decrease or stop the gas delivery from gas source 725, until the pressure is within a desired range. As in FIG. 6A, the desired range and the minimum and maximum pressure values are calculated to keep liquid 706 confined to main cavity 701 and peripheral channel 702. Liquid 706 wets up the walls of main cavity 701 and peripheral channel 702, and is confined in gap 710 by meniscus 716.
  • In FIG. 7B, a reactor cell with an orifice 733 processes an exterior site on substrate 700. The reactor cell bottom surface 733 does not touch the substrate 700, but hovers over it at a gap height 710. Gap height 710 may be controlled by a height sensor 747 in communication with a controller 708.
  • In this configuration, peripheral port 712 is open to maintain pressure equilibrium between peripheral channel 702 and the chamber ambient. First cavity port 741 and second cavity port 751 are sealed, as schematically symbolized by stoppers 724. Gas source 725 remains connected by gas conduit 734 to third cavity port 761 and vacuum pump 715 remains connected to fourth cavity port 771. The pressure inside main cavity 701 is maintained slightly higher than the chamber ambient by the control loop including pressure sensor 707, pressure monitor 717, and flow control 757 that may control both inflow through third cavity port 761 and outflow through fourth cavity port 771. The control loop components may also be controlled by controller 708.
  • If the pressure inside main cavity 701, or the pressure differential between main cavity 701 and the chamber ambient, drops below a predetermined minimum value, controller 708 causes more gas delivery from gas source 725, and optionally may decrease or stop the pumping function a vacuum pump 715, until the pressure is within a desired range. If the pressure inside main cavity 701 rises above a predetermined maximum value, controller 708 increases the pumping function of vacuum pump 715, and optionally may decrease or stop the gas delivery from gas source 725, until the pressure is within a desired range.
  • Thus the functions and connections of ports 761 and 771 are the same when processing an external site in FIG. 7B as when processing an internal site in FIG. 7A; only the minimum, maximum, and desired range of differential pressures have changed to provide an overpressure in the main cavity instead of an underpressure. As in FIG. 6B, the minimum and maximum pressure values are calculated to keep liquid 726 excluded from main cavity 701 and confined to peripheral channel 702 and an area outside the reactor cell. Liquid 726 wets up the walls of peripheral channel 702 and the outer cell body wall 713, and is confined in gap 710 by meniscus 736.
  • The examples in FIGS. 6A-7B demonstrate that any suitable known method of regulating pressure inside the reactor cell to be slightly under or slightly over chamber ambient can be used in some variant of this type of reactor cell.
  • FIG. 8 is a flowchart of a method for processing an internal site on a substrate. Initially, the connections to the cavity and peripheral ports are configured 801. A peripheral port is connected to a first cavity port, a liquid source is connected to a second cavity port, and connections to a third cavity port and a fourth cavity port operate to provide a slight gas underpressure compared to chamber ambient. If the fourth cavity port has an orifice with a controllable valve as in FIGS. 6A and 6B, a vacuum pump may be connected to the third cavity port. Without a controllable orifice, a gas source may be connected to the third cavity port and a vacuum pump may be connected to the fourth cavity port, or vice versa.
  • The reactor cell is positioned 802 over the substrate without touching it, leaving a narrow gap (e.g., between about 0.2 mm and about 0.3 mm) between the top surface of the substrate and the bottom surface of the cell. A below-ambient pressure is created 803 in the main cavity by controlling the inflow and outflow of gas through the third and fourth cavity ports.
  • Process liquid is introduced 804 into the main cavity through the second cavity port. The process liquid may be a deposition layer material, an etchant, a cleaning solution, a polishing mixture, or any other liquid used for any other process. Due to the underpressure, the process-liquid forms a meniscus in the gap and wets up the walls of the main cavity and the peripheral channel above the cell bottom. The liquid may be introduced 804 to a depth of, for example, between 4 mm and 10 mm.
  • As the liquid is introduced 804 and the substrate is processed 805, the pressure inside the main cavity is maintained within a desired range below chamber ambient pressure by controlling the inflow and outflow of gas through the third and fourth cavity ports. Keeping the pressure within the desired range confines the process liquid to an area of the substrate underneath this cell, within the outer periphery of a projection of the peripheral channel onto the substrate surface. For example, the desired range may be between −23 mm and −28 mm H2O.
  • When the process using the process liquid is complete, the process liquid is removed 806 from the substrate. This may be done in any manner used for known substrate-contacting reactor cells; for example, by pumping it out of the cell through a liquid-exhaust conduit, or by raising the cell higher above the substrate and rinsing the entire substrate with a rinsing solution. In processes where it is critical that the process liquid must not touch any part of the substrate other than the interior site, the underpressure may be maintained while the liquid is pumped out to keep it confined to the interior site. If a brief contact with the process liquid would not adversely affect part of the substrate outside the interior site, the underpressure may be released while the liquid is being removed 806. Afterward, the next process 809 may begin.
  • FIG. 9 is a flowchart of a method for processing an external site on a substrate. Initially, the connections to the cavity and peripheral ports are configured 901. A peripheral port is opened to vent the peripheral channel to the ambient atmosphere in the chamber, the first and second cavity ports are sealed, and connections to a third cavity port and a fourth cavity port operate to provide a slight gas overpressure compared to chamber ambient. If the fourth cavity port has an orifice with a controllable valve as in FIGS. 6A and 6B, a gas source may be connected to the third cavity port. Without a controllable orifice, a gas source may be connected to the third cavity port and a vacuum pump may be connected to the fourth cavity port, or vice versa.
  • The reactor cell is positioned 902 over the substrate without touching it, leaving a narrow gap (e.g., between about 0.2 mm and about 0.3 mm) between the top surface of the substrate and the bottom surface of the cell. An above-ambient pressure is created 903 in the main cavity by controlling the inflow and outflow of gas through the third and fourth cavity ports.
  • Process liquid is introduced 904 onto the substrate outside the main cavity. The process liquid may be a deposition layer material, an etchant, a cleaning solution, a polishing mixture, or any other liquid used for any other process. Due to the overpressure, the process-liquid forms a meniscus in the gap and wets up the walls of the peripheral channel and the outer sidewall of the cell above the cell bottom. The liquid may be introduced 904 to a depth of, for example, between 4 mm and 10 mm.
  • As the liquid is introduced 904 and the substrate is processed 905, the pressure inside the main cavity is maintained within a desired range above chamber ambient pressure by controlling the inflow and outflow of gas through the third and fourth cavity ports. Keeping the pressure within the desired range confines the process liquid to an area of the substrate outside the inner periphery of a projection of the peripheral channel onto the substrate surface, and excludes the liquid from the area under the main cavity. For example, the desired range may be between +23 mm and +28 mm H2O.
  • When the process using the process liquid is complete, the process liquid is removed 906 from the substrate. This may be done in any manner used for known substrate-contacting reactor cells. In processes where it is critical that the process liquid must not touch an inner part of an interior site (i.e., the area under the main cavity), the overpressure may be maintained while the liquid is removed so that no liquid flows into that area. If a brief contact with the process liquid would not adversely affect that area, the overpressure may be released while the liquid is being removed 906. Afterward, the next process 909 may begin.
  • FIGS. 10A-10D are conceptual views of substrates with sequentially processed internal and external sites. FIG. 10A is a top view of a section of a substrate 1000 where both an interior site and an exterior site have been processed using one of the described no-contact reactor cells. Circular area 1001 is the area that was located under the main cavity of the reactor cell. Annular area 1003 surrounding circular area 1001 is the area that was located under the peripheral channel of the reactor cell. Rectangular area 1002 outside annular area 1003 is the area that was located outside the outer boundary of the peripheral channel.
  • As shown in FIGS. 6A-7B, both an interior site and an exterior site of a reactor cell may include annular area 1003 under the peripheral channel, causing area 1003 to be processed twice. FIGS. 10B-10D are sectional views through section B-B of FIG. 10A, showing some of the possible results of the overlap of the two processed areas.
  • In FIG. 10B, sequential processes with a no-contact cell deposited new layer 1010 on substrate 1000. A raised ring, appearing in the sectional view as a pair of bumps 1003B, resulted from the double processing of overlap zone 1003.
  • In FIG. 10C, sequential processes with a no-contact cell etched uniform layer 1020 on substrate 1000 down from its previous height 1004. An indented ring, appearing in the sectional view as a pair of troughs 1003C, resulted from the double processing of overlap zone 1003.
  • Often, in the HPC context, the doubly-processed overlap regions can be ignored by doing all the characterizations in other parts of the substrate. However, there are situations where the overlap regions may share the characteristics of the non-overlap regions.
  • In FIG. 10D, sequential processes with a no-contact cell processed layer 1030 on substrate 1000 without creating any non-uniformity in the overlap zone. A number of approaches can produce this result. For example, a layer formed like 1010 with double deposition in the overlap zone might, in some circumstances, be etched like 1020 such that two etch steps in the overlap zone level the raised area to the same plane as its surroundings. As another example, layer 1030 may have been buried under an overlayer 1040, which was wholly etched away using a wet etchant that does not etch layer 1030. The second exposure therefore did not affect layer 1030. As a further example, layer 1030 could be a coating or other surface treatment that chemically reacts with unprocessed substrate 1000 but not with an already-reacted area 1001 or 1002. Other processes can also result in a uniformly processed interior site and exterior site with no non-uniformity in the overlap zone.
  • Although the foregoing examples have been described in some detail to aid understanding, the invention is not limited to the details in the description and drawings. The examples are illustrative, not restrictive. There are many alternative ways of implementing the invention. Various aspects or components of the described embodiments may be used singly or in any combination. The scope is limited only by the claims, which encompass numerous alternatives, modifications, and equivalents.

Claims (20)

What is claimed is:
1. A reactor cell, comprising:
a cell body having an outer sidewall;
a main cavity in the cell body;
first, second, third, and fourth cavity ports extending from the main cavity to an outer surface of the cell body;
a peripheral channel in the cell body; and
a peripheral port extending from the peripheral channel to the outer surface of the cell body;
wherein the main cavity and the peripheral channel are open at a bottom surface of the cell;
wherein an inner sidewall surrounds the main cavity; and
wherein the peripheral channel extends around the periphery of the main cavity between the inner sidewall and the outer sidewall.
2. The reactor cell of claim 1, further comprising a spout extending into the main cavity from the second cavity port.
3. The reactor cell of claim 2, wherein the spout is longer than about 10 mm.
4. The reactor cell of claim 2, wherein the spout extends to within 2 mm of the bottom surface.
5. The reactor cell of claim 1, wherein the outer sidewall, the inner sidewall, and the peripheral channel have annular cross-sections parallel to the bottom surface.
6. The reactor cell of claim 1, wherein the outer sidewall, the inner sidewall, and the peripheral channel have circular, rectangular, rounded-rectangular, ovoid, or polygonal cross-sections parallel to the bottom surface.
7. The reactor cell of claim 1, wherein at least one of the first cavity port, the second cavity port, the third cavity port the fourth cavity port, or the peripheral port penetrates an outer top of the cell body.
8. The reactor cell of claim 1, wherein the main cavity and the peripheral channel have equal depth.
9. The reactor cell of claim 1, further comprising a height sensor configured to measure a gap height of the bottom surface above a substrate.
10. The reactor cell of claim 9, wherein the gap height is calculated to support a stable meniscus of process liquid across the gap, given a viscosity for the process liquid and a pressure differential between the main cavity and an ambient atmosphere.
11. The reactor cell of claim 9, wherein the gap height is between about 0.2 mm and 0.3 mm.
12. The reactor cell of claim 1, further comprising a pressure sensor configured to measure a pressure inside the main cavity or a pressure differential between the main cavity and an ambient atmosphere outside the cell body.
13. The reactor cell of claim 12, further comprising:
a pressure monitor configured to monitor the pressure differential between the main cavity and an ambient atmosphere outside the cell body; and
a controller configured to regulate gas flow into and out of the main cavity to keep the pressure differential between a predetermined minimum value and a predetermined maximum value.
14. The reactor cell of claim 1, further comprising:
a gas conduit connecting an outer end of the peripheral port to an outer end of the first cavity port; and
a liquid source connected to deliver liquid to the main cavity through the second cavity port.
15. The reactor cell of claim 1, further comprising:
a first seal preventing gas flow through the first cavity port between the main cavity and the outer surface of the cell body; and
a second seal preventing gas flow through the second cavity port between the main cavity and the outer surface of the cell body.
16. The reactor cell of claim 1, further comprising:
an orifice on an outer end of the fourth cavity port;
a controllable orifice valve connected to the orifice to permit or restrict gas flow between the main cavity and an ambient atmosphere; and
a vacuum pump connected to the third cavity port;
wherein the vacuum pump withdraws gas from the main cavity, or the orifice valve admits ambient gas to the main cavity, as needed to maintain an underpressure in the main cavity compared to the ambient pressure when a process liquid fills the cell to a height above a gap between the bottom surface of the cell and a top surface of a substrate; and
wherein the underpressure causes the process liquid to form a meniscus in the gap below an outer periphery of the peripheral channel.
17. The reactor cell of claim 16, wherein the underpressure is between about −23 and −28 mm H2O.
18. The reactor cell of claim 1, further comprising:
an orifice on an outer end of the fourth cavity port;
a controllable orifice valve connected to the orifice to permit or restrict gas flow between the main cavity and an ambient atmosphere; and
a gas source connected to the third cavity port;
wherein the gas source delivers gas to the main cavity, or the orifice valve allows gas to leave the main cavity, as needed to maintain an overpressure in the main cavity compared to the ambient pressure when a process liquid surrounds the cell to a height above a gap between the bottom surface of the cell and a top surface of a substrate; and
wherein the overpressure causes the process liquid to form a meniscus in the gap below an inner periphery of the peripheral channel.
19. The reactor cell of claim 16, wherein the overpressure is between about +23 and +28 mm H2O.
20. The reactor cell of claim 1, further comprising:
a gas source connected to the third cavity port; and
a vacuum pump connected to the fourth cavity port;
wherein the gas source delivers gas to the main cavity, or the vacuum pump draws gas from the main cavity, as needed to maintain a pressure differential between the main cavity and the ambient atmosphere when a process liquid is present inside or outside the cell to a height above a gap between the bottom surface of the cell and a top surface of a substrate;
wherein the pressure differential causes the process liquid to form a meniscus in the gap below a periphery of the peripheral channel;
wherein the pressure differential is negative if the process liquid is inside the cell; and
wherein the pressure differential is positive if the process liquid is outside the cell.
US14/013,281 2013-03-13 2013-08-29 Non-Contact Wet-Process Cell Confining Liquid to a Region of a Solid Surface by Differential Pressure Abandoned US20140262028A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/013,281 US20140262028A1 (en) 2013-03-13 2013-08-29 Non-Contact Wet-Process Cell Confining Liquid to a Region of a Solid Surface by Differential Pressure

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361780128P 2013-03-13 2013-03-13
US14/013,281 US20140262028A1 (en) 2013-03-13 2013-08-29 Non-Contact Wet-Process Cell Confining Liquid to a Region of a Solid Surface by Differential Pressure

Publications (1)

Publication Number Publication Date
US20140262028A1 true US20140262028A1 (en) 2014-09-18

Family

ID=51522141

Family Applications (5)

Application Number Title Priority Date Filing Date
US14/013,281 Abandoned US20140262028A1 (en) 2013-03-13 2013-08-29 Non-Contact Wet-Process Cell Confining Liquid to a Region of a Solid Surface by Differential Pressure
US14/051,287 Abandoned US20140273309A1 (en) 2013-03-13 2013-10-10 Controlling Radical Lifetimes in a Remote Plasma Chamber
US14/108,494 Abandoned US20140273497A1 (en) 2013-03-13 2013-12-17 Wet Processing Systems and Methods with Replenishment
US14/135,505 Active 2034-07-23 US9305791B2 (en) 2013-03-13 2013-12-19 High productivity combinatorial workflow to screen and design chalcogenide materials as non volatile memory current selector
US14/142,121 Active 2035-01-07 US9466499B2 (en) 2013-03-13 2013-12-27 Combinatorial methods for developing electrochromic materials and devices

Family Applications After (4)

Application Number Title Priority Date Filing Date
US14/051,287 Abandoned US20140273309A1 (en) 2013-03-13 2013-10-10 Controlling Radical Lifetimes in a Remote Plasma Chamber
US14/108,494 Abandoned US20140273497A1 (en) 2013-03-13 2013-12-17 Wet Processing Systems and Methods with Replenishment
US14/135,505 Active 2034-07-23 US9305791B2 (en) 2013-03-13 2013-12-19 High productivity combinatorial workflow to screen and design chalcogenide materials as non volatile memory current selector
US14/142,121 Active 2035-01-07 US9466499B2 (en) 2013-03-13 2013-12-27 Combinatorial methods for developing electrochromic materials and devices

Country Status (1)

Country Link
US (5) US20140262028A1 (en)

Cited By (221)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170058402A1 (en) * 2015-08-28 2017-03-02 Samsung Electronics Co., Ltd. Shower head of combinatorial spatial atomic layer deposition apparatus
US20180171477A1 (en) * 2016-12-19 2018-06-21 Asm Ip Holding B.V. Substrate processing apparatus
CN111850633A (en) * 2020-07-24 2020-10-30 北方工业大学 Sponge alloy base Dy2O3Preparation method of fluorine modified vanadium oxide nanowire
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US12027365B2 (en) 2021-11-19 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140134849A1 (en) * 2012-11-09 2014-05-15 Intermolecular Inc. Combinatorial Site Isolated Plasma Assisted Deposition
US9175389B2 (en) * 2012-12-21 2015-11-03 Intermolecular, Inc. ALD process window combinatorial screening tool
CN105453246A (en) 2013-08-12 2016-03-30 应用材料公司 Substrate processing systems, apparatus, and methods with factory interface environmental controls
CN104317129B (en) * 2014-10-28 2017-08-11 电子科技大学 Electrochromic device of high flux screening electrochromic material and preparation method thereof
WO2016085622A1 (en) * 2014-11-25 2016-06-02 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls
CN104483797A (en) * 2014-12-31 2015-04-01 电子科技大学 Electrochromic device for screening inverse opal photonic crystal structure with high flux
US9595669B2 (en) 2015-06-30 2017-03-14 Western Digital Technologies, Inc. Electroplated phase change switch
US9776218B2 (en) * 2015-08-06 2017-10-03 Asml Netherlands B.V. Controlled fluid flow for cleaning an optical element
US9564585B1 (en) 2015-09-03 2017-02-07 HGST Netherlands B.V. Multi-level phase change device
DE102016200506B4 (en) * 2016-01-17 2024-05-02 Robert Bosch Gmbh Etching device and etching process
US10797238B2 (en) 2016-01-26 2020-10-06 Arm Ltd. Fabricating correlated electron material (CEM) devices
KR102553253B1 (en) 2016-11-10 2023-07-06 삼성전자주식회사 Pulsed plasma analyzer and method for analyzing the same
US20180322972A1 (en) * 2017-05-04 2018-11-08 General Electric Company System and method for making a solid target within a production chamber of a target assembly
US10211398B2 (en) 2017-07-03 2019-02-19 Arm Ltd. Method for the manufacture of a correlated electron material device
US20190093214A1 (en) * 2017-09-22 2019-03-28 Applied Materials, Inc. Native or uncontrolled oxide reduction by a cyclic process of plasma treatment and h* radicals
US11596919B2 (en) * 2018-08-17 2023-03-07 Sierra Biosystems, Inc. Row-independent oligonucleotide synthesis
CN109473411B (en) * 2018-09-17 2021-08-20 上海音特电子有限公司 Thin film material for integrated circuit input/output pin overvoltage protection and use method
KR20210032112A (en) * 2019-09-16 2021-03-24 삼성전자주식회사 sputtering system and manufacturing method of magnetic memory device using the same
JP6911220B1 (en) * 2020-12-23 2021-07-28 株式会社荏原製作所 Plating equipment and plating method

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070089857A1 (en) * 2005-10-11 2007-04-26 Chiang Tony P Systems for discretized processing of regions of a substrate
US20070199510A1 (en) * 2006-02-24 2007-08-30 Weiner Kurt H Systems and methods for sealing in site-isolated reactors

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5657150A (en) * 1993-09-10 1997-08-12 Eyeonics Corporation Electrochromic edge isolation-interconnect system, process, and device for its manufacture
US5980767A (en) * 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US6390019B1 (en) * 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
US6758951B2 (en) * 2001-10-11 2004-07-06 Symyx Technologies, Inc. Synthesis and characterization of materials for electrochemical cells
GB0300558D0 (en) 2003-01-10 2003-02-12 Univ Southampton Method for the testing of multiple materials for electrochemical uses
CN101457338B (en) * 2003-02-14 2011-04-27 应用材料股份有限公司 Cleaning of native oxide with hydrogen-containing radicals
US8530359B2 (en) * 2003-10-20 2013-09-10 Novellus Systems, Inc. Modulated metal removal using localized wet etching
US7902063B2 (en) * 2005-10-11 2011-03-08 Intermolecular, Inc. Methods for discretized formation of masking and capping layers on a substrate
JP4676372B2 (en) * 2006-04-24 2011-04-27 株式会社日立製作所 Multilayer optical recording medium, information recording method, and information reproducing method
JP4745273B2 (en) * 2006-09-25 2011-08-10 株式会社東芝 Semiconductor device manufacturing method and semiconductor manufacturing apparatus
US8011317B2 (en) * 2006-12-29 2011-09-06 Intermolecular, Inc. Advanced mixing system for integrated tool having site-isolated reactors
US7839673B2 (en) * 2008-06-06 2010-11-23 Ovonyx, Inc. Thin-film memory system having thin-film peripheral circuit and memory controller for interfacing with a standalone thin-film memory
US8148707B2 (en) 2008-12-30 2012-04-03 Stmicroelectronics S.R.L. Ovonic threshold switch film composition for TSLAGS material
US8193075B2 (en) * 2009-04-20 2012-06-05 Applied Materials, Inc. Remote hydrogen plasma with ion filter for terminating silicon dangling bonds
US8736947B2 (en) 2009-10-23 2014-05-27 Applied Materials, Inc. Materials and device stack for market viable electrochromic devices
US8228587B2 (en) * 2010-04-22 2012-07-24 Sage Electrochromics, Inc. Series connected electrochromic devices
US8614787B2 (en) 2010-05-12 2013-12-24 Intermolecular, Inc. High throughput quantum efficiency combinatorial characterization tool and method for combinatorial solar test substrates
US8882919B2 (en) * 2010-12-23 2014-11-11 Intermolecular, Inc. Combinatorial non-contact wet processing
US20120180954A1 (en) * 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) * 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8679983B2 (en) * 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US20130258436A1 (en) * 2012-04-03 2013-10-03 Sage Electrochromics, Inc. Patterned obscuration lines for electrochromic devices
US9076523B2 (en) * 2012-12-13 2015-07-07 Intermolecular, Inc. Methods of manufacturing embedded bipolar switching resistive memory
US8822313B2 (en) * 2012-12-20 2014-09-02 Intermolecular, Inc. Surface treatment methods and systems for substrate processing

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070089857A1 (en) * 2005-10-11 2007-04-26 Chiang Tony P Systems for discretized processing of regions of a substrate
US20070199510A1 (en) * 2006-02-24 2007-08-30 Weiner Kurt H Systems and methods for sealing in site-isolated reactors

Cited By (260)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US20170058402A1 (en) * 2015-08-28 2017-03-02 Samsung Electronics Co., Ltd. Shower head of combinatorial spatial atomic layer deposition apparatus
US10815569B2 (en) * 2015-08-28 2020-10-27 Samsung Electronics Co., Ltd. Shower head of combinatorial spatial atomic layer deposition apparatus
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US12000042B2 (en) 2016-12-15 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) * 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US20180171477A1 (en) * 2016-12-19 2018-06-21 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US12020938B2 (en) 2018-03-27 2024-06-25 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US12025484B2 (en) 2019-04-29 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11996304B2 (en) 2019-07-16 2024-05-28 Asm Ip Holding B.V. Substrate processing device
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
CN111850633A (en) * 2020-07-24 2020-10-30 北方工业大学 Sponge alloy base Dy2O3Preparation method of fluorine modified vanadium oxide nanowire
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US12033885B2 (en) 2021-01-04 2024-07-09 Asm Ip Holding B.V. Channeled lift pin
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US12033861B2 (en) 2021-06-07 2024-07-09 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US12027365B2 (en) 2021-11-19 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices
US12033849B2 (en) 2022-12-08 2024-07-09 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by PEALD using bis(diethylamino)silane

Also Published As

Publication number Publication date
US20140273314A1 (en) 2014-09-18
US20140272112A1 (en) 2014-09-18
US9305791B2 (en) 2016-04-05
US20140273309A1 (en) 2014-09-18
US9466499B2 (en) 2016-10-11
US20140273497A1 (en) 2014-09-18

Similar Documents

Publication Publication Date Title
US20140262028A1 (en) Non-Contact Wet-Process Cell Confining Liquid to a Region of a Solid Surface by Differential Pressure
KR101850255B1 (en) Substrate processing apparatus and substrate processing method
CN106024567B (en) With the plasma process system and structure for tilting confinement ring
US9698062B2 (en) System and method for performing a wet etching process
JP4128383B2 (en) Processing apparatus and processing method
US20160086811A1 (en) Vertical no-spin process chamber
CN107546152B (en) Gas processing apparatus, gas processing method, and storage medium
KR102182116B1 (en) Substrate processing method and substrate processing apparatus
JP2019091815A (en) Substrate-processing method and substrate-processing device
US20150101683A1 (en) Touchless Site Isolation Using Gas Bearing
US20150017815A1 (en) Combinatorial Non-Contact Wet Processing
US20130133701A1 (en) Method and apparatus for dispensing an inert gas
US20140331933A1 (en) Apparatus for processing apparatus having side pumping type
KR101916394B1 (en) Method of manufacturing semiconductor device, substrate processing apparatus and recording medium
US8647446B2 (en) Method and system for improving performance and preventing corrosion in multi-module cleaning chamber
US20090155476A1 (en) Vented Combinatorial Processing Cell
US8617409B2 (en) Magnetically levitated gas cell for touchless site-isolated wet processing
US10807117B2 (en) Dispense nozzle with a dynamic liquid plug
US8715518B2 (en) Gas barrier with vent ring for protecting a surface region from liquid
KR102343638B1 (en) Apparatus and method for treating substrate
US20130149201A1 (en) Spray cleaner accessory for reactor component cleaning
CN113166938A (en) Method of operating a spatial deposition tool
US8728334B2 (en) Dynamic gas flow control of touchless reactor cells
US8852967B2 (en) Dissolution rate monitor
US20240030045A1 (en) Exhaust manifold for semiconductor process chamber

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERMOLECULAR, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:KELEKAR, RAJESH;REEL/FRAME:031122/0688

Effective date: 20130829

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION