US20140273309A1 - Controlling Radical Lifetimes in a Remote Plasma Chamber - Google Patents

Controlling Radical Lifetimes in a Remote Plasma Chamber Download PDF

Info

Publication number
US20140273309A1
US20140273309A1 US14/051,287 US201314051287A US2014273309A1 US 20140273309 A1 US20140273309 A1 US 20140273309A1 US 201314051287 A US201314051287 A US 201314051287A US 2014273309 A1 US2014273309 A1 US 2014273309A1
Authority
US
United States
Prior art keywords
species
plasma
substrate
showerhead
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/051,287
Inventor
Sandip Niyogi
Sean Barstow
Jay DeDontney
Chi-I Lang
Ratsamee Limdulpaiboon
Martin Romero
Sunil Shanker
James Tsung
J. Watanabe
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intermolecular Inc
Original Assignee
Intermolecular Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intermolecular Inc filed Critical Intermolecular Inc
Priority to US14/051,287 priority Critical patent/US20140273309A1/en
Assigned to INTERMOLECULAR, INC. reassignment INTERMOLECULAR, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BARSTOW, SEAN, TSUNG, JAMES, DEDONTNEY, JAY, LANG, CHI-I, LIMDULPAIBOON, RATSAMEE, NIYOGI, SANDIP, ROMERO, MARTIN, WATANABE, J.
Assigned to INTERMOLECULAR, INC. reassignment INTERMOLECULAR, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SHANKER, SUNIL
Publication of US20140273309A1 publication Critical patent/US20140273309A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/0046Sequential or parallel reactions, e.g. for the synthesis of polypeptides or polynucleotides; Apparatus and devices for combinatorial chemistry or for making molecular arrays
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1619Apparatus for electroless plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1675Process conditions
    • C23C18/1682Control of atmosphere
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/02Tanks; Installations therefor
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0004Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements comprising amorphous/crystalline phase transition cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67057Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing with the semiconductor substrates being dipped in baths or vessels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of switching materials, e.g. deposition of layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00279Features relating to reactor vessels
    • B01J2219/00281Individual reactor vessels
    • B01J2219/00283Reactor vessels with top opening
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00279Features relating to reactor vessels
    • B01J2219/00281Individual reactor vessels
    • B01J2219/00286Reactor vessels with top and bottom openings
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00279Features relating to reactor vessels
    • B01J2219/00281Individual reactor vessels
    • B01J2219/00301Individual reactor vessels the reactor vessels having impervious side walls
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00279Features relating to reactor vessels
    • B01J2219/00306Reactor vessels in a multiple arrangement
    • B01J2219/00313Reactor vessels in a multiple arrangement the reactor vessels being formed by arrays of wells in blocks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00351Means for dispensing and evacuation of reagents
    • B01J2219/0038Drawing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00351Means for dispensing and evacuation of reagents
    • B01J2219/00382Stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00351Means for dispensing and evacuation of reagents
    • B01J2219/00414Means for dispensing and evacuation of reagents using suction
    • B01J2219/00416Vacuum
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00351Means for dispensing and evacuation of reagents
    • B01J2219/00418Means for dispensing and evacuation of reagents using pressure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00497Features relating to the solid phase supports
    • B01J2219/00527Sheets
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00583Features relative to the processes being carried out
    • B01J2219/00585Parallel processes

Definitions

  • Related fields include remote-plasma processing of substrates and thin films, and surface treatments in semiconductor manufacture.
  • Plasma-processing techniques modify the properties of a surface (or of that portion of a film or bulk material that is very close to the surface) by exposing the surface to a plasma.
  • Plasmas may be generated for industrial use by DC, RF, or microwave power sources in high vacuum, moderate vacuum, or near-atmospheric pressure.
  • Plasmas may include a variety of plasma activated species; besides the ions, free electrons, and other charge-carriers that make the plasma electrically conductive, neutral particles and radicals may also be present.
  • Some plasma processes used in semiconductor manufacture might produce improved performance, reliability, or consistency if the surface could be selectively exposed to only a subset of the plasma activated species generated by the plasma.
  • some of the species may counteract the intended effects of other species.
  • some species produce a desired effect on selected features fabricated on a substrate while other species can damage other nearby structures.
  • a plasma may contain both etchant and passivation species, and a surface being processed may be a “native” oxide film on a semiconductor.
  • the etchant film may need to be strong to remove the oxide, but its full strength may damage the semiconductor underneath.
  • the semiconductor surface, once exposed, may have dangling bonds that become voids or other defects, or promote the formation of another native oxide. If the etchant species could be reduced and the passivation species increased as the last of the native oxide is removed, a smoother oxide-free semiconductor surface might result.
  • the semiconductor industry would benefit from the ability to select the dominant species impinging on the work surface, to adjust them in real time, and to monitor properties of both the plasma and the work surface. Removal of native oxides, a very common challenge, is one example of a process that could be improved by these abilities.
  • Plasma generation sources generate a variety of plasma activated species; for example, ions, electrons, and radicals. Some plasma treatments benefit from preferentially exposing the surface under treatment to a subset of the plasma activated species generated by the source. Some processes are primarily executed by a subset of the generated species, and the rest are superfluous. Some surfaces are damaged by the excess energy dissipated by higher-energy species but can be acceptably treated by lower-energy species. Some surfaces are undesirably affected by ions (e.g., unwanted dipoles or dangling bonds may be formed), but desirably affected by radicals.
  • a subset of species intended to react with the substrate can be preferentially selected by increasing the probability that a the selected species will react with the substrate, or by decreasing the probability that other, unselected species will react with the substrate.
  • the probability may be increased for a selected species that promotes the intended treatment. Adding an inert gas may increase the number of selected species generated by collisions.
  • the gas conductance (as distinct from electrical conductance) of showerheads, injection ports, and other conduits through which the plasma species pass can be optimized to give the selected species a travel time less than its expected lifetime.
  • the probability may be decreased for an unselected species; i.e., one that does not promote the intended treatment, or that inhibits the intended treatment, or that may damage the surface being treated.
  • Adding a reactive gas may quench the unselected species and shorten its expected lifetime below the expected travel time to the surface.
  • Increasing pressure and temperature or manipulating gas conductance to increase the frequency of collisions and decrease the mean free path may exclude unselected species with expected lifetimes shorter than the travel time to the surface and shorter than the expected lifetime of a selected species.
  • Nitridation conversion of a metal, semiconductor, compound or alloy to its corresponding nitride
  • nitrogen doping or other treatments with N* radicals (herein, an asterisk after an element's chemical symbol denotes a radical species of that element)
  • N* radicals herein, an asterisk after an element's chemical symbol denotes a radical species of that element
  • an inert gas is added to create more radicals through collision.
  • a reactive gas is added to quench the radicals before they reach the surface. Transitions can be made more gradual by exhausting one of the gases before adding the other.
  • Germanium and III-V materials can be cleaned, including the removal of native oxides, and passivated by alternating exposure to O* and H* radicals. Ion bombardment causes unacceptable damage to these materials, so this process may benefit from exposure to a subset of plasma species that includes radicals and excludes ions.
  • FIG. 1 illustrates a schematic diagram 100 for implementing combinatorial processing and evaluation using primary, secondary, and tertiary screening.
  • FIG. 2 is a simplified schematic diagram illustrating a general methodology for combinatorial process sequence integration that includes site-isolated processing and/or conventional processing.
  • FIG. 3 is a simplified schematic diagram of an example of an integrated high productivity combinatorial (HPC) system.
  • FIG. 4 is a simplified schematic diagram illustrating a processing chamber, or substrate processing tool configured to perform combinatorial processing.
  • FIGS. 5A and 5B are flowcharts of example processes for controlling a concentration of N* radicals reacting with a surface of a substrate.
  • FIGS. 6A-6C illustrate embodiments of showerheads and their injection ports.
  • FIGS. 7A-7C conceptually illustrate the formation and removal of a native oxide.
  • FIG. 8 is a flowchart of an example process for native-oxide removal using O* and H* radicals as the selected species.
  • Substrate surfaces may be treated before depositing, growing, or otherwise forming additional layers or features.
  • an intended outer surface may be treated to confer desirable chemical or physical properties.
  • a remote plasma source generates a variety of plasma activated species such as electrons, ions, and radicals.
  • the surface to be treated is preferentially exposed to a selected subset of those species, rather than to all of the generated species.
  • the probability of a particular species reaching the surface under treatment is manipulated (i.e., species are selected or excluded) by introducing gases into the chamber or by changing the physical parameters and spatial relationships of hardware components in the process chamber. Increasing the probability of a selected species reaching the surface, or decreasing the probability of an unselected species reaching the surface, can improve a quality of the surface or a quality of an interface with an additional film or feature subsequently formed adjacent to the surface.
  • Some treatments that benefit from species selection or exclusion relate to removal of native oxides from substrates or films such as germanium (Ge) and III-V materials. Impinging ions can easily damage these materials, but O* and H* radicals remove the oxide and passivate the surface without damage.
  • a pre-conditioning step may be used before the plasma treatment to remove water from the oxide and from chamber hardware such as the process kit and showerhead.
  • Combinatorial processing may be used to produce and evaluate different materials, chemicals, processes, and techniques, or build structures to determine how materials interact with existing structures, across multiple site-isolated regions (SIRs) on each substrate. These variations may relate to temperature, exposure time, layer thickness, chemical composition, humidity, and other process variables with a chemical composition kept constant, or the chemical composition may be varied.
  • a method of evaluating a cleaning process involves creating a diode by depositing a conductive film on the treated surface. The diode's current vs. voltage (I-V) curve may then be measured and compared to that of a diode made from a known clean sample of the same material. Some treatments, such as those used to reduce current leakage in dielectric films, may be evaluated by measuring the C-V (capacitance vs. voltage) curve of a capacitor formed on the substrate (e.g., by sandwiching a dielectric layer between two conductive layers, and optionally etching to produce multiple capacitors).
  • I-V current vs. voltage
  • Semiconductor manufacturing typically includes a series of processing steps such as cleaning, surface preparation, deposition, patterning, etching, thermal annealing, and other related unit processing steps.
  • the precise sequencing and integration of the unit processing steps enables the formation of functional devices meeting desired performance metrics such as efficiency, power production, and reliability.
  • desired performance metrics such as efficiency, power production, and reliability.
  • HPC processing techniques have been successfully adapted to wet chemical processing such as etching and cleaning.
  • HPC processing techniques have also been successfully adapted to deposition processes such as physical vapor deposition (PVD), atomic layer deposition (ALD), and chemical vapor deposition (CVD).
  • PVD physical vapor deposition
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • FIG. 1 illustrates a schematic diagram 100 for implementing combinatorial processing and evaluation using primary, secondary, and tertiary screening.
  • the schematic diagram 100 illustrates that the relative number of combinatorial processes run with a group of substrates decreases as certain materials and/or processes are selected.
  • combinatorial processing includes performing a large number of processes during a primary screen, selecting promising candidates from those processes, performing the selected processing during a secondary screen, selecting promising candidates from the secondary screen for a tertiary screen, and so on.
  • feedback from later stages to earlier stages can be used to refine the success criteria and provide better screening results.
  • Materials discovery stage 102 is also known as a primary screening stage performed using primary screening techniques.
  • Primary screening techniques may include dividing substrates into coupons and depositing materials using varied processes.
  • the materials are then evaluated, and promising candidates are advanced to the secondary screen, or materials and process development stage, 104 . Evaluation of the materials is performed using metrology tools such as electronic testers and imaging tools (i.e., microscopes).
  • the materials and process development stage 104 may evaluate hundreds of materials (i.e., a magnitude smaller than the primary stage) and may focus on the processes used to deposit or develop those materials. Promising materials and processes are again selected, and advanced to the tertiary screen or process integration stage 106 , where tens of materials and/or processes and combinations are evaluated. The tertiary screen or process integration stage 106 may focus on integrating the selected processes and materials with other processes and materials.
  • the most promising materials and processes from the tertiary screen are advanced to device qualification 108 .
  • device qualification the materials and processes selected are evaluated for high volume manufacturing, which normally is conducted on full substrates with production tools, but need not be conducted in such a manner. The results are evaluated to determine the efficacy of the selected materials and processes. If successful, the use of the screened materials and processes can proceed to pilot manufacturing 110 .
  • the schematic diagram 100 is an example of various techniques that may be used to evaluate and select materials and processes for the development of new materials and processes.
  • the descriptions of screening stages 102 - 110 are arbitrary; the stages may overlap, occur out of sequence, or be described or performed in other ways.
  • the embodiments described below consider interaction effects introduced by the multitude of processing operations that are performed and the order in which such multitude of processing operations are performed when fabricating a device.
  • a global optimum sequence order is therefore derived and as part of this derivation, the unit processes, unit process parameters and materials used in the unit process operations of the optimum sequence order are also considered.
  • the embodiments described further analyze a portion or sub-set of the overall process sequence used to manufacture, for example, a semiconductor device. Once the subset of the process sequence is identified for analysis, combinatorial process sequence integration testing is performed to optimize the materials, unit processes, hardware details, and process sequence used to build that portion of the device or structure.
  • structures are formed on the processed substrate that are equivalent to the structures formed during actual production of the semiconductor device. For example, such structures may include, but would not be limited to, contact layers, buffer layers, absorber layers, or any other series of layers or unit processes that create an intermediate structure found on semiconductor devices.
  • the composition or thickness of the layers or structures or the action of the unit process is substantially uniform through each discrete region.
  • different materials or unit processes may be used for corresponding layers or steps in the formation of a structure in different regions of the substrate during the combinatorial processing
  • the application of each layer or use of a given unit process is substantially consistent or uniform throughout the different regions in which it is intentionally applied.
  • the processing is uniform within a region (inter-region uniformity) and between regions (intra-region uniformity), as desired.
  • the process can be varied between regions, for example, where a thickness of a layer is varied or a material may be varied between the regions, etc., as desired by the design of the experiment.
  • the result is a series of regions on the substrate that contain structures or unit process sequences that have been uniformly applied within that region and, as applicable, across different regions.
  • This process uniformity allows comparison of the properties within and across the different regions such that the variations in test results are due to the varied parameter (e.g., materials, unit processes, unit process parameters, hardware details, or process sequences) and not the lack of process uniformity.
  • the positions of the discrete regions on the substrate can be defined as needed, but are preferably systematized for ease of tooling and design of experimentation.
  • the number, variants and location of structures within each region are designed to enable valid statistical analysis of the test results within each region and across regions to be performed.
  • FIG. 2 is a simplified schematic diagram illustrating a general methodology for combinatorial process sequence integration that includes site-isolated processing and/or conventional processing.
  • the substrate is initially processed using conventional process N.
  • the substrate is then processed using site-isolated process N+1.
  • an HPC module may be used, such as the HPC module described in U.S. patent application Ser. No. 11/352,077 filed on Feb. 10, 2006.
  • the substrate can then be processed using site-isolated process N+2, and thereafter processed using conventional process N+3. Testing is performed and the results are evaluated.
  • the testing can include physical, chemical, acoustic, magnetic, electrical, optical, etc. tests.
  • a particular process from the various site-isolated processes may be selected and fixed so that additional combinatorial process sequence integration may be performed using site-isolated processing for either process N or N+3.
  • a next process sequence can include processing the substrate using site-isolated process N, conventional processing for processes N+1, N+2, and N+3, with testing performed thereafter.
  • the combinatorial process sequence integration can be applied to any desired segments and/or portions of an overall process flow. Characterization, including physical, chemical, acoustic, magnetic, electrical, optical, etc. testing, can be performed after each process operation, and/or series of process operations within the process flow as desired. The feedback provided by the testing is used to select certain materials, processes, process conditions, and process sequences and eliminate others. Furthermore, the above flows can be applied to entire monolithic substrates, or portions of monolithic substrates such as coupons.
  • process material amounts, reactant species, processing temperatures, processing times, processing pressures, processing flow rates, processing powers, processing reagent compositions, the rates at which the reactions are quenched, deposition order of process materials, process sequence steps, hardware details, etc. can be varied from region to region on the substrate.
  • a processing material delivered to a first and second region can be the same or different. If the processing material delivered to the first region is the same as the processing material delivered to the second region, this processing material can be offered to the first and second regions on the substrate at different concentrations.
  • the material can be deposited under different processing parameters. Parameters which can be varied include, but are not limited to, process material amounts, reactant species, processing temperatures, processing times, processing pressures, processing flow rates, processing powers, processing reagent compositions, the rates at which the reactions are quenched, atmospheres in which the processes are conducted, an order in which materials are deposited, hardware details of the gas distribution assembly, etc. It should be appreciated that these process parameters are exemplary and not meant to be an exhaustive list as other process parameters commonly used in semiconductor manufacturing may be varied.
  • the process conditions are substantially uniform, in contrast to gradient processing techniques which rely on the inherent non-uniformity of the material deposition. That is, the embodiments described herein locally perform the processing in a conventional manner, e.g., substantially consistent and substantially uniform, while globally over the substrate, the materials, processes, and process sequences may vary. Thus, the testing will find optima without interference from process variation differences between processes that are meant to be the same. It should be appreciated that a region may be adjacent to another region in some embodiments or the regions may be isolated and, therefore, non-overlapping.
  • regions or discrete regions When the regions are adjacent, there may be a slight overlap wherein the materials or precise process interactions are not known; however, a portion of the regions, normally at least 50% or more of the area, is uniform and all testing occurs within that region. Further, the potential overlap is only allowed with materials or processes that will not adversely affect the result of the tests. Both types of regions are referred to herein as regions or discrete regions.
  • FIG. 3 is a simplified schematic diagram of an example of an integrated high productivity combinatorial (HPC) system.
  • HPC system includes a frame 300 supporting a plurality of processing modules. It should be appreciated that the frame 300 may be a unitary frame in accordance with some embodiments. In some embodiments, the environment within the frame 300 is controlled.
  • Load lock/factory interface 302 provides access into the plurality of modules of the HPC system.
  • Robot 314 provides for the movement of substrates (and masks) between the modules and for the movement into and out of the load lock 302 .
  • Modules 304 - 312 may be any set of modules and preferably include one or more combinatorial modules.
  • module 304 may be an orientation/degassing module
  • module 306 may be a clean module, either plasma or non-plasma based
  • modules 308 and/or 310 may be combinatorial/conventional dual purpose modules.
  • Module 312 may provide conventional clean or degas as necessary for the experiment design.
  • a centralized controller i.e., computing device 316
  • FIG. 4 is a simplified schematic diagram illustrating a processing chamber, or substrate processing tool configured to perform combinatorial processing.
  • the processing chamber 400 is defined by a housing that includes a sidewall 405 and a lid 412 enclosing a chamber interior 401 .
  • Processing chamber 400 also includes a substrate support 404 configured to hold a substrate 406 .
  • the substrate support 404 may be any known substrate support, including but not limited to a vacuum chuck, electrostatic chuck or other known mechanisms.
  • the substrate support 404 is capable of both rotating around its own central axis 408 (referred to as “rotation” axis, which is congruent with a central axis of the substrate 406 ), and rotating around a second axis 410 (referred to as “revolution” axis).
  • substrate supports such as an XY table
  • the substrate support 404 may move in a vertical direction, i.e., away from or towards lid 412 . Rotation and movement in the vertical direction may be achieved through known drive mechanisms which include magnetic drives, linear drives, worm screws, lead screws, a differentially pumped rotary feed through drive, etc.
  • a power source 424 provides power to plasma generation source 416 . It should be appreciated that power source 424 may output a direct current (DC) power supply, a pulsed DC power supply, or a radio frequency (RF) power supply.
  • DC direct current
  • RF radio frequency
  • the substrate 406 may be a conventional round 200 mm, 300 mm substrate, or any other larger or smaller substrate/wafer size. In some embodiments, the substrate 406 may be a square, rectangular, or other shaped substrate. One skilled in the art will appreciate that the substrate 406 may be a blanket substrate, a coupon (e.g., partial wafer), or even a patterned substrate having predefined regions. In some embodiments, the substrate 406 may have regions defined through the processing described herein.
  • the term “region” is used herein to refer to a localized area on a substrate which is, was, or is intended to be used for processing or formation of a selected material. The region can include one region and/or a series of regular or periodic regions predefined on the substrate.
  • the region may have any convenient shape, e.g., circular, rectangular, elliptical, wedge-shaped, etc.
  • a region may be, for example, a test structure, single die, multiple dies, portion of a die, other defined portion of substrate, or an undefined area of a substrate, e.g., blanket substrate which is defined through the processing.
  • the chamber 400 in FIG. 4 includes a lid 412 , through which plasma generation source (or system) 416 extends.
  • Fluid inlets 414 and 418 extend into chamber interior 401 through sidewalls (or a base) 405 of the chamber 400 .
  • Fluid inlet 414 is in fluid communication with fluid source 420
  • fluid inlet 418 is in fluid communication with fluid source 422 .
  • fluid inlets 414 and 418 may be in fluid communication with the same fluid source. It should be appreciated that fluid inlets 414 and 418 may extend around a surface of the substrate 406 so that a perimeter of substrate 406 is encompassed by fluid inlets 414 and 418 .
  • fluid inlets 414 and 418 are configured as ring portions surrounding substrate 406
  • fluid inlets 414 and 418 are movable to vertically translate along with the substrate support 404 so that each fluid inlet remains proximate to an edge of substrate 406 .
  • the ring portions may be coupled to an appropriate drive such as a worm gear, linear drive, etc., so that the fluid inlets 414 and 418 track the movement of the substrate and substrate support.
  • the plasma generation source 416 is operable to provide a plasma activated species.
  • “Plasma activated species” refers to reactive atomic and molecular radicals converted from the precursor gas through interaction with the plasma.
  • the plasma also consists of non-charged species (e.g., radicals) and charged species (e.g., ions and electrons).
  • the plasma activated species provided by plasma generation source 416 may have a non-reactive outer portion 440 surrounding a reactive inner portion 442 .
  • Plasma generation source 416 may be a commercially available inductively coupled radio frequency (RF) plasma generation source.
  • Plasma generation source (or system) 416 may include means for generating multiple types of plasma simultaneously.
  • showerhead 426 diffuses the flow of plasma activated species through a number of injection ports into multiple paths 444 . Some plasma processes do not use showerheads.
  • showerhead body 436 and showerhead 426 are suspended in chamber interior 401 above substrate 406 .
  • showerhead 426 and showerhead body 436 may be vertically translatable (i.e., movable) within chamber interior 401 by means of showerhead translator 434 .
  • showerhead translator 434 may include any appropriate drive such as a worm gear, linear drive, etc., and may be operable to translate showerhead 426 dynamically as processing continues.
  • An additional fluid source 428 may be coupled to (i.e., in fluid communication with) showerhead 426 .
  • Fluid source 428 may provide, for example, an inert gas to the showerhead during processing.
  • the showerhead 426 is grounded.
  • a power supply (and controller) 430 may also be provided to control and modulate a charge on the showerhead 426 and/or control showerhead translator 434 .
  • showerhead translator 434 may be controlled by controller 432 and powered by power supply 424 , or any other suitable source of power and control may be used.
  • one or more vacuum pumps 448 may be in fluid communication with chamber interior 401 via exhaust port 438 .
  • Exhaust port 438 may be located on any convenient or effective area of chamber 400 .
  • showerhead 426 or showerhead body 436 may have its own exhaust port, for example to exhaust fluids introduced into showerhead 426 by fluid source 428 .
  • An exhaust may be a multi-port ring near the substrate (not shown) as a counterpart to distribution ring 415 . The operation of multiple exhausts may be independently and/or programmably controllable.
  • the chamber 400 also includes a controller (or control sub-system) 432 in operable communication with the other components of the chamber 400 , such as fluid sources 420 , 422 , and 428 , power supply 424 , etc. (for drawing simplicity, not all connections are shown).
  • the controller 432 may include a processor, memory such as random access memory (RAM), and a storage device such as a hard disk drive.
  • the controller 432 is configured to control the operation of the chamber 400 to perform the methods and processes described herein.
  • the embodiments illustrated in FIG. 4 provide for independent control of a plasma and a feedstock of a film to be deposited.
  • the plasma activated species provided by the plasma generation source 416 pass through showerhead body 436 and showerhead 426 into chamber interior 401 , while the film feedstock may be delivered through the bottom of the chamber to distribution ring 415 above or proximate to the substrate surface.
  • the distribution ring 415 is coupled to the substrate support 404 so that the ring vertically translates with the substrate support.
  • the feedstock interacts with the plasma proximate to a surface of substrate 406 so that site-isolated processing may be performed on different regions of substrate 406 .
  • the chamber 400 may be a vapor deposition chamber that includes chemical vapor deposition chambers and atomic layer deposition chambers.
  • a plasma provided by plasma generation source 416 may include a plasma based on hydrogen, nitrogen, argon, oxygen, ammonia, nitrogen trifluoride, helium, or a combination thereof and may be referred to as a first precursor.
  • a film feedstock provided through fluid inlets 414 and 418 may be any suitable feedstock for the desired deposition layer and may be referred to as a second precursor.
  • the first precursor carries the plasma activated species and activates the second precursor proximate to the substrate surface at a specific site or region. However, in some embodiments, only a first precursor is utilized without a second precursor.
  • chamber 400 is used to perform a surface treatment on the substrate 406 .
  • Some examples of surface treatments may preferentially expose substrate 406 , or a site-isolated region of substrate 406 , to a selected subset of plasma-activated species 440 , 442 generated by plasma generation source 416 .
  • Some examples of surface treatments may include dynamically adjusting the concentration of one or more plasma-activated species at substrate 406 while the treatment process continues.
  • each plasma-activated species has an average expected lifetime after activation and an expected travel time from plasma generation source 416 to substrate 406 .
  • a species will only react with a substrate if the substrate provides an available reactive site (e.g., a dangling bond). The probability of impacts or reactions of an unwanted plasma-activated species on the substrate may therefore be reduced (the unwanted species can be “unselected”) by decreasing the species' expected lifetime, increasing the expected travel time, and sometimes by removing reactive sites from the substrate (e.g., passivating the dangling bonds).
  • Factors influencing the lifetime of a species include intrinsic factors (e.g., reactivity of the particular species) and external factors (e.g., opportunities to be converted to another state by collision or reaction).
  • Factors influencing the expected travel time from the plasma generation source to the substrate include travel velocity (which can be affected by pressure and temperature) and travel distance (which can be affected by hardware dimensions and mean free path between collisions). The probability of some species' reaching the substrate may be influenced by adjusting the composition, flow rate, pressure, or temperature of the plasma at the plasma generating source.
  • Adding a gas to the chamber increases the probability of collisions and, if the gas is reactive rather than being an inert “buffer” gas, conversion of some plasma-activated species to other species.
  • the amount of gas added affects the travel time, and the type of gas added can affect the lifetime of a species with which the gas reacts. Changing the amount or type of added gas in the chamber during the plasma treatment is one way to dynamically change the selection of plasma activated species that reach the substrate. Adjusting the flow rate, pressure, or temperature of the added buffer or reactive gas can also affect the selection of species.
  • gases can be introduced through a variety of inlets with potentially different effects.
  • a gas intended to bond with an unselected species or induce relaxation of the unselected species to a lower-energy state through collision may perform efficiently when introduced into the relatively confined space of showerhead body 436 or showerhead 426 , e.g., through fluid source 428 .
  • a gas intended to mitigate certain types of reactive sites on the substrate may perform more efficiently if introduced through distribution ring 415 close to substrate 406 , or through fluid source 420 or fluid source 422 .
  • Exhaust port(s) 438 may be located, and their timing operated, to confine a specific gas to a specific part of chamber 400 (e.g., showerhead 426 or the vicinity of substrate 406 ) by exhausting it before it substantially diffuses throughout chamber interior 401 .
  • a common plasma process in semiconductor manufacture converts an element (e.g., Ti) or an alloy or compound (e.g. TaSi) to its nitride (e.g., TiN, TaSiN) by exposure to plasma-activated N* radicals.
  • N* radicals are preferred for some applications because higher-energy species such as ions, although they can cause a faster conversion, may unacceptably damage some surfaces (e.g., those of ALD films a few nanometers thick) by the amount of energy they dissipate.
  • An extra process e.g., passivation or planarization
  • the collision-increased travel time acts as a filter that prevents the shorter-lived species from reaching the substrate and still permits the longer-lived species to reach the substrate, such that the longer-lived species are selected and the shorter-lived species are unselected.
  • the presence of a reactive gas that preferentially quenches or otherwise reacts with unselected species may change the states of those species before they reach the substrate.
  • FIGS. 5A and 5B are flowcharts of example processes for controlling a concentration of N* radicals reacting with a surface of a substrate.
  • FIG. 5A shows a process that includes real-time monitoring. This could be a nitride formation process or any type of N* treatment with results that can be monitored while the process is ongoing.
  • the process is started 500 and the surface to be treated is exposed 501 to the nitrogen plasma.
  • an inert “buffer” gas may be added to the chamber to raise the pressure or increase the mean travel time by causing more collisions, thus preventing short-lived species from reaching the substrate.
  • the progress of the process (e.g., the conversion to nitride) is monitored 502 .
  • monitoring 502 examples include, without limitation, measuring the sheet resistance of a film on the substrate that is affected by the treatment, or monitoring nitrogen peaks in a spectral trace (e.g., Fourier transform infrared (FTIR)).
  • FTIR Fourier transform infrared
  • the sheet resistance may be measured, for example, by a diameter scan with a 4-point probe and a comparison with a previous scan. Taking a baseline scan before any treatment removes uncertainties based on unit-to-unit variations in film parameters such as thickness.
  • an indication of the concentration of N* radicals near the surface under treatment is monitored 503 and compared with a desired range.
  • monitoring 503 the N* concentration include, without limitation, measuring a rate of change in the sheet resistance of the surface film (in processes where N* radicals are known to be the dominant cause of the change) or monitoring an emission spectrum of the plasma (which indicates how many N* radicals are being generated).
  • An optical emission spectroscopy (OES) peak at 674.6 nm is widely used as an indicator of N*. This peak was observed to increase in intensity when inert gas (e.g., Ar) was added and decrease when H 2 or O 2 were added.
  • inert gas e.g., Ar
  • inert gas e.g., Ar or He
  • reactive gas e.g., H 2 or O 2
  • inert gas e.g., Ar or He
  • reactive gas e.g., H 2 or O 2
  • inert gas e.g., Ar or He
  • the exposure and monitoring continue 509 ⁇ 501 , 502 until the process is complete 503 , at which point the process is ended 510 . Because the N* radicals do not dissipate much extra energy beyond what is necessary to create the nitride, an extra repair step is not needed after the nitridation.
  • HPC can be helpful in optimizing this type of process. For example, some of these effects have thresholds. Adding Ar to the N 2 buffer gas during the nitride conversion of Ti at 0.16 Torr only begins to increase the change in sheet resistance of the Ti film (evidence of more Ti being converted to less-conductive TiN) when the concentration ratio of N 2 /Ar exceeded about 30%.
  • FIG. 5B illustrates a process for HPC optimization of an N* treatment process without real-time monitoring.
  • a number of site-isolated regions are defined on the substrate to be processed under varying conditions. Some SIRs may be set aside to be identically processed to measure the repeatability or spatial uniformity of a “control” process.
  • the conditions to be varied may include substrate temperature, chamber pressure, plasma precursor composition, plasma power (e.g., DC or RF power), exposure time, flow rates of process gases, and the relative concentrations of inert and reactive gases.
  • the area of the substrate outside the currently processed SIR may be, for example, shielded by a mask so that only the SIR is exposed. If there are still SIRs unprocessed 523 , the next SIR is prepared 524 for exposure under the next set of conditions (e.g., the chamber is purged and (a) the mask is moved or (b) the substrate is moved under a stationary mask).
  • the next set of conditions e.g., the chamber is purged and (a) the mask is moved or (b) the substrate is moved under a stationary mask).
  • the SIRs When all the SIRs are processed 523 , they are characterized 525 (e.g., their sheet resistance, I-V curves, C-V curves, reflectance spectra, or other properties are measured). By comparing the measurements of the SIRs in view of a desired property (e.g., high or low conductivity or nitrogen content), the set of conditions that produced the best results can be identified 530 .
  • a desired property e.g., high or low conductivity or nitrogen content
  • the dynamics of fluids (including gases) in the chamber also affect the relative concentrations of species reaching the substrate. These effects may be largely independent of composition and can be manipulated separately via the length and “conductance” (which herein refers to fluid/gas conductance, not electrical conductance) of the passages from the remote plasma to the substrate.
  • the passages include remote plasma generation source 416 , showerhead body 436 , showerhead 426 , and chamber interior 401 , as well as any intervening conduits present in various chamber embodiments but not illustrated in FIG. 4 .
  • FIGS. 6A-6C illustrate embodiments of showerheads and their injection ports.
  • FIG. 6A is a top perspective view of a showerhead embodiment. To view the internal details, the showerhead body is not shown.
  • showerhead 600 may be formed from any known suitably inert materials, such as stainless steel, aluminum, anodized aluminum, nickel, ceramics and the like.
  • showerhead 600 is substantially circular. Its outer diameter may be about 200 or 300 mm, or up to 600 mm or even larger, depending on the substrate size. Other sizes or shapes may be used; for example, to match differently sized substrates.
  • a plurality of injection ports (or openings) 602 extend through a perforated wall 604 of the showerhead 600 . showerheads diffuse the plasma-generated species entering the main process chamber interior ( 401 in FIG. 4 ).
  • a fluid separation mechanism 606 extends upwards from the perforated wall 604 and includes several substantially linear dividers to divide the perforated wall 604 into four quadrants 608 , 610 , 612 , and 614 .
  • quadrants 608 , 610 , 612 , and 614 correspond to similarly shaped, site-isolated regions on the substrate ( 406 in FIG. 4 ).
  • perforated wall 604 may be divided into a different number of sections (e.g. 2, 3, 6, 8, or any suitable number).
  • fluid separation mechanism 606 The height of fluid separation mechanism 606 above a top surface of perforated wall 604 depends on showerhead design parameters; in some embodiments, fluid separation mechanism 606 provides sufficient separation to minimize or prevent diffusion of fluids between adjacent quadrants 608 , 610 , 612 , and 614 , thus facilitating combinatorial processing of corresponding regions on the substrate.
  • a fluid trap ring 616 extending upwards from a periphery of perforated wall 604 , may assist in containing fluid within showerhead 600 .
  • FIG. 6B is a bottom perspective view of a showerhead with a different hole pattern.
  • Injection ports 602 need not be arranged in a uniform rectilinear pattern as in FIG. 6A .
  • injection ports 602 are arranged annularly and only cover part of the available area of perforated wall 604 .
  • Injection ports in some embodiments may be spaced regularly or irregularly and may have different diameters or different shapes on the same showerhead.
  • the arrangement of injection ports 602 may differ between the different quadrants 608 - 614 .
  • the showerhead is not divided into quadrants or other sections.
  • FIG. 6C is a magnified partial sectional view of an injection port through the section A-A in FIG. 6C .
  • the direction of flow through the showerhead is indicated by arrows 622 .
  • Parameters that can be varied in injection port 602 include its length L, its bore angle ⁇ , its input width W i , its output width W o , and characteristics of its internal wall 603 such as taper, curvature, or texture.
  • each space that the plasma activated species flow through has conductance properties determined by parameters such as the dimensions and shape of the space. Some of these may be dynamically variable. For instance, showerhead 426 in FIG. 4 can be moved by showerhead translator 434 to lengthen the part of the path preceding the showerhead and shorten the part of the path following the showerhead, or vice versa. Apertures affecting conductance can be inserted in or removed from parts of the path, or their diameters can be changed similarly to the variable aperture in an iris diaphragm.
  • Changes in conductance along the path traversed by the plasma activated species affects the relative concentrations of species reaching the substrate by affecting (1) the pressure at the plasma generation source, which can affect the relative concentrations of species being generated, and (2) the travel time for the species to reach the substrate, which begins to exclude species with expected lifetimes shorter than the travel time.
  • the relative deposition rate of selected species and unselected species from a plasma can be manipulated by changing the dimensions and geometries of the showerhead holes and the remote-plasma passage into the substrate chamber. Sheet resistance of an underlying Ti film and/or optical emission spectroscopy of the plasma source can be used to evaluate the results of these changes.
  • nitride conversion proceeded at a lower rate when the injection ports of the showerhead had a smaller diameter.
  • Other processes besides nitridation e.g. doping can also use these approaches to select or include certain plasma activated species to react with the substrate.
  • FIGS. 7A-7C conceptually illustrate the formation and removal of a native oxide.
  • Many materials, such as semiconductor material 701 spontaneously react with oxygen and/or water vapor in the ambient atmosphere to form a native oxide 702 .
  • Unfortunately, even a few A of native oxide 702 can compromise the performance of a gate stack or source-drain contact fabricated on or through it. Therefore, before fabrication can proceed, native oxide 702 must be removed somehow to expose a pure material surface 703 , and generally surface 703 also needs to be passivated to sequester any surface defects.
  • Germanium (Ge) and III-V materials form native oxides, but are unacceptably damaged by the ion bombardment techniques used for removing similar native oxides from other materials.
  • Silicon (Si) for example, can tolerate oxide removal by plasma treatment with higher-energy species because its electron energy levels are further below the Fermi level and its oxides are comparatively stable and self-limiting.
  • Ge and the III-V materials have electron energy levels that are closer to the Fermi level, and therefore they behave more like metals; their oxides are unstable and do not self-limit, and bombardment with high-energy species is likely to leave dangling bonds and other defects.
  • O* and H* radicals are observed to remove the oxides and passivate the surface without damage.
  • FIG. 8 is a flowchart of an example process for native-oxide removal using O* and H* radicals as the selected species.
  • a pre-conditioning step 801 removes trapped water from the showerhead and other chamber hardware such as the process kit. Typically, temperature ranges from about 120-400 C, chamber pressure ranges from about 0.5-1 torr, flow rates are about 500 sccm, and durations are 10-30 min for the pre-conditioning step, which may optionally include an Ar or N 2 buffer gas.
  • a residual gas analyzer (RGA) may optionally be used 811 to verify removal of the trapped water.
  • an etch rate of the oxide is monitored ( 802 ). Monitoring techniques may include tracking a known oxide FTIR peak near the surface being treated; for example, GeO2 has characteristic infrared absorption peaks at 560 and 870 cm ⁇ 1 .
  • Treatment A may be exposure of the surface to either H* or O* radicals.
  • Treatment B is exposure of the surface to the other type of radical; that is, if Treatment A uses H*, Treatment B uses O* and vice versa.
  • the O* and H* temperatures may range from about 150-250 C
  • the chamber temperature may range from about 80-120 C
  • the chamber base pressure may be about 2e-6 Torr.
  • the surface is now free of oxide within the pre-defined tolerance, and also passivated and ready for the next fabrication step (e.g., formation of a source, drain, or gate).
  • This method is suitable for general cleaning of impurities from Ge, III-V materials, and other materials that tend to sustain damage from ion bombardment.
  • the process may be optimized for a substrate type or pre-defined tolerance by HPC.
  • the results of the HPC samples may be compared by observing the growth of ALD films on the cleaned surface.
  • the results may be compared by depositing a metal or other conductive film on the cleaned surface (“capping”) and either (1) forming a diode, measuring its I-V curve, and comparing it with the I-V curve of a diode formed from a known clean sample, or (2) measuring the line resistance of the conductive material.

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electrochemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Semiconductor Memories (AREA)
  • Physical Vapour Deposition (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Automation & Control Theory (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

Remote-plasma treatments of surfaces, for example in semiconductor manufacture, can be improved by preferentially exposing the surface to only a selected subset of the plasma species generated by the plasma source. The probability that a selected species reaches the surface, or that an unselected species is quenched or otherwise converted or diverted before reaching the surface, can be manipulated by introducing additional gases with selected properties either at the plasma source or in the process chamber, varying chamber pressure or flow rate to increase or decrease collisions, or changing the dimensions or geometry of the injection ports, conduits and other passages traversed by the species. Some example processes treat surfaces preferentially with relatively low-energy radicals, vary the concentration of radicals at the surface in real time, or clean and passivate in the same unit process.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims priority to U.S. Provisional Pat. App. Ser. No. 61/780,128, filed 13 Mar. 2013 and incorporated herein by reference for all purposes.
  • BACKGROUND
  • Related fields include remote-plasma processing of substrates and thin films, and surface treatments in semiconductor manufacture.
  • The continued demand for smaller and smaller semiconductor devices has increased the importance of surface treatments. Reducing the size of a thin-film-based feature often increases its sensitivity to defects, roughness, contaminants, and other imperfections or inconsistencies in the interfaces between layers. Surface treatments before forming an additional layer on the surface can sometimes produce more desirable properties in the interface between the layers.
  • Plasma-processing techniques modify the properties of a surface (or of that portion of a film or bulk material that is very close to the surface) by exposing the surface to a plasma. Plasmas may be generated for industrial use by DC, RF, or microwave power sources in high vacuum, moderate vacuum, or near-atmospheric pressure. Plasmas may include a variety of plasma activated species; besides the ions, free electrons, and other charge-carriers that make the plasma electrically conductive, neutral particles and radicals may also be present.
  • Some plasma processes used in semiconductor manufacture (e.g. plasma-enhanced atomic layer deposition (PEALD), nitridation, plasma-doping, passivation, native-oxide removal) might produce improved performance, reliability, or consistency if the surface could be selectively exposed to only a subset of the plasma activated species generated by the plasma. In some cases, some of the species may counteract the intended effects of other species. In other cases, some species produce a desired effect on selected features fabricated on a substrate while other species can damage other nearby structures.
  • The ability to dynamically change the density of a given species reaching a surface during treatment is also desirable. For example, a plasma may contain both etchant and passivation species, and a surface being processed may be a “native” oxide film on a semiconductor. The etchant film may need to be strong to remove the oxide, but its full strength may damage the semiconductor underneath. The semiconductor surface, once exposed, may have dangling bonds that become voids or other defects, or promote the formation of another native oxide. If the etchant species could be reduced and the passivation species increased as the last of the native oxide is removed, a smoother oxide-free semiconductor surface might result.
  • Therefore, the semiconductor industry would benefit from the ability to select the dominant species impinging on the work surface, to adjust them in real time, and to monitor properties of both the plasma and the work surface. Removal of native oxides, a very common challenge, is one example of a process that could be improved by these abilities.
  • SUMMARY
  • The following summary presents some concepts in a simplified form as an introduction to the detailed description that follows. It does not necessarily identify key or critical elements and is not intended to reflect a scope of invention.
  • Plasma generation sources generate a variety of plasma activated species; for example, ions, electrons, and radicals. Some plasma treatments benefit from preferentially exposing the surface under treatment to a subset of the plasma activated species generated by the source. Some processes are primarily executed by a subset of the generated species, and the rest are superfluous. Some surfaces are damaged by the excess energy dissipated by higher-energy species but can be acceptably treated by lower-energy species. Some surfaces are undesirably affected by ions (e.g., unwanted dipoles or dangling bonds may be formed), but desirably affected by radicals. A subset of species intended to react with the substrate can be preferentially selected by increasing the probability that a the selected species will react with the substrate, or by decreasing the probability that other, unselected species will react with the substrate.
  • The probability may be increased for a selected species that promotes the intended treatment. Adding an inert gas may increase the number of selected species generated by collisions. The gas conductance (as distinct from electrical conductance) of showerheads, injection ports, and other conduits through which the plasma species pass can be optimized to give the selected species a travel time less than its expected lifetime.
  • The probability may be decreased for an unselected species; i.e., one that does not promote the intended treatment, or that inhibits the intended treatment, or that may damage the surface being treated. Adding a reactive gas may quench the unselected species and shorten its expected lifetime below the expected travel time to the surface. Increasing pressure and temperature or manipulating gas conductance to increase the frequency of collisions and decrease the mean free path may exclude unselected species with expected lifetimes shorter than the travel time to the surface and shorter than the expected lifetime of a selected species.
  • Nitridation (conversion of a metal, semiconductor, compound or alloy to its corresponding nitride), nitrogen doping, or other treatments with N* radicals (herein, an asterisk after an element's chemical symbol denotes a radical species of that element) can be controlled dynamically as the treatment continues. To increase the concentration of radicals at the surface, an inert gas is added to create more radicals through collision. To decrease the concentration of radicals at the surface, a reactive gas is added to quench the radicals before they reach the surface. Transitions can be made more gradual by exhausting one of the gases before adding the other.
  • Germanium and III-V materials (compound semiconductor materials containing elements from Groups III and V of the periodic table, e.g., gallium arsenide, gallium nitride) can be cleaned, including the removal of native oxides, and passivated by alternating exposure to O* and H* radicals. Ion bombardment causes unacceptable damage to these materials, so this process may benefit from exposure to a subset of plasma species that includes radicals and excludes ions.
  • BRIEF DESCRIPTION OF DRAWINGS
  • FIG. 1 illustrates a schematic diagram 100 for implementing combinatorial processing and evaluation using primary, secondary, and tertiary screening.
  • FIG. 2 is a simplified schematic diagram illustrating a general methodology for combinatorial process sequence integration that includes site-isolated processing and/or conventional processing.
  • FIG. 3 is a simplified schematic diagram of an example of an integrated high productivity combinatorial (HPC) system.
  • FIG. 4 is a simplified schematic diagram illustrating a processing chamber, or substrate processing tool configured to perform combinatorial processing.
  • FIGS. 5A and 5B are flowcharts of example processes for controlling a concentration of N* radicals reacting with a surface of a substrate.
  • FIGS. 6A-6C illustrate embodiments of showerheads and their injection ports.
  • FIGS. 7A-7C conceptually illustrate the formation and removal of a native oxide.
  • FIG. 8 is a flowchart of an example process for native-oxide removal using O* and H* radicals as the selected species.
  • DETAILED DESCRIPTION OF EXAMPLE EMBODIMENTS
  • A detailed description of one or more example embodiments is provided below. To avoid unnecessarily obscuring the description, some technical material known in the related fields is not described in detail. Semiconductor fabrication generally requires many other processes before and after those described; this description omits steps that are irrelevant to, or that may be performed independently of, the described processes.
  • Substrate surfaces may be treated before depositing, growing, or otherwise forming additional layers or features. Alternatively, an intended outer surface may be treated to confer desirable chemical or physical properties.
  • In the described treatments, a remote plasma source generates a variety of plasma activated species such as electrons, ions, and radicals. The surface to be treated is preferentially exposed to a selected subset of those species, rather than to all of the generated species. The probability of a particular species reaching the surface under treatment is manipulated (i.e., species are selected or excluded) by introducing gases into the chamber or by changing the physical parameters and spatial relationships of hardware components in the process chamber. Increasing the probability of a selected species reaching the surface, or decreasing the probability of an unselected species reaching the surface, can improve a quality of the surface or a quality of an interface with an additional film or feature subsequently formed adjacent to the surface.
  • Some treatments that benefit from species selection or exclusion relate to removal of native oxides from substrates or films such as germanium (Ge) and III-V materials. Impinging ions can easily damage these materials, but O* and H* radicals remove the oxide and passivate the surface without damage. A pre-conditioning step may be used before the plasma treatment to remove water from the oxide and from chamber hardware such as the process kit and showerhead.
  • Combinatorial processing may be used to produce and evaluate different materials, chemicals, processes, and techniques, or build structures to determine how materials interact with existing structures, across multiple site-isolated regions (SIRs) on each substrate. These variations may relate to temperature, exposure time, layer thickness, chemical composition, humidity, and other process variables with a chemical composition kept constant, or the chemical composition may be varied.
  • Techniques to evaluate the effects of the variations include monitoring the substrate by measuring the sheet resistance of an underlying film (e.g., a titanium (Ti) film) and monitoring the optical emission spectroscopy of the plasma source. One or more oxide peaks may be monitored in the Fourier-transform infrared (FTIR) spectrum near the substrate. A method of evaluating a cleaning process involves creating a diode by depositing a conductive film on the treated surface. The diode's current vs. voltage (I-V) curve may then be measured and compared to that of a diode made from a known clean sample of the same material. Some treatments, such as those used to reduce current leakage in dielectric films, may be evaluated by measuring the C-V (capacitance vs. voltage) curve of a capacitor formed on the substrate (e.g., by sandwiching a dielectric layer between two conductive layers, and optionally etching to produce multiple capacitors).
  • Semiconductor manufacturing typically includes a series of processing steps such as cleaning, surface preparation, deposition, patterning, etching, thermal annealing, and other related unit processing steps. The precise sequencing and integration of the unit processing steps enables the formation of functional devices meeting desired performance metrics such as efficiency, power production, and reliability. As part of the discovery, optimization and qualification of each unit process, it is desirable to be able to test i) different materials, ii) different processing conditions within each unit process module, iii) different sequencing and integration of processing modules within an integrated processing tool, iv) different sequencing of processing tools in executing different process sequence integration flows, and (v) combinations thereof. In particular, there is a need to be able to test multiple materials, processing conditions, sequences of processing conditions, process sequence integration flows, and combinations (collectively, “combinatorial process sequence integration”) on a single substrate rather than using a separate substrate for each combination of materials, processes, sequences, and flows. This can greatly increase the speed and reduce the cost of discovery, implementation, optimization, and qualification of the material(s), process(es), and process integration sequence(s) required for manufacturing.
  • Systems and methods for High Productivity Combinatorial (HPC) processing are described in U.S. Pat. No. 7,544,574 filed on Feb. 10, 2006, U.S. Pat. No. 7,824,935 filed on Jul. 2, 2008, U.S. Pat. No. 7,871,928 filed on May 4, 2009, U.S. Pat. No. 7,902,063 filed on Feb. 10, 2006, and U.S. Pat. No. 7,947,531 filed on Aug. 28, 2009 which are all herein incorporated by reference. Systems and methods for HPC processing are further described in U.S. patent application Ser. No. 11/352,077 filed on Feb. 10, 2006, claiming priority from Oct. 15, 2005, U.S. patent application Ser. No. 11/419,174 filed on May 18, 2006, claiming priority from Oct. 15, 2005, U.S. patent application Ser. No. 11/674,132 filed on Feb. 12, 2007, claiming priority from Oct. 15, 2005, and U.S. patent application Ser. No. 11/674,137 filed on Feb. 12, 2007, claiming priority from Oct. 15, 2005 which are all herein incorporated by reference.
  • HPC processing techniques have been successfully adapted to wet chemical processing such as etching and cleaning. HPC processing techniques have also been successfully adapted to deposition processes such as physical vapor deposition (PVD), atomic layer deposition (ALD), and chemical vapor deposition (CVD). A variety of test and measurement techniques to evaluate the performance of these and other processes have been incorporated into HPC methods and, in some cases, into HPC apparatus.
  • FIG. 1 illustrates a schematic diagram 100 for implementing combinatorial processing and evaluation using primary, secondary, and tertiary screening. The schematic diagram 100 illustrates that the relative number of combinatorial processes run with a group of substrates decreases as certain materials and/or processes are selected. Generally, combinatorial processing includes performing a large number of processes during a primary screen, selecting promising candidates from those processes, performing the selected processing during a secondary screen, selecting promising candidates from the secondary screen for a tertiary screen, and so on. In addition, feedback from later stages to earlier stages can be used to refine the success criteria and provide better screening results.
  • For example, thousands of materials are evaluated during a materials discovery stage 102. Materials discovery stage 102 is also known as a primary screening stage performed using primary screening techniques. Primary screening techniques may include dividing substrates into coupons and depositing materials using varied processes. The materials are then evaluated, and promising candidates are advanced to the secondary screen, or materials and process development stage, 104. Evaluation of the materials is performed using metrology tools such as electronic testers and imaging tools (i.e., microscopes).
  • The materials and process development stage 104 may evaluate hundreds of materials (i.e., a magnitude smaller than the primary stage) and may focus on the processes used to deposit or develop those materials. Promising materials and processes are again selected, and advanced to the tertiary screen or process integration stage 106, where tens of materials and/or processes and combinations are evaluated. The tertiary screen or process integration stage 106 may focus on integrating the selected processes and materials with other processes and materials.
  • The most promising materials and processes from the tertiary screen are advanced to device qualification 108. In device qualification, the materials and processes selected are evaluated for high volume manufacturing, which normally is conducted on full substrates with production tools, but need not be conducted in such a manner. The results are evaluated to determine the efficacy of the selected materials and processes. If successful, the use of the screened materials and processes can proceed to pilot manufacturing 110.
  • The schematic diagram 100 is an example of various techniques that may be used to evaluate and select materials and processes for the development of new materials and processes. The descriptions of screening stages 102-110 are arbitrary; the stages may overlap, occur out of sequence, or be described or performed in other ways.
  • This application benefits from High Productivity Combinatorial (HPC) techniques described in U.S. patent application Ser. No. 11/674,137 filed on Feb. 12, 2007, and incorporated for reference in its entirety for all purposes. Portions of the '137 application have been reproduced below to enhance the understanding of the present invention. The embodiments described herein enable the application of combinatorial techniques to process sequence integration in order to arrive at a globally optimal sequence of semiconductor manufacturing operations by considering interaction effects between the unit manufacturing operations, the process conditions used to effect such unit manufacturing operations, hardware details used during the processing, and material characteristics of components utilized within the unit manufacturing operations. Rather than only considering a series of local optima, i.e., the best conditions and materials for each manufacturing unit operation considered in isolation, the embodiments described below consider interaction effects introduced by the multitude of processing operations that are performed and the order in which such multitude of processing operations are performed when fabricating a device. A global optimum sequence order is therefore derived and as part of this derivation, the unit processes, unit process parameters and materials used in the unit process operations of the optimum sequence order are also considered.
  • The embodiments described further analyze a portion or sub-set of the overall process sequence used to manufacture, for example, a semiconductor device. Once the subset of the process sequence is identified for analysis, combinatorial process sequence integration testing is performed to optimize the materials, unit processes, hardware details, and process sequence used to build that portion of the device or structure. During the processing of some embodiments described herein, structures are formed on the processed substrate that are equivalent to the structures formed during actual production of the semiconductor device. For example, such structures may include, but would not be limited to, contact layers, buffer layers, absorber layers, or any other series of layers or unit processes that create an intermediate structure found on semiconductor devices.
  • While the combinatorial processing varies certain materials, unit processes, hardware details, or process sequences, the composition or thickness of the layers or structures or the action of the unit process, such as cleaning, surface preparation, deposition, surface treatment, etc. is substantially uniform through each discrete region. Furthermore, while different materials or unit processes may be used for corresponding layers or steps in the formation of a structure in different regions of the substrate during the combinatorial processing, the application of each layer or use of a given unit process is substantially consistent or uniform throughout the different regions in which it is intentionally applied. Thus, the processing is uniform within a region (inter-region uniformity) and between regions (intra-region uniformity), as desired. It should be noted that the process can be varied between regions, for example, where a thickness of a layer is varied or a material may be varied between the regions, etc., as desired by the design of the experiment.
  • The result is a series of regions on the substrate that contain structures or unit process sequences that have been uniformly applied within that region and, as applicable, across different regions. This process uniformity allows comparison of the properties within and across the different regions such that the variations in test results are due to the varied parameter (e.g., materials, unit processes, unit process parameters, hardware details, or process sequences) and not the lack of process uniformity. In the embodiments described herein, the positions of the discrete regions on the substrate can be defined as needed, but are preferably systematized for ease of tooling and design of experimentation. In addition, the number, variants and location of structures within each region are designed to enable valid statistical analysis of the test results within each region and across regions to be performed.
  • FIG. 2 is a simplified schematic diagram illustrating a general methodology for combinatorial process sequence integration that includes site-isolated processing and/or conventional processing. In some embodiments, the substrate is initially processed using conventional process N. In some exemplary embodiments, the substrate is then processed using site-isolated process N+1. During site-isolated processing, an HPC module may be used, such as the HPC module described in U.S. patent application Ser. No. 11/352,077 filed on Feb. 10, 2006. The substrate can then be processed using site-isolated process N+2, and thereafter processed using conventional process N+3. Testing is performed and the results are evaluated. The testing can include physical, chemical, acoustic, magnetic, electrical, optical, etc. tests. From this evaluation, a particular process from the various site-isolated processes (e.g. from steps N+1 and N+2) may be selected and fixed so that additional combinatorial process sequence integration may be performed using site-isolated processing for either process N or N+3. For example, a next process sequence can include processing the substrate using site-isolated process N, conventional processing for processes N+1, N+2, and N+3, with testing performed thereafter.
  • Various other combinations of conventional and combinatorial processes can be included in the processing sequence of FIG. 2. The combinatorial process sequence integration can be applied to any desired segments and/or portions of an overall process flow. Characterization, including physical, chemical, acoustic, magnetic, electrical, optical, etc. testing, can be performed after each process operation, and/or series of process operations within the process flow as desired. The feedback provided by the testing is used to select certain materials, processes, process conditions, and process sequences and eliminate others. Furthermore, the above flows can be applied to entire monolithic substrates, or portions of monolithic substrates such as coupons.
  • Under combinatorial processing operations, the processing conditions in different regions can be controlled independently. Consequently, process material amounts, reactant species, processing temperatures, processing times, processing pressures, processing flow rates, processing powers, processing reagent compositions, the rates at which the reactions are quenched, deposition order of process materials, process sequence steps, hardware details, etc., can be varied from region to region on the substrate.
  • Thus, for example, when exploring materials, a processing material delivered to a first and second region can be the same or different. If the processing material delivered to the first region is the same as the processing material delivered to the second region, this processing material can be offered to the first and second regions on the substrate at different concentrations. In addition, the material can be deposited under different processing parameters. Parameters which can be varied include, but are not limited to, process material amounts, reactant species, processing temperatures, processing times, processing pressures, processing flow rates, processing powers, processing reagent compositions, the rates at which the reactions are quenched, atmospheres in which the processes are conducted, an order in which materials are deposited, hardware details of the gas distribution assembly, etc. It should be appreciated that these process parameters are exemplary and not meant to be an exhaustive list as other process parameters commonly used in semiconductor manufacturing may be varied.
  • As mentioned above, within a region, the process conditions are substantially uniform, in contrast to gradient processing techniques which rely on the inherent non-uniformity of the material deposition. That is, the embodiments described herein locally perform the processing in a conventional manner, e.g., substantially consistent and substantially uniform, while globally over the substrate, the materials, processes, and process sequences may vary. Thus, the testing will find optima without interference from process variation differences between processes that are meant to be the same. It should be appreciated that a region may be adjacent to another region in some embodiments or the regions may be isolated and, therefore, non-overlapping. When the regions are adjacent, there may be a slight overlap wherein the materials or precise process interactions are not known; however, a portion of the regions, normally at least 50% or more of the area, is uniform and all testing occurs within that region. Further, the potential overlap is only allowed with materials or processes that will not adversely affect the result of the tests. Both types of regions are referred to herein as regions or discrete regions.
  • FIG. 3 is a simplified schematic diagram of an example of an integrated high productivity combinatorial (HPC) system. HPC system includes a frame 300 supporting a plurality of processing modules. It should be appreciated that the frame 300 may be a unitary frame in accordance with some embodiments. In some embodiments, the environment within the frame 300 is controlled. Load lock/factory interface 302 provides access into the plurality of modules of the HPC system. Robot 314 provides for the movement of substrates (and masks) between the modules and for the movement into and out of the load lock 302. Modules 304-312 may be any set of modules and preferably include one or more combinatorial modules. For example, module 304 may be an orientation/degassing module, module 306 may be a clean module, either plasma or non-plasma based, modules 308 and/or 310 may be combinatorial/conventional dual purpose modules. Module 312 may provide conventional clean or degas as necessary for the experiment design.
  • Any type of chamber or combination of chambers may be implemented and the description herein is merely illustrative of one possible combination and not meant to limit the potential chamber or processes that can be supported to combine combinatorial processing or combinatorial plus conventional processing of a substrate or wafer. In some embodiments, a centralized controller, i.e., computing device 316, may control the processes of the HPC system, including the power supplies and synchronization of the duty cycles described in more detail below. Further details of one possible HPC system are described in US application Ser. Nos. 11/672,478 and 11/672,473. With HPC system, a plurality of methods may be employed to deposit material upon a substrate employing combinatorial processes.
  • FIG. 4 is a simplified schematic diagram illustrating a processing chamber, or substrate processing tool configured to perform combinatorial processing. The processing chamber 400 is defined by a housing that includes a sidewall 405 and a lid 412 enclosing a chamber interior 401. Processing chamber 400 also includes a substrate support 404 configured to hold a substrate 406. The substrate support 404 may be any known substrate support, including but not limited to a vacuum chuck, electrostatic chuck or other known mechanisms. The substrate support 404 is capable of both rotating around its own central axis 408 (referred to as “rotation” axis, which is congruent with a central axis of the substrate 406), and rotating around a second axis 410 (referred to as “revolution” axis). Other substrate supports, such as an XY table, can also be used for site-isolated processing. In addition, the substrate support 404 may move in a vertical direction, i.e., away from or towards lid 412. Rotation and movement in the vertical direction may be achieved through known drive mechanisms which include magnetic drives, linear drives, worm screws, lead screws, a differentially pumped rotary feed through drive, etc. A power source 424 provides power to plasma generation source 416. It should be appreciated that power source 424 may output a direct current (DC) power supply, a pulsed DC power supply, or a radio frequency (RF) power supply.
  • The substrate 406 may be a conventional round 200 mm, 300 mm substrate, or any other larger or smaller substrate/wafer size. In some embodiments, the substrate 406 may be a square, rectangular, or other shaped substrate. One skilled in the art will appreciate that the substrate 406 may be a blanket substrate, a coupon (e.g., partial wafer), or even a patterned substrate having predefined regions. In some embodiments, the substrate 406 may have regions defined through the processing described herein. The term “region” is used herein to refer to a localized area on a substrate which is, was, or is intended to be used for processing or formation of a selected material. The region can include one region and/or a series of regular or periodic regions predefined on the substrate. The region may have any convenient shape, e.g., circular, rectangular, elliptical, wedge-shaped, etc. In the semiconductor field a region may be, for example, a test structure, single die, multiple dies, portion of a die, other defined portion of substrate, or an undefined area of a substrate, e.g., blanket substrate which is defined through the processing.
  • The chamber 400 in FIG. 4 includes a lid 412, through which plasma generation source (or system) 416 extends. Fluid inlets 414 and 418 extend into chamber interior 401 through sidewalls (or a base) 405 of the chamber 400. Fluid inlet 414 is in fluid communication with fluid source 420, while fluid inlet 418 is in fluid communication with fluid source 422. In other embodiment, fluid inlets 414 and 418 may be in fluid communication with the same fluid source. It should be appreciated that fluid inlets 414 and 418 may extend around a surface of the substrate 406 so that a perimeter of substrate 406 is encompassed by fluid inlets 414 and 418. In some embodiments, fluid inlets 414 and 418 are configured as ring portions surrounding substrate 406
  • In some embodiments, fluid inlets 414 and 418 are movable to vertically translate along with the substrate support 404 so that each fluid inlet remains proximate to an edge of substrate 406. For example, the ring portions may be coupled to an appropriate drive such as a worm gear, linear drive, etc., so that the fluid inlets 414 and 418 track the movement of the substrate and substrate support.
  • The plasma generation source 416 is operable to provide a plasma activated species. “Plasma activated species” refers to reactive atomic and molecular radicals converted from the precursor gas through interaction with the plasma. The plasma also consists of non-charged species (e.g., radicals) and charged species (e.g., ions and electrons). The plasma activated species provided by plasma generation source 416 may have a non-reactive outer portion 440 surrounding a reactive inner portion 442. Plasma generation source 416 may be a commercially available inductively coupled radio frequency (RF) plasma generation source. Plasma generation source (or system) 416 may include means for generating multiple types of plasma simultaneously.
  • Plasma activated species 440, 442 exit plasma generation source 416 through showerhead body 436 and into showerhead 426. Showerhead 426 diffuses the flow of plasma activated species through a number of injection ports into multiple paths 444. Some plasma processes do not use showerheads. Showerhead body 436 and showerhead 426, as illustrated, are suspended in chamber interior 401 above substrate 406. Showerhead 426 and showerhead body 436 may be vertically translatable (i.e., movable) within chamber interior 401 by means of showerhead translator 434. Showerhead translator 434 may include any appropriate drive such as a worm gear, linear drive, etc., and may be operable to translate showerhead 426 dynamically as processing continues.
  • An additional fluid source 428 may be coupled to (i.e., in fluid communication with) showerhead 426. Fluid source 428 may provide, for example, an inert gas to the showerhead during processing. In some embodiments, the showerhead 426 is grounded. However, in other embodiments, a power supply (and controller) 430 may also be provided to control and modulate a charge on the showerhead 426 and/or control showerhead translator 434. Alternatively, showerhead translator 434 may be controlled by controller 432 and powered by power supply 424, or any other suitable source of power and control may be used.
  • To remove excess precursors, buffer gases, waste products, and other fluids from chamber interior 401, one or more vacuum pumps 448 may be in fluid communication with chamber interior 401 via exhaust port 438. Exhaust port 438 may be located on any convenient or effective area of chamber 400. There may be multiple exhaust ports. In some embodiments, showerhead 426 or showerhead body 436 may have its own exhaust port, for example to exhaust fluids introduced into showerhead 426 by fluid source 428. An exhaust may be a multi-port ring near the substrate (not shown) as a counterpart to distribution ring 415. The operation of multiple exhausts may be independently and/or programmably controllable.
  • The chamber 400 also includes a controller (or control sub-system) 432 in operable communication with the other components of the chamber 400, such as fluid sources 420, 422, and 428, power supply 424, etc. (for drawing simplicity, not all connections are shown). The controller 432 may include a processor, memory such as random access memory (RAM), and a storage device such as a hard disk drive. The controller 432 is configured to control the operation of the chamber 400 to perform the methods and processes described herein.
  • The embodiments illustrated in FIG. 4 provide for independent control of a plasma and a feedstock of a film to be deposited. For example, the plasma activated species provided by the plasma generation source 416 pass through showerhead body 436 and showerhead 426 into chamber interior 401, while the film feedstock may be delivered through the bottom of the chamber to distribution ring 415 above or proximate to the substrate surface. In some embodiments, the distribution ring 415 is coupled to the substrate support 404 so that the ring vertically translates with the substrate support. It should be appreciated that the feedstock interacts with the plasma proximate to a surface of substrate 406 so that site-isolated processing may be performed on different regions of substrate 406. It should be further appreciated that the chamber 400 may be a vapor deposition chamber that includes chemical vapor deposition chambers and atomic layer deposition chambers.
  • In some embodiments, a plasma provided by plasma generation source 416 may include a plasma based on hydrogen, nitrogen, argon, oxygen, ammonia, nitrogen trifluoride, helium, or a combination thereof and may be referred to as a first precursor. A film feedstock provided through fluid inlets 414 and 418 may be any suitable feedstock for the desired deposition layer and may be referred to as a second precursor. In some embodiments, the first precursor carries the plasma activated species and activates the second precursor proximate to the substrate surface at a specific site or region. However, in some embodiments, only a first precursor is utilized without a second precursor.
  • In some embodiments, chamber 400 is used to perform a surface treatment on the substrate 406. Some examples of surface treatments may preferentially expose substrate 406, or a site-isolated region of substrate 406, to a selected subset of plasma-activated species 440, 442 generated by plasma generation source 416. Some examples of surface treatments may include dynamically adjusting the concentration of one or more plasma-activated species at substrate 406 while the treatment process continues.
  • To reach substrate 406, the plasma-activated species must pass through showerhead body 436, showerhead 426, and the part of chamber interior 401 between showerhead 426 and the surface of substrate 406. Each plasma-activated species has an average expected lifetime after activation and an expected travel time from plasma generation source 416 to substrate 406. In some cases, a species will only react with a substrate if the substrate provides an available reactive site (e.g., a dangling bond). The probability of impacts or reactions of an unwanted plasma-activated species on the substrate may therefore be reduced (the unwanted species can be “unselected”) by decreasing the species' expected lifetime, increasing the expected travel time, and sometimes by removing reactive sites from the substrate (e.g., passivating the dangling bonds).
  • Factors influencing the lifetime of a species include intrinsic factors (e.g., reactivity of the particular species) and external factors (e.g., opportunities to be converted to another state by collision or reaction). Factors influencing the expected travel time from the plasma generation source to the substrate include travel velocity (which can be affected by pressure and temperature) and travel distance (which can be affected by hardware dimensions and mean free path between collisions). The probability of some species' reaching the substrate may be influenced by adjusting the composition, flow rate, pressure, or temperature of the plasma at the plasma generating source.
  • Adding a gas to the chamber increases the probability of collisions and, if the gas is reactive rather than being an inert “buffer” gas, conversion of some plasma-activated species to other species. The amount of gas added affects the travel time, and the type of gas added can affect the lifetime of a species with which the gas reacts. Changing the amount or type of added gas in the chamber during the plasma treatment is one way to dynamically change the selection of plasma activated species that reach the substrate. Adjusting the flow rate, pressure, or temperature of the added buffer or reactive gas can also affect the selection of species.
  • In the process chamber shown in FIG. 4, gases can be introduced through a variety of inlets with potentially different effects. For example, a gas intended to bond with an unselected species or induce relaxation of the unselected species to a lower-energy state through collision may perform efficiently when introduced into the relatively confined space of showerhead body 436 or showerhead 426, e.g., through fluid source 428. A gas intended to mitigate certain types of reactive sites on the substrate may perform more efficiently if introduced through distribution ring 415 close to substrate 406, or through fluid source 420 or fluid source 422. Exhaust port(s) 438 may be located, and their timing operated, to confine a specific gas to a specific part of chamber 400 (e.g., showerhead 426 or the vicinity of substrate 406) by exhausting it before it substantially diffuses throughout chamber interior 401.
  • For example, a common plasma process in semiconductor manufacture converts an element (e.g., Ti) or an alloy or compound (e.g. TaSi) to its nitride (e.g., TiN, TaSiN) by exposure to plasma-activated N* radicals. N* radicals are preferred for some applications because higher-energy species such as ions, although they can cause a faster conversion, may unacceptably damage some surfaces (e.g., those of ALD films a few nanometers thick) by the amount of energy they dissipate. An extra process (e.g., passivation or planarization) is then required to repair the damaged surface before the next stage of fabrication can proceed.
  • Experiments indicated that introducing an inert gas (e.g., Ar, He in the plasma generation source) increases the concentration of N* radicals at the substrate and thereby increases the rate of nitride formation. Even in an excited state, Ar or He in a plasma generating source will not react with nitrogen; instead it transfers its collision energy to form more N* radicals. By contrast, introducing a reactive gas (e.g., H2, O2) has the opposite effect, quenching N* radicals and reducing their relative concentration. In addition, the presence of any extra gas may select longer-lived species by increasing collisions and shortening the mean free path. The increased collisions increase the mean travel time from the plasma generating source to the substrate. As mean travel time increases, more of the shorter-lived species decay, relax, react, or otherwise reach their end-of-life before reaching the substrate. In effect, the collision-increased travel time acts as a filter that prevents the shorter-lived species from reaching the substrate and still permits the longer-lived species to reach the substrate, such that the longer-lived species are selected and the shorter-lived species are unselected. The presence of a reactive gas that preferentially quenches or otherwise reacts with unselected species may change the states of those species before they reach the substrate.
  • FIGS. 5A and 5B are flowcharts of example processes for controlling a concentration of N* radicals reacting with a surface of a substrate. FIG. 5A shows a process that includes real-time monitoring. This could be a nitride formation process or any type of N* treatment with results that can be monitored while the process is ongoing. The process is started 500 and the surface to be treated is exposed 501 to the nitrogen plasma. In some embodiments, an inert “buffer” gas may be added to the chamber to raise the pressure or increase the mean travel time by causing more collisions, thus preventing short-lived species from reaching the substrate. The progress of the process (e.g., the conversion to nitride) is monitored 502. Examples of monitoring 502 include, without limitation, measuring the sheet resistance of a film on the substrate that is affected by the treatment, or monitoring nitrogen peaks in a spectral trace (e.g., Fourier transform infrared (FTIR)). The sheet resistance may be measured, for example, by a diameter scan with a 4-point probe and a comparison with a previous scan. Taking a baseline scan before any treatment removes uncertainties based on unit-to-unit variations in film parameters such as thickness.
  • Until the process is complete, an indication of the concentration of N* radicals near the surface under treatment is monitored 503 and compared with a desired range. Examples of monitoring 503 the N* concentration include, without limitation, measuring a rate of change in the sheet resistance of the surface film (in processes where N* radicals are known to be the dominant cause of the change) or monitoring an emission spectrum of the plasma (which indicates how many N* radicals are being generated). An optical emission spectroscopy (OES) peak at 674.6 nm is widely used as an indicator of N*. This peak was observed to increase in intensity when inert gas (e.g., Ar) was added and decrease when H2 or O2 were added.
  • If the monitoring indicates 505 that not enough N* radicals are reaching or reacting with the surface, then inert gas (e.g., Ar or He) is added or reactive gas (e.g., H2 or O2), if present, is exhausted 506. If, instead, the monitoring indicates 507 that too many N* radicals are reaching or reacting with the surface, then reactive gas (e.g., H2 or O2), is added or inert gas (e.g., Ar or He), if present, is exhausted 508. The N* radicals are treated as a selected species if the reaction is proceeding more slowly than a desired rate; the same N* radicals are treated as an unselected species if the reaction is proceeding more quickly than the desired rate. After adjusting the gas mixture, or if the concentration of N* radicals appears to be within the desired range, the exposure and monitoring continue 509501, 502 until the process is complete 503, at which point the process is ended 510. Because the N* radicals do not dissipate much extra energy beyond what is necessary to create the nitride, an extra repair step is not needed after the nitridation.
  • HPC can be helpful in optimizing this type of process. For example, some of these effects have thresholds. Adding Ar to the N2 buffer gas during the nitride conversion of Ti at 0.16 Torr only begins to increase the change in sheet resistance of the Ti film (evidence of more Ti being converted to less-conductive TiN) when the concentration ratio of N2/Ar exceeded about 30%.
  • FIG. 5B illustrates a process for HPC optimization of an N* treatment process without real-time monitoring. A number of site-isolated regions (SIRs) are defined on the substrate to be processed under varying conditions. Some SIRs may be set aside to be identically processed to measure the repeatability or spatial uniformity of a “control” process. The conditions to be varied may include substrate temperature, chamber pressure, plasma precursor composition, plasma power (e.g., DC or RF power), exposure time, flow rates of process gases, and the relative concentrations of inert and reactive gases. After the process is started 520, a set of these process conditions is selected 521 for each SIR, and the SIR is exposed to the plasma under that selected set of conditions. The area of the substrate outside the currently processed SIR may be, for example, shielded by a mask so that only the SIR is exposed. If there are still SIRs unprocessed 523, the next SIR is prepared 524 for exposure under the next set of conditions (e.g., the chamber is purged and (a) the mask is moved or (b) the substrate is moved under a stationary mask).
  • When all the SIRs are processed 523, they are characterized 525 (e.g., their sheet resistance, I-V curves, C-V curves, reflectance spectra, or other properties are measured). By comparing the measurements of the SIRs in view of a desired property (e.g., high or low conductivity or nitrogen content), the set of conditions that produced the best results can be identified 530.
  • The dynamics of fluids (including gases) in the chamber also affect the relative concentrations of species reaching the substrate. These effects may be largely independent of composition and can be manipulated separately via the length and “conductance” (which herein refers to fluid/gas conductance, not electrical conductance) of the passages from the remote plasma to the substrate. Referring back to FIG. 4, the passages include remote plasma generation source 416, showerhead body 436, showerhead 426, and chamber interior 401, as well as any intervening conduits present in various chamber embodiments but not illustrated in FIG. 4.
  • FIGS. 6A-6C illustrate embodiments of showerheads and their injection ports. FIG. 6A is a top perspective view of a showerhead embodiment. To view the internal details, the showerhead body is not shown. Showerhead 600 may be formed from any known suitably inert materials, such as stainless steel, aluminum, anodized aluminum, nickel, ceramics and the like. Showerhead 600 is substantially circular. Its outer diameter may be about 200 or 300 mm, or up to 600 mm or even larger, depending on the substrate size. Other sizes or shapes may be used; for example, to match differently sized substrates. A plurality of injection ports (or openings) 602 extend through a perforated wall 604 of the showerhead 600. Showerheads diffuse the plasma-generated species entering the main process chamber interior (401 in FIG. 4).
  • A fluid separation mechanism 606 extends upwards from the perforated wall 604 and includes several substantially linear dividers to divide the perforated wall 604 into four quadrants 608, 610, 612, and 614. In some embodiments, quadrants 608, 610, 612, and 614 correspond to similarly shaped, site-isolated regions on the substrate (406 in FIG. 4). In some embodiments, perforated wall 604 may be divided into a different number of sections (e.g. 2, 3, 6, 8, or any suitable number). The height of fluid separation mechanism 606 above a top surface of perforated wall 604 depends on showerhead design parameters; in some embodiments, fluid separation mechanism 606 provides sufficient separation to minimize or prevent diffusion of fluids between adjacent quadrants 608, 610, 612, and 614, thus facilitating combinatorial processing of corresponding regions on the substrate. A fluid trap ring 616, extending upwards from a periphery of perforated wall 604, may assist in containing fluid within showerhead 600.
  • FIG. 6B is a bottom perspective view of a showerhead with a different hole pattern. Injection ports 602 need not be arranged in a uniform rectilinear pattern as in FIG. 6A. Here, injection ports 602 are arranged annularly and only cover part of the available area of perforated wall 604. Injection ports in some embodiments may be spaced regularly or irregularly and may have different diameters or different shapes on the same showerhead. In some embodiments, the arrangement of injection ports 602 may differ between the different quadrants 608-614. In some embodiments, the showerhead is not divided into quadrants or other sections.
  • FIG. 6C is a magnified partial sectional view of an injection port through the section A-A in FIG. 6C. The direction of flow through the showerhead is indicated by arrows 622. Parameters that can be varied in injection port 602 include its length L, its bore angle α, its input width Wi, its output width Wo, and characteristics of its internal wall 603 such as taper, curvature, or texture.
  • Likewise, each space that the plasma activated species flow through has conductance properties determined by parameters such as the dimensions and shape of the space. Some of these may be dynamically variable. For instance, showerhead 426 in FIG. 4 can be moved by showerhead translator 434 to lengthen the part of the path preceding the showerhead and shorten the part of the path following the showerhead, or vice versa. Apertures affecting conductance can be inserted in or removed from parts of the path, or their diameters can be changed similarly to the variable aperture in an iris diaphragm.
  • Changes in conductance along the path traversed by the plasma activated species affects the relative concentrations of species reaching the substrate by affecting (1) the pressure at the plasma generation source, which can affect the relative concentrations of species being generated, and (2) the travel time for the species to reach the substrate, which begins to exclude species with expected lifetimes shorter than the travel time. Thus, the relative deposition rate of selected species and unselected species from a plasma can be manipulated by changing the dimensions and geometries of the showerhead holes and the remote-plasma passage into the substrate chamber. Sheet resistance of an underlying Ti film and/or optical emission spectroscopy of the plasma source can be used to evaluate the results of these changes.
  • For example, in a conversion of a metal, semiconductor, or mixed substance to its nitride by N* radicals, the nitride conversion proceeded at a lower rate when the injection ports of the showerhead had a smaller diameter. Other processes besides nitridation (e.g. doping) can also use these approaches to select or include certain plasma activated species to react with the substrate.
  • One type of plasma treatment improved by selection of species is the removal of native oxides from substances such as Ge and III-V materials. FIGS. 7A-7C conceptually illustrate the formation and removal of a native oxide. Many materials, such as semiconductor material 701 (whether in bulk or thin-film form) spontaneously react with oxygen and/or water vapor in the ambient atmosphere to form a native oxide 702. Unfortunately, even a few A of native oxide 702 can compromise the performance of a gate stack or source-drain contact fabricated on or through it. Therefore, before fabrication can proceed, native oxide 702 must be removed somehow to expose a pure material surface 703, and generally surface 703 also needs to be passivated to sequester any surface defects.
  • Germanium (Ge) and III-V materials (e.g. GaN, GaAs, InP) form native oxides, but are unacceptably damaged by the ion bombardment techniques used for removing similar native oxides from other materials. Silicon (Si), for example, can tolerate oxide removal by plasma treatment with higher-energy species because its electron energy levels are further below the Fermi level and its oxides are comparatively stable and self-limiting. By contrast, Ge and the III-V materials have electron energy levels that are closer to the Fermi level, and therefore they behave more like metals; their oxides are unstable and do not self-limit, and bombardment with high-energy species is likely to leave dangling bonds and other defects. O* and H* radicals, on the other hand, are observed to remove the oxides and passivate the surface without damage.
  • FIG. 8 is a flowchart of an example process for native-oxide removal using O* and H* radicals as the selected species. A pre-conditioning step 801 removes trapped water from the showerhead and other chamber hardware such as the process kit. Typically, temperature ranges from about 120-400 C, chamber pressure ranges from about 0.5-1 torr, flow rates are about 500 sccm, and durations are 10-30 min for the pre-conditioning step, which may optionally include an Ar or N2 buffer gas. A residual gas analyzer (RGA) may optionally be used 811 to verify removal of the trapped water. After pre-conditioning 801, an etch rate of the oxide is monitored (802). Monitoring techniques may include tracking a known oxide FTIR peak near the surface being treated; for example, GeO2 has characteristic infrared absorption peaks at 560 and 870 cm−1.
  • Monitoring continues or is repeated while subjecting the surface to alternating periods of Treatment A (803) and Treatment B (804). Treatment A may be exposure of the surface to either H* or O* radicals. Treatment B is exposure of the surface to the other type of radical; that is, if Treatment A uses H*, Treatment B uses O* and vice versa. For Treatments A and B, the O* and H* temperatures may range from about 150-250 C, the chamber temperature may range from about 80-120 C, and the chamber base pressure may be about 2e-6 Torr. When the monitoring results indicate that the oxide is removed (e.g., the oxide peak is no longer detectable or falls below a predetermined threshold representing an acceptable trace level), the process is ended. The surface is now free of oxide within the pre-defined tolerance, and also passivated and ready for the next fabrication step (e.g., formation of a source, drain, or gate). This method is suitable for general cleaning of impurities from Ge, III-V materials, and other materials that tend to sustain damage from ion bombardment.
  • The process may be optimized for a substrate type or pre-defined tolerance by HPC. The results of the HPC samples may be compared by observing the growth of ALD films on the cleaned surface. Alternatively, the results may be compared by depositing a metal or other conductive film on the cleaned surface (“capping”) and either (1) forming a diode, measuring its I-V curve, and comparing it with the I-V curve of a diode formed from a known clean sample, or (2) measuring the line resistance of the conductive material.
  • Although the foregoing examples have been described in some detail to aid understanding, the invention is not limited to the details in the description and drawings. The examples are illustrative, not restrictive. There are many alternative ways of implementing the invention. Various aspects or components of the described embodiments may be used singly or in any combination. The scope is limited only by the claims, which encompass numerous alternatives, modifications, and equivalents.

Claims (20)

What is claimed is:
1. A method of plasma-treating a surface of a substrate, the method comprising:
positioning the substrate in a process chamber;
creating a plurality of plasma activated species;
selecting a species from the plurality of the plasma activated species while leaving another species unselected; and
preferentially exposing the surface to the selected species by modifying at least one of a relative concentration of the selected species and the unselected species, an expected lifetime of the unselected species, or an expected travel time from a plasma generating source to the surface.
2. The method of claim 1, wherein the selected species comprises a radical.
3. The method of claim 3, wherein the selected species comprises a nitrogen radical.
4. The method of claim 3, wherein the selected species comprises an oxygen radical or a hydrogen radical.
5. The method of claim 1, wherein the selected species is selected for low energy dissipation.
6. The method of claim 5, wherein the surface comprises a layer formed by atomic layer deposition.
7. The method of claim 6, wherein the layer is less than about 10 nm thick.
8. The method of claim 5, wherein the surface comprises germanium, germanium oxide, a III-V material, or a III-V material oxide.
9. The method of claim 1, wherein the relative concentration of the selected species and the unselected species is modified at the plasma generating source.
10. The method of claim 9, wherein modifying the relative concentration comprises introducing an inert gas.
11. The method of claim 10, wherein the inert gas comprises argon or helium.
12. The method of claim 9, wherein modifying the relative concentration comprises introducing a reactive gas.
13. The method of claim 12, wherein the reactive gas comprises oxygen or hydrogen.
14. The method of claim 12, wherein the reactive gas preferentially quenches the unselected species compared to the selected species.
15. The method of claim 1, wherein modifying the expected lifetime or the expected travel time comprises adjusting a composition, flow rate, pressure, or temperature of a buffer gas.
16. The method of claim 1, wherein modifying the expected lifetime or the expected travel time comprises adjusting a composition, flow rate, pressure, or temperature of a plasma at the plasma generating source.
17. The method of claim 1, wherein modifying the expected lifetime or the expected travel time comprises changing a gas conductance of the plasma generation source, a showerhead body, a showerhead, or a chamber interior.
18. The method of claim 1, wherein modifying the expected lifetime or the expected travel time comprises changing a gas conductance of a showerhead by changing the size, position, or geometry of an injection port in the showerhead.
19. The method of claim 1, further comprising measuring an effect of the plasma activated species on the surface by a method comprising one of:
a sheet resistance of a film over or under the surface;
a line resistance of a feature on the surface;
an I-V curve of a diode created by depositing a conductive film on the surface;
a C-V curve of a capacitor structure created on the surface;
a characteristic of an atomic-layer-deposition film on the surface
a Fourier transform infrared spectrum taken near the surface; or
an optical emission spectrum of the plasma generating source.
20. A method of cleaning a surface of a substrate, comprising:
positioning the substrate in a process chamber;
pre-conditioning by removing trapped water from the substrate and from the process chamber; and
exposing the surface to an alternating sequence of O* and H* radicals from a plasma generating source until the surface is clean;
wherein higher-energy species than the O* and H* radicals are generated by the plasma generating source but are prevented from reaching the surface.
US14/051,287 2013-03-13 2013-10-10 Controlling Radical Lifetimes in a Remote Plasma Chamber Abandoned US20140273309A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/051,287 US20140273309A1 (en) 2013-03-13 2013-10-10 Controlling Radical Lifetimes in a Remote Plasma Chamber

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361780128P 2013-03-13 2013-03-13
US14/051,287 US20140273309A1 (en) 2013-03-13 2013-10-10 Controlling Radical Lifetimes in a Remote Plasma Chamber

Publications (1)

Publication Number Publication Date
US20140273309A1 true US20140273309A1 (en) 2014-09-18

Family

ID=51522141

Family Applications (5)

Application Number Title Priority Date Filing Date
US14/013,281 Abandoned US20140262028A1 (en) 2013-03-13 2013-08-29 Non-Contact Wet-Process Cell Confining Liquid to a Region of a Solid Surface by Differential Pressure
US14/051,287 Abandoned US20140273309A1 (en) 2013-03-13 2013-10-10 Controlling Radical Lifetimes in a Remote Plasma Chamber
US14/108,494 Abandoned US20140273497A1 (en) 2013-03-13 2013-12-17 Wet Processing Systems and Methods with Replenishment
US14/135,505 Active 2034-07-23 US9305791B2 (en) 2013-03-13 2013-12-19 High productivity combinatorial workflow to screen and design chalcogenide materials as non volatile memory current selector
US14/142,121 Active 2035-01-07 US9466499B2 (en) 2013-03-13 2013-12-27 Combinatorial methods for developing electrochromic materials and devices

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US14/013,281 Abandoned US20140262028A1 (en) 2013-03-13 2013-08-29 Non-Contact Wet-Process Cell Confining Liquid to a Region of a Solid Surface by Differential Pressure

Family Applications After (3)

Application Number Title Priority Date Filing Date
US14/108,494 Abandoned US20140273497A1 (en) 2013-03-13 2013-12-17 Wet Processing Systems and Methods with Replenishment
US14/135,505 Active 2034-07-23 US9305791B2 (en) 2013-03-13 2013-12-19 High productivity combinatorial workflow to screen and design chalcogenide materials as non volatile memory current selector
US14/142,121 Active 2035-01-07 US9466499B2 (en) 2013-03-13 2013-12-27 Combinatorial methods for developing electrochromic materials and devices

Country Status (1)

Country Link
US (5) US20140262028A1 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140134849A1 (en) * 2012-11-09 2014-05-15 Intermolecular Inc. Combinatorial Site Isolated Plasma Assisted Deposition
US20170058402A1 (en) * 2015-08-28 2017-03-02 Samsung Electronics Co., Ltd. Shower head of combinatorial spatial atomic layer deposition apparatus
KR20180052306A (en) * 2016-11-10 2018-05-18 삼성전자주식회사 Pulsed plasma analyzer and method for analyzing the same
US10020169B2 (en) * 2016-01-17 2018-07-10 Robert Bosch Gmbh Etching device and etching method
CN108369380A (en) * 2015-08-06 2018-08-03 Asml荷兰有限公司 Controlled fluid flow for cleaning optical element
WO2019060050A1 (en) * 2017-09-22 2019-03-28 Applied Materials, Inc. Native or uncontrolled oxide reduction by a cyclic process of plasma treatment and h* radicals
US11003149B2 (en) * 2014-11-25 2021-05-11 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls
US11282724B2 (en) 2013-08-12 2022-03-22 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with factory interface environmental controls
US20220396895A1 (en) * 2020-12-23 2022-12-15 Ebara Corporation Plating apparatus and plating processing method

Families Citing this family (229)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9175389B2 (en) * 2012-12-21 2015-11-03 Intermolecular, Inc. ALD process window combinatorial screening tool
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN104317129B (en) * 2014-10-28 2017-08-11 电子科技大学 Electrochromic device of high flux screening electrochromic material and preparation method thereof
CN104483797A (en) * 2014-12-31 2015-04-01 电子科技大学 Electrochromic device for screening inverse opal photonic crystal structure with high flux
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9595669B2 (en) 2015-06-30 2017-03-14 Western Digital Technologies, Inc. Electroplated phase change switch
US9564585B1 (en) 2015-09-03 2017-02-07 HGST Netherlands B.V. Multi-level phase change device
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10797238B2 (en) 2016-01-26 2020-10-06 Arm Ltd. Fabricating correlated electron material (CEM) devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US20180322972A1 (en) * 2017-05-04 2018-11-08 General Electric Company System and method for making a solid target within a production chamber of a target assembly
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10211398B2 (en) * 2017-07-03 2019-02-19 Arm Ltd. Method for the manufacture of a correlated electron material device
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (en) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
EP3837344A4 (en) * 2018-08-17 2022-01-26 Sierra Biosystems, Inc. Row-independent oligonucleotide synthesis
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN109473411B (en) * 2018-09-17 2021-08-20 上海音特电子有限公司 Thin film material for integrated circuit input/output pin overvoltage protection and use method
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TW202405220A (en) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
JP2020188254A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP7499079B2 (en) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー Plasma device using coaxial waveguide and substrate processing method
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210032112A (en) * 2019-09-16 2021-03-24 삼성전자주식회사 sputtering system and manufacturing method of magnetic memory device using the same
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210042810A (en) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. Reactor system including a gas distribution assembly for use with activated species and method of using same
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (en) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
KR20210050453A (en) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (en) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Gas supply assembly and valve plate assembly
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202200837A (en) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Reaction system for forming thin film on substrate
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
CN111850633B (en) * 2020-07-24 2021-02-02 北方工业大学 Sponge alloy base Dy2O3Preparation method of fluorine modified vanadium oxide nanowire
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5980767A (en) * 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US6712927B1 (en) * 1998-06-11 2004-03-30 Applied Materials Inc. Chamber having process monitoring window
US7604708B2 (en) * 2003-02-14 2009-10-20 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals
US7833911B2 (en) * 2006-09-25 2010-11-16 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device, apparatus of manufacturing semiconductor device and semiconductor device
US20110008950A1 (en) * 2009-04-20 2011-01-13 Applied Materials, Inc. Remote Hydrogen Plasma With Ion Filter for Terminating Silicon Dangling Bonds
US20120180954A1 (en) * 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8541312B2 (en) * 2011-09-01 2013-09-24 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8822313B2 (en) * 2012-12-20 2014-09-02 Intermolecular, Inc. Surface treatment methods and systems for substrate processing
US8999856B2 (en) * 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5657150A (en) * 1993-09-10 1997-08-12 Eyeonics Corporation Electrochromic edge isolation-interconnect system, process, and device for its manufacture
US6758951B2 (en) * 2001-10-11 2004-07-06 Symyx Technologies, Inc. Synthesis and characterization of materials for electrochemical cells
GB0300558D0 (en) 2003-01-10 2003-02-12 Univ Southampton Method for the testing of multiple materials for electrochemical uses
US8530359B2 (en) * 2003-10-20 2013-09-10 Novellus Systems, Inc. Modulated metal removal using localized wet etching
US7902063B2 (en) * 2005-10-11 2011-03-08 Intermolecular, Inc. Methods for discretized formation of masking and capping layers on a substrate
US8776717B2 (en) * 2005-10-11 2014-07-15 Intermolecular, Inc. Systems for discretized processing of regions of a substrate
US7955436B2 (en) * 2006-02-24 2011-06-07 Intermolecular, Inc. Systems and methods for sealing in site-isolated reactors
JP4676372B2 (en) * 2006-04-24 2011-04-27 株式会社日立製作所 Multilayer optical recording medium, information recording method, and information reproducing method
US8011317B2 (en) * 2006-12-29 2011-09-06 Intermolecular, Inc. Advanced mixing system for integrated tool having site-isolated reactors
US7839673B2 (en) * 2008-06-06 2010-11-23 Ovonyx, Inc. Thin-film memory system having thin-film peripheral circuit and memory controller for interfacing with a standalone thin-film memory
US8148707B2 (en) 2008-12-30 2012-04-03 Stmicroelectronics S.R.L. Ovonic threshold switch film composition for TSLAGS material
WO2011050291A2 (en) 2009-10-23 2011-04-28 Applied Materials, Inc. Materials and device stack for market viable electrochromic devices
US8228587B2 (en) * 2010-04-22 2012-07-24 Sage Electrochromics, Inc. Series connected electrochromic devices
US8614787B2 (en) 2010-05-12 2013-12-24 Intermolecular, Inc. High throughput quantum efficiency combinatorial characterization tool and method for combinatorial solar test substrates
US8882919B2 (en) * 2010-12-23 2014-11-11 Intermolecular, Inc. Combinatorial non-contact wet processing
US20130258436A1 (en) * 2012-04-03 2013-10-03 Sage Electrochromics, Inc. Patterned obscuration lines for electrochromic devices
US9076523B2 (en) * 2012-12-13 2015-07-07 Intermolecular, Inc. Methods of manufacturing embedded bipolar switching resistive memory

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5980767A (en) * 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US6712927B1 (en) * 1998-06-11 2004-03-30 Applied Materials Inc. Chamber having process monitoring window
US7604708B2 (en) * 2003-02-14 2009-10-20 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals
US7833911B2 (en) * 2006-09-25 2010-11-16 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device, apparatus of manufacturing semiconductor device and semiconductor device
US20110008950A1 (en) * 2009-04-20 2011-01-13 Applied Materials, Inc. Remote Hydrogen Plasma With Ion Filter for Terminating Silicon Dangling Bonds
US20120180954A1 (en) * 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) * 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8541312B2 (en) * 2011-09-01 2013-09-24 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8822313B2 (en) * 2012-12-20 2014-09-02 Intermolecular, Inc. Surface treatment methods and systems for substrate processing

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140134849A1 (en) * 2012-11-09 2014-05-15 Intermolecular Inc. Combinatorial Site Isolated Plasma Assisted Deposition
US11450539B2 (en) 2013-08-12 2022-09-20 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with factory interface environmental controls
US11282724B2 (en) 2013-08-12 2022-03-22 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with factory interface environmental controls
US11782404B2 (en) 2014-11-25 2023-10-10 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls
US11003149B2 (en) * 2014-11-25 2021-05-11 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls
CN108369380B (en) * 2015-08-06 2021-05-18 Asml荷兰有限公司 Controlled fluid flow for cleaning optical elements
CN108369380A (en) * 2015-08-06 2018-08-03 Asml荷兰有限公司 Controlled fluid flow for cleaning optical element
US20170058402A1 (en) * 2015-08-28 2017-03-02 Samsung Electronics Co., Ltd. Shower head of combinatorial spatial atomic layer deposition apparatus
US10815569B2 (en) * 2015-08-28 2020-10-27 Samsung Electronics Co., Ltd. Shower head of combinatorial spatial atomic layer deposition apparatus
US10020169B2 (en) * 2016-01-17 2018-07-10 Robert Bosch Gmbh Etching device and etching method
US10249485B2 (en) 2016-11-10 2019-04-02 Samsung Electronics Co., Ltd. Pulsed plasma analyzer and method for analyzing the same
KR102553253B1 (en) 2016-11-10 2023-07-06 삼성전자주식회사 Pulsed plasma analyzer and method for analyzing the same
KR20180052306A (en) * 2016-11-10 2018-05-18 삼성전자주식회사 Pulsed plasma analyzer and method for analyzing the same
WO2019060050A1 (en) * 2017-09-22 2019-03-28 Applied Materials, Inc. Native or uncontrolled oxide reduction by a cyclic process of plasma treatment and h* radicals
US20220396895A1 (en) * 2020-12-23 2022-12-15 Ebara Corporation Plating apparatus and plating processing method

Also Published As

Publication number Publication date
US20140273314A1 (en) 2014-09-18
US20140262028A1 (en) 2014-09-18
US20140272112A1 (en) 2014-09-18
US9466499B2 (en) 2016-10-11
US9305791B2 (en) 2016-04-05
US20140273497A1 (en) 2014-09-18

Similar Documents

Publication Publication Date Title
US20140273309A1 (en) Controlling Radical Lifetimes in a Remote Plasma Chamber
US8821985B2 (en) Method and apparatus for high-K gate performance improvement and combinatorial processing
US10446453B2 (en) Surface modification control for etch metric enhancement
US9023438B2 (en) Methods and apparatus for combinatorial PECVD or PEALD
US10304668B2 (en) Localized process control using a plasma system
US9099488B2 (en) Methods to characterize an embedded interface of a CMOS gate stack
US8945414B1 (en) Oxide removal by remote plasma treatment with fluorine and oxygen radicals
US8821987B2 (en) Combinatorial processing using a remote plasma source
US8927415B2 (en) Graphene barrier layers for interconnects and methods for forming the same
US7557047B2 (en) Method of forming a layer of material using an atomic layer deposition process
US9040465B2 (en) Dielectric doping using high productivity combinatorial methods
US8822313B2 (en) Surface treatment methods and systems for substrate processing
US20140110764A1 (en) Method to control amorphous oxide layer formation at interfaces of thin film stacks for memory and logic components
US9082729B2 (en) Combinatorial method for solid source doping process development
US8962354B2 (en) Methods for forming templated materials
US20140162384A1 (en) PVD-ALD-CVD hybrid HPC for work function material screening
US8647466B2 (en) Combinatorial evaluation of dry semiconductor processes
US20130153536A1 (en) Combinatorial processing using a remote plasma source
US9087864B2 (en) Multipurpose combinatorial vapor phase deposition chamber
US20140175618A1 (en) Transition metal aluminate and high k dielectric semiconductor stack
US20140179095A1 (en) Methods and Systems for Controlling Gate Dielectric Interfaces of MOSFETs
WO2023277995A1 (en) Shadow ring lift to improve wafer edge performance
US20140183161A1 (en) Methods and Systems for Site-Isolated Combinatorial Substrate Processing Using a Mask
US20150187664A1 (en) High Productivity Combinatorial Testing of Multiple Work Function Materials on the Same Semiconductor Substrate
US20140134849A1 (en) Combinatorial Site Isolated Plasma Assisted Deposition

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERMOLECULAR, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:NIYOGI, SANDIP;BARSTOW, SEAN;DEDONTNEY, JAY;AND OTHERS;SIGNING DATES FROM 20130829 TO 20130903;REEL/FRAME:031395/0514

AS Assignment

Owner name: INTERMOLECULAR, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SHANKER, SUNIL;REEL/FRAME:033633/0834

Effective date: 20140828

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION