US20140273497A1 - Wet Processing Systems and Methods with Replenishment - Google Patents

Wet Processing Systems and Methods with Replenishment Download PDF

Info

Publication number
US20140273497A1
US20140273497A1 US14/108,494 US201314108494A US2014273497A1 US 20140273497 A1 US20140273497 A1 US 20140273497A1 US 201314108494 A US201314108494 A US 201314108494A US 2014273497 A1 US2014273497 A1 US 2014273497A1
Authority
US
United States
Prior art keywords
processing
formulations
varying
wet
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/108,494
Inventor
Makonnen Payne
Kim Van Berkel
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intermolecular Inc
Original Assignee
Intermolecular Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intermolecular Inc filed Critical Intermolecular Inc
Priority to US14/108,494 priority Critical patent/US20140273497A1/en
Assigned to INTERMOLECULAR, INC. reassignment INTERMOLECULAR, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: VAN BERKEL, KIM, PAYNE, MAKONNEN
Publication of US20140273497A1 publication Critical patent/US20140273497A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/0046Sequential or parallel reactions, e.g. for the synthesis of polypeptides or polynucleotides; Apparatus and devices for combinatorial chemistry or for making molecular arrays
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1619Apparatus for electroless plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1675Process conditions
    • C23C18/1682Control of atmosphere
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/02Tanks; Installations therefor
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0004Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements comprising amorphous/crystalline phase transition cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67057Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing with the semiconductor substrates being dipped in baths or vessels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of the switching material, e.g. layer deposition
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00279Features relating to reactor vessels
    • B01J2219/00281Individual reactor vessels
    • B01J2219/00283Reactor vessels with top opening
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00279Features relating to reactor vessels
    • B01J2219/00281Individual reactor vessels
    • B01J2219/00286Reactor vessels with top and bottom openings
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00279Features relating to reactor vessels
    • B01J2219/00281Individual reactor vessels
    • B01J2219/00301Individual reactor vessels the reactor vessels having impervious side walls
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00279Features relating to reactor vessels
    • B01J2219/00306Reactor vessels in a multiple arrangement
    • B01J2219/00313Reactor vessels in a multiple arrangement the reactor vessels being formed by arrays of wells in blocks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00351Means for dispensing and evacuation of reagents
    • B01J2219/0038Drawing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00351Means for dispensing and evacuation of reagents
    • B01J2219/00382Stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00351Means for dispensing and evacuation of reagents
    • B01J2219/00414Means for dispensing and evacuation of reagents using suction
    • B01J2219/00416Vacuum
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00351Means for dispensing and evacuation of reagents
    • B01J2219/00418Means for dispensing and evacuation of reagents using pressure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00497Features relating to the solid phase supports
    • B01J2219/00527Sheets
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00583Features relative to the processes being carried out
    • B01J2219/00585Parallel processes

Definitions

  • the present invention relates to systems and method for processing substrates. More particularly, this invention relates to wet processing systems and methods for semiconductor devices in a manner such that the processing formulations are replenished.
  • Combinatorial processing enables rapid evaluation of semiconductor, solar, or energy processing operations.
  • the systems supporting the combinatorial processing are flexible and accommodate the demands for running the different processes either in parallel, serial or some combination of the two.
  • Some exemplary processing operations include operations for adding (depositions) and removing layers (etch), defining features, preparing layers (e.g., cleans), doping, etc. Similar processing techniques apply to the manufacture of integrated circuit (IC) semiconductor devices, thin-film photovoltaic (TFPV) devices, flat panel displays, optoelectronics devices, data storage devices, magneto electronic devices, magneto optic devices, packaged devices, and the like. As feature sizes continue to shrink, improvements, whether in materials, unit processes, or process sequences, are continually being sought for the deposition processes. However, semiconductor and solar companies conduct research and development (R&D) on full wafer processing through the use of split lots, as the conventional deposition systems are designed to support this processing scheme.
  • R&D research and development
  • Combinatorial processing as applied to semiconductor, solar, or energy manufacturing operations enables multiple experiments to be performed at one time in a high throughput manner.
  • Equipment for performing the combinatorial processing and characterization must support the efficiency offered through the combinatorial processing operations.
  • HVM high volume manufacturing
  • FIG. 1 is a schematic diagram for implementing combinatorial processing and evaluation.
  • FIG. 2 is a simplified schematic diagram illustrating a general methodology for combinatorial process sequence integration.
  • FIG. 3 is a simplified cross-sectional schematic view of a wet processing system according to some embodiments.
  • FIGS. 4 and 5 are isometric views of an interior of a processing chamber of the system of FIG. 3 .
  • FIG. 6 is an isometric view of a row of wet processing units within the system of FIG. 3 .
  • FIG. 7 is a simplified cross-section schematic of a portion of one of the wet processing units of FIG. 6 positioned on a substrate.
  • FIG. 8 is a plan view of the substrate of FIG. 7 indicating regions on the substrate surrounded by the wet processing units of FIG. 6 .
  • FIG. 9 is a simplified schematic diagram illustrating a wet processing tool according to some embodiments.
  • FIG. 10 is a flow chart of a method for processing substrate according to some embodiments.
  • horizontal as used herein will be understood to be defined as a plane parallel to the plane or surface of the substrate, regardless of the orientation of the substrate.
  • vertical will refer to a direction perpendicular to the horizontal as previously defined. Terms such as “above”, “below”, “bottom”, “top”, “side” (e.g. sidewall), “higher”, “lower”, “upper”, “over”, and “under”, are defined with respect to the horizontal plane.
  • on means there is direct contact between the elements. The term “above” will allow for intervening elements.
  • TFPV thin-film photovoltaic
  • semiconductor devices such as, semiconductor devices, thermochromic devices, optoelectronic devices, etc.
  • thermochromic devices such as, thermochromic devices, optoelectronic devices, etc.
  • device manufacturing typically includes a series of processing steps such as cleaning, surface preparation, deposition, patterning, etching, thermal annealing, and other related unit processing steps.
  • processing steps such as cleaning, surface preparation, deposition, patterning, etching, thermal annealing, and other related unit processing steps.
  • the precise sequencing and integration of the unit processing steps enables the formation of functional devices meeting desired performance metrics such as efficiency, power production, and reliability.
  • HPC processing techniques have been successfully adapted to wet chemical processing such as etching and cleaning. HPC processing techniques have also been successfully adapted to deposition processes such as physical vapor deposition (PVD), atomic layer deposition (ALD), and chemical vapor deposition (CVD).
  • PVD physical vapor deposition
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • FIG. 1 illustrates a schematic diagram 100 for implementing combinatorial processing and evaluation using primary, secondary, and tertiary screening.
  • the schematic diagram 100 illustrates that the relative number of combinatorial processes run with a group of substrates decreases as certain materials and/or processes are selected.
  • combinatorial processing includes performing a large number of processes during a primary screen, selecting promising candidates from those processes, performing the selected processing during a secondary screen, selecting promising candidates from the secondary screen for a tertiary screen, and so on.
  • feedback from later stages to earlier stages can be used to refine the success criteria and provide better screening results.
  • Materials discovery stage 102 is also known as a primary screening stage performed using primary screening techniques.
  • Primary screening techniques may include dividing substrates into coupons and depositing materials using varied processes.
  • the materials are then evaluated, and promising candidates are advanced to the secondary screen, or materials and process development stage 104 . Evaluation of the materials is performed using metrology tools such as electronic testers and imaging tools (i.e., microscopes).
  • the materials and process development stage 104 may evaluate hundreds of materials (i.e., a magnitude smaller than the primary stage) and may focus on the processes used to deposit or develop those materials. Promising materials and processes are again selected, and advanced to the tertiary screen or process integration stage 106 , where tens of materials and/or processes and combinations are evaluated. The tertiary screen or process integration stage 106 may focus on integrating the selected processes and materials with other processes and materials.
  • the most promising materials and processes from the tertiary screen are advanced to device qualification 108 .
  • device qualification the materials and processes selected are evaluated for high volume manufacturing, which normally is conducted on full substrates within production tools, but need not be conducted in such a manner. The results are evaluated to determine the efficacy of the selected materials and processes. If successful, the use of the screened materials and processes can proceed to pilot manufacturing 110 .
  • the schematic diagram 100 is an example of various techniques that may be used to evaluate and select materials and processes for the development of new materials and processes.
  • the descriptions of primary, secondary, etc. screening and the various stages 102 - 110 are arbitrary and the stages may overlap, occur out of sequence, be described and be performed in many other ways.
  • the embodiments described further analyze a portion or sub-set of the overall process sequence used to manufacture a device. Once the subset of the process sequence is identified for analysis, combinatorial process sequence integration testing is performed to optimize the materials, unit processes, hardware details, and process sequence used to build that portion of the device or structure.
  • structures are formed on the processed substrate that are equivalent to the structures formed during actual production of the device. For example, such structures may include, but would not be limited to, contact layers, buffer layers, absorber layers, or any other series of layers or unit processes that create an intermediate structure found on devices.
  • the composition or thickness of the layers or structures or the action of the unit process is substantially uniform through each discrete region.
  • different materials or unit processes may be used for corresponding layers or steps in the formation of a structure in different regions of the substrate during the combinatorial processing
  • the application of each layer or use of a given unit process is substantially consistent or uniform throughout the different regions in which it is intentionally applied.
  • the processing is uniform within a region (inter-region uniformity) and between regions (intra-region uniformity), as desired.
  • the process can be varied between regions, for example, where a thickness of a layer is varied or a material may be varied between the regions, etc., as desired by the design of the experiment.
  • the result is a series of regions on the substrate that contain structures or unit process sequences that have been uniformly applied within that region and, as applicable, across different regions.
  • This process uniformity allows comparison of the properties within and across the different regions such that the variations in test results are due to the varied parameter (e.g., materials, unit processes, unit process parameters, hardware details, or process sequences) and not the lack of process uniformity.
  • the positions of the discrete regions on the substrate can be defined as needed, but are preferably systematized for ease of tooling and design of experimentation.
  • the number, variants and location of structures within each region are designed to enable valid statistical analysis of the test results within each region and across regions to be performed.
  • FIG. 2 is a simplified schematic diagram illustrating a general methodology for combinatorial process sequence integration that includes site isolated processing and/or conventional processing in accordance with one embodiment of the invention.
  • the substrate is initially processed using conventional process N.
  • the substrate is then processed using site isolated process N+1.
  • an HPC module may be used, such as the HPC module described in U.S. patent application Ser. No. 11/352,077, filed on Feb. 10, 2006.
  • the substrate can then be processed using site isolated process N+2, and thereafter processed using conventional process N+3. Testing is performed and the results are evaluated.
  • the testing can include physical, chemical, acoustic, magnetic, electrical, optical, etc. tests.
  • the combinatorial process sequence integration can be applied to any desired segments and/or portions of an overall process flow. Characterization, including physical, chemical, acoustic, magnetic, electrical, optical, etc. testing, can be performed after each process operation, and/or series of process operations within the process flow as desired. The feedback provided by the testing is used to select certain materials, processes, process conditions, and process sequences and eliminate others. Furthermore, the above flows can be applied to entire monolithic substrates, or portions of monolithic substrates such as coupons.
  • a processing material delivered to a first and second region can be the same or different. If the processing material delivered to the first region is the same as the processing material delivered to the second region, this processing material can be offered to the first and second regions on the substrate at different concentrations. In addition, the material can be deposited under different processing parameters.
  • Parameters which can be varied include, but are not limited to, process material amounts, reactant species, processing temperatures, processing times, processing pressures, processing flow rates, processing powers, processing reagent compositions, the rates at which the reactions are quenched, atmospheres in which the processes are conducted, an order in which materials are deposited, hardware details of the gas distribution assembly, etc. It should be appreciated that these process parameters are exemplary and not meant to be an exhaustive list as other process parameters commonly used in device manufacturing may be varied.
  • Some embodiments described herein provide systems and methods for performing wet processing on substrates, such as semiconductor substrates, in a combinatorial manner. That is, the systems and methods allow for varying processing conditions across multiple site-isolated regions on the substrate(s). Additionally, the systems and methods provide a way to more closely match processing conditions in a manufacturing environment. This is accomplished by replenishing (and/or “spiking”) the wet processing formulations while the wet process(es) are being performed, as is often performed during high volume manufacturing (HVM). During HVM, this is often desirable to, for example, maintain temperatures driven by exothermic reactions, maintaining etch or removal rates, and/or replace solvents that are lost during processing (e.g., via evaporation).
  • HVM high volume manufacturing
  • the combinatorial aspect of the processing may be related to varying processing conditions independent of any replenishing (and/or spiking) of the wet processing formulations. That is, the replenishing may be carried out in a non-combinatorial manner on a set of reactions (or site-isolated regions) which are otherwise being performed combinatorially.
  • the combinatorial aspect of the processing may be related to the replenishing. That is, the processing conditions of the replenishing may be combinatorially varied across the set of reactions, while the reactions are otherwise performed in a non-combinatorial manner. While in some embodiments, both the reactions themselves and the replenishing of the formulations are performed in a combinatorial manner.
  • the wet processing tool 302 includes a housing 308 enclosing a processing chamber 310 , a substrate support 312 , and a wet processing assembly 314 .
  • the substrate support 312 is positioned within the processing chamber 310 and is configured to hold a substrate 316 .
  • the substrate support 312 may be configured to secure the substrate using, for example, a vacuum chuck, electrostatic chuck, or other known mechanism.
  • the substrate support 312 may have a series of fluid passageways extending therethrough which are in fluid communication with the processing fluid supply system 304 via support fluid lines 318 .
  • the substrate 316 may be a conventional, round substrate (or wafer) having a diameter of, for example, 200 millimeter (mm), 300 mm, or 450 mm.
  • the substrate 316 is, for example, an integrated or short-looped patterned wafer.
  • the substrate 316 may have other shapes, such as a square or rectangular. It should be understood that the substrate 316 may be a blanket substrate (i.e., having a substantial uniform surface), a coupon (e.g., partial wafer), or even a patterned substrate having site-isolated regions (or locations) 320 .
  • region is used herein to refer to a localized area on a substrate which is, was, or is intended to be used for processing or formation of a selected material.
  • the region may include one region and/or a series of regular or periodic regions pre-formed on the substrate.
  • the region may have any convenient shape, e.g., circular, rectangular, elliptical, wedge-shaped, etc.
  • a region may be, for example, a test structure, single die, multiple die, portion of a die, other defined portion of substrate, or a undefined area of a, e.g., blanket substrate which is defined through the processing.
  • Each of the wet processing units 324 includes, amongst other components, a liquid container (or reactor) 334 , a transducer actuator 336 housed above the liquid container 334 , and a transducer (i.e., megasonic transducer) 338 positioned within the liquid container 334 and coupled to the transducer actuator 336 .
  • the wet processing units 324 do not include the transducer actuators 336 or the transducers 338 .
  • each of the liquid containers 334 is in fluid communication with the processing fluid supply system 304 via a series of fluid lines 340 . Further, each of the wet processing units 324 (and/or the transducer actuators 336 ) is in operable communication with the control system 306 via wiring 342 ( FIGS. 3 and 5 ).
  • the processing fluid supply system 304 includes one or more supplies of various processing fluids, as well as temperature control units to regulate the temperatures of the various fluids.
  • the processing fluids held by the processing fluid supply system 304 include wet processing formulations and/or wet processing formulation components.
  • “Wet processing formulations” may refer to “complete” formulations which may be used to perform particular wet processes on the substrate 326 .
  • “Wet processing formulation components” may refer to liquids which may be combined to form wet processing formulations, or alternatively, the complete wet processing formulations.
  • the wet processing formulations may include one or more wet processing formulation component (i.e., each of the wet processing formulation components may be an “ingredient” for a wet processing formulation).
  • the wet processing formulation components may be fluids which are not components of (at least some of) the complete wet processing formulations already present in the processing fluid supply system 304 (e.g., the wet processing formulation components are “new” ingredients for the wet processing formulations).
  • the control system (or controller) 306 includes, for example, a processor and memory (i.e., a computing system) in operable communication with the processing fluid supply system 304 and the wet processing units 324 and is configured to control the operation thereof as described below.
  • a processor and memory i.e., a computing system
  • the wet processing assembly 314 is lowered (or pivoted downwards) such that the liquid containers 334 of the wet processing units 324 contact the substrate 316 (or a surface thereof).
  • FIG. 7 schematically illustrates one of the wet processing units 324 (and/or one of the liquid containers 334 ) in contact with the substrate 316 .
  • the liquid container 334 further includes a liquid container body 344 and a sealing member 346 .
  • the liquid container body 344 includes a main portion 348 and an overflow portion 350 .
  • the main portion 348 of the liquid container body 344 houses the transducer 338 and encloses a reactor region 352 which is in contact with the substrate 316 .
  • the overflow portions 350 enclose an overflow region 354 which surrounds the reactor region 352 and is not in contact with the substrate 316 .
  • the liquid container body 344 further includes an inlet port 356 in fluid communication with the reactor region 352 and the processing fluid supply system 304 (via fluid lines 340 ), and outlet ports 358 and 360 in fluid communication with the reactor region 352 and the overflow region 354 , respectively, as well as the processing fluid supply system 304 (via fluid lines 340 ).
  • the transducer 338 is suspended a distance 362 above the substrate 316 .
  • the distance 362 may be varied (e.g., between 1 mm and 50 mm), which effects the potency of the cleaning effect (i.e., a second order effect).
  • the sealing member 346 is positioned between the main portion 348 of the liquid container body 344 and the substrate 316 .
  • the sealing member 316 may take the form of an o-ring or lip seal and may be made of a compressible material, such as rubber, such that when a force (i.e., the weight of the wet processing assembly 314 ) is applied onto it towards the substrate 316 , a seal is formed between the liquid container body 344 and the substrate 316 .
  • each of the sealing members 346 may surround one of the regions 320 on the substrate 316 such that each of the reactor regions 352 within the liquid containers 334 is adjacent to a respective one of the regions 320 .
  • the system 300 may then simultaneously perform any of numerous wet processing methods on the regions 320 of the substrate 316 .
  • wet processes include wet cleanings, wet etches and/or strips, and electroless depositions.
  • these methods may generally be performed by dispensing wet processing formulations (e.g., liquids, gases, or a combinations thereof) to the reactor regions 352 of the wet processing units 324 (or into the liquid containers 334 ) from the processing fluid supply system 304 .
  • wet processing formulations e.g., liquids, gases, or a combinations thereof
  • the transducers 338 and/or the transducer actuators 336
  • additional wet processing formulation(s) and/or wet processing formulation components are dispensed into the wet processing units 324 to “replenish” and/or “spike” (and/or add new components/ingredients to) the wet processing formulations.
  • replenishing and/or spiking the wet processing formulations may allow the reactions to occur in a manner which more accurately simulates high volume manufacturing (HVM) processing.
  • HVM high volume manufacturing
  • the fluid supply system 304 provides additional wet processing formulation(s) to at least some of the wet processing units 324 to replace formulation that has been lost due to, for example, evaporation.
  • the fluid supply system 304 provides particular wet processing formulation components to at least some of the wet processing units 324 to spike the chemistry of the processes taking place. For example, during an etching or wet cleaning process, particular components of the wet processing formulations may be diluted such that the effectiveness of the reaction is reduced. In such cases, the fluid supply system 304 may dispense an additional amount of those particular wet processing formulation components into the wet processing units 334 to maintain the effectiveness of the reactions.
  • the additional wet processing formulation(s) (and/or wet processing formulation components) added to the reactions are of a chemical composition that is different than the wet processing formulation already dispensed (e.g., the wet processing formulation component used to spike the reaction is not a component of the wet processing formulation performing the reaction).
  • the additional processing fluids are only added a predetermined time after the initial volume(s) wet processing formulation(s) have been dispensed into the wet processing units 324 to begin the reactions.
  • the additional wet processing formulation component(s) may not be added until, for example, 5 minutes after the reactions have been initiated (i.e., 5 minutes after the cessation of the dispensing of the initial wet processing formulations into the wet processing units 324 ).
  • the spiking (and/or replenishing) may be performed multiple times during a single reaction cycle.
  • the wet processing system 300 (e.g., particularly the processing fluid supply system 304 and/or the control system 306 ) is configured to intentionally vary (or create differences between) the processing conditions for the wet processes performed on two or more of the regions 320 .
  • the varying of the processing conditions is associated with (or related to) the processing conditions in a manner that is independent of any replenishing or spiking of the wet processing formulations (i.e., the reactions are performed in a combinatorial manner before or regardless of any replenishing/spiking).
  • the varying is associated with the replenishing/spiking independent of the reactions in progress (i.e., the reactions are initially performed in a non-combinatorial manner until the replenishing/spiking). However, in some embodiments, the reactions are performed in a combinatorial manner independent of the replenishing/spiking, and the replenishing/spiking is then also performed in a combinatorial manner.
  • Exemplary variations generated between two or more of the reactions include varying the chemical compositions, pH levels, temperatures of the processing fluids (including any processing gases), reaction times (e.g., the duration of the reactions and/or the timing of the replenishing/spiking), processing fluid volumes (e.g., of the initial wet processing formulations and/or the of the wet processing formulation components added during replenishing/spiking) parameters related to the operation of the transducers 338 (i.e., in embodiments which include the transducers 338 ), and/or any combination thereof.
  • the variations described above may be associated with the complete wet processing formulations and/or the wet processing formulation components added to the wet processing formulations. As described above, such variation(s) may be introduced at the initiation of a reaction cycle and/or when the wet processing formulations are replenishing and/or spiked.
  • a cleaning formulation is a mixture of three wet processing formulation components, such as ammonium hydroxide (NH 4 OH), hydrogen peroxide (H 2 O 2 ), and deionized (DI) water (H 2 O).
  • NH 4 OH ammonium hydroxide
  • H 2 O 2 hydrogen peroxide
  • DI deionized water
  • a typical concentration ratio for the mix is 1:1:5 NH 4 OH:H 2 O 2 :H 2 O.
  • this ratio may be varied among the different liquid containers 334 at the initiation of the reaction cycles, while in some embodiments this ratio may be varied by spiking the reactions with different amounts of one of the components, such as ammonium hydroxide, after the reactions have been initiated.
  • a cleaning formulation is a mixture of hydrochloric acid (HCl), hydrogen peroxide (H 2 O2), and deionized (DI) water (H 2 O).
  • HCl hydrochloric acid
  • H 2 O2 hydrogen peroxide
  • DI deionized water
  • a typical concentration ratio for the mix is 1:1:5 HCl:H 2 O 2 :H 2 O. Again, however, this ratio may be varied among the different liquid containers 334 at the initiation of the reaction cycle and/or during the replenishing/spiking of the reactions.
  • the size, shape, and number of the liquid containers 334 and/or the corresponding regions 320 on the substrate 316 may be different in other embodiments.
  • the substrate 316 may include four regions 320 , each of which essentially occupies a quadrant on the substrate 316 .
  • the regions 320 may be in the shape of parallel strips extending across the substrate 316 .
  • the liquid containers 334 may be sized and shaped in such a way to as to seal these different sizes/shapes of regions 320 .
  • FIG. 9 is a simplified view of a combinatorial wet processing tool 900 according to some embodiments. Similar to the wet processing tool 302 shown in FIGS. 3-7 , the wet processing tool 900 may be used to perform combinatorial processing on multiple site-isolated regions on a substrate using, in this case, wet processing techniques.
  • the combinatorial wet processing tool 900 includes a housing (and/or processing chamber) 902 , a well holder 904 holding wells 906 , and a dispense arm 908 having a dispense head 910 .
  • the wet processing tool 900 also includes a reactor assembly 912 having an array or reactors (or fluid containers) 914 positioned over a substrate support 916 .
  • a substrate 918 is placed on the substrate support 916 and positioned relative to the reactors 914 such that bottom edges of the reactors 914 contact the substrate 918 and form seals around respective, site-isolated portions of the substrate 918 .
  • the dispense arm 910 may retrieve (e.g., via syringes) formulations (e.g., thermochromic materials) from the wells 906 and dispense them into the reactors 914 . Because of the seals formed between the reactors 914 and the substrate 918 , the formulations remain within the reactors 914 and on the respective regions of the substrate 918 , and are thus isolated from the other formulations and regions on the substrate 918 .
  • formulations e.g., thermochromic materials
  • the wet processing tool 900 may include a controller (or control system) configured to control the operation of the wet processing tool 900 in a manner similar to the operation of the wet processing system/tool 300 / 302 shown in FIGS. 3-7 , as described above.
  • a controller or control system
  • FIG. 10 illustrates a method 1000 for processing a substrate according to some embodiments.
  • the method 100 begins by providing a substrate having a plurality of site-isolated regions thereon, such as the substrates described above.
  • wet processes are simultaneously performed on each of the site-isolated regions on the substrate.
  • the wet processes may be performed by exposing each of the site-isolated regions to a wet processing formulation.
  • a wet processing formulation component is added to at least some of the wet processes.
  • the wet processing formulations may, for example, have the same chemical composition as the wet processing formulation to which they are added, or may be one of the components of the wet processing formulations.
  • a processing condition is varied between at least two of the wet processes.
  • the variation may be associated with the processing conditions of the wet processes before the wet processing formulation components are added and/or may be associated with the addition of the wet processing formulation components.
  • the method 1000 ends.
  • a method for processing a substrate is provided.
  • a substrate having a plurality of site-isolated regions defined thereon is provided.
  • a plurality of wet processes is simultaneously performed. Each of the plurality of wet processes is performed on one of the plurality of site-isolated regions defined on the substrate.
  • the simultaneously performing includes exposing each of the plurality of site-isolated regions to one of a plurality of wet processing formulations.
  • Each of the plurality of wet processing formulations includes a component. The respective component is added to at least some of the plurality of wet processing formulations during the exposing.
  • a processing condition is varied between at least two of the plurality of wet processes in a combinatorial manner.
  • a method for processing a substrate is provided.
  • a substrate having a plurality of site-isolated regions defined thereon is provided.
  • a plurality of wet processes are simultaneously performed. Each of the plurality of wet processes is performed on one of the plurality of site-isolated regions defined on the substrate.
  • the simultaneously performing includes exposing each of the plurality of site-isolated regions to a one of a plurality of wet processing formulations by dispensing each of the plurality of wet processing formulations onto the respective site-isolated region.
  • Each of the plurality of the wet processing formulations includes a component.
  • the respective component is added to at least some of the plurality of wet processing formulations during the exposing and after the cessation of the dispensing of the plurality of wet processing formulations. At least one processing condition is varied between at least two of the plurality of wet processes in a combinatorial manner.
  • a wet processing tool in some embodiments, includes a housing defining a processing chamber.
  • a substrate support is coupled to the housing and configured to support a substrate within the processing chamber.
  • a plurality of reactors are coupled to the housing. Each of the plurality of reactors is positioned to define one of a plurality of site-isolated regions on the substrate and configured to hold a liquid on the respective one of the plurality of site-isolated regions.
  • a fluid supply system is coupled to the plurality of reactors and configured to dispense each of a plurality of wet processing formulations including a component into one of the plurality of reactors and dispense the respective components into at least some of the plurality of reactors.
  • a control system is coupled to the fluid supply system.
  • the control system is configured to dispense each of the plurality wet processing formulations into the respective reactor to simultaneously perform a plurality of wet processes.
  • Each of the plurality of wet processes is performed on one of the plurality of site-isolated regions defined on the substrate.
  • the respective component is added to at least some of the plurality of wet processing formulations during the simultaneously performing of the plurality of wet processes.
  • a processing condition is varied between at least two of the plurality of wet processes in a combinatorial manner.

Abstract

Embodiments provided herein describe systems and methods for processing substrates. A substrate having a plurality of site-isolated regions defined thereon is provided. A plurality of wet processes is simultaneously performed. Each of the plurality of wet processes is performed on one of the plurality of site-isolated regions defined on the substrate. The simultaneously performing includes exposing each of the plurality of site-isolated regions to one of a plurality of wet processing formulations. Each of the plurality of wet processing formulations includes a component. The respective component is added to at least some of the plurality of wet processing formulations during the exposing. A processing condition is varied between at least two of the plurality of wet processes in a combinatorial manner.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application claims priority to U.S. Provisional Patent Application No. 61/780,128, filed Mar. 13, 2013, entitled “HPC Methods for Processing Materials,” which is incorporated by reference herein in its entirety.
  • TECHNICAL FIELD
  • The present invention relates to systems and method for processing substrates. More particularly, this invention relates to wet processing systems and methods for semiconductor devices in a manner such that the processing formulations are replenished.
  • BACKGROUND OF THE INVENTION
  • Combinatorial processing enables rapid evaluation of semiconductor, solar, or energy processing operations. The systems supporting the combinatorial processing are flexible and accommodate the demands for running the different processes either in parallel, serial or some combination of the two.
  • Some exemplary processing operations include operations for adding (depositions) and removing layers (etch), defining features, preparing layers (e.g., cleans), doping, etc. Similar processing techniques apply to the manufacture of integrated circuit (IC) semiconductor devices, thin-film photovoltaic (TFPV) devices, flat panel displays, optoelectronics devices, data storage devices, magneto electronic devices, magneto optic devices, packaged devices, and the like. As feature sizes continue to shrink, improvements, whether in materials, unit processes, or process sequences, are continually being sought for the deposition processes. However, semiconductor and solar companies conduct research and development (R&D) on full wafer processing through the use of split lots, as the conventional deposition systems are designed to support this processing scheme. This approach has resulted in ever escalating R&D costs and the inability to conduct extensive experimentation in a timely and cost effective manner. Combinatorial processing as applied to semiconductor, solar, or energy manufacturing operations enables multiple experiments to be performed at one time in a high throughput manner. Equipment for performing the combinatorial processing and characterization must support the efficiency offered through the combinatorial processing operations.
  • However, current equipment used for combinatorial wet processing may not accurately simulate the processing conditions used in high volume manufacturing (HVM), as the formulations used may be lost during processing (e.g., due to evaporation), the formulations may become diluted during the reaction cycles, etc.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. The drawings are not to scale and the relative dimensions of various elements in the drawings are depicted schematically and not necessarily to scale.
  • The techniques of the present invention can readily be understood by considering the following detailed description in conjunction with the accompanying drawings, in which:
  • FIG. 1 is a schematic diagram for implementing combinatorial processing and evaluation.
  • FIG. 2 is a simplified schematic diagram illustrating a general methodology for combinatorial process sequence integration.
  • FIG. 3 is a simplified cross-sectional schematic view of a wet processing system according to some embodiments.
  • FIGS. 4 and 5 are isometric views of an interior of a processing chamber of the system of FIG. 3.
  • FIG. 6 is an isometric view of a row of wet processing units within the system of FIG. 3.
  • FIG. 7 is a simplified cross-section schematic of a portion of one of the wet processing units of FIG. 6 positioned on a substrate.
  • FIG. 8 is a plan view of the substrate of FIG. 7 indicating regions on the substrate surrounded by the wet processing units of FIG. 6.
  • FIG. 9 is a simplified schematic diagram illustrating a wet processing tool according to some embodiments.
  • FIG. 10 is a flow chart of a method for processing substrate according to some embodiments.
  • DETAILED DESCRIPTION
  • A detailed description of one or more embodiments is provided below along with accompanying figures. The detailed description is provided in connection with such embodiments, but is not limited to any particular example. The scope is limited only by the claims, and numerous alternatives, modifications, and equivalents are encompassed. Numerous specific details are set forth in the following description in order to provide a thorough understanding. These details are provided for the purpose of example and the described techniques may be practiced according to the claims without some or all of these specific details. For the purpose of clarity, technical material that is known in the technical fields related to the embodiments has not been described in detail to avoid unnecessarily obscuring the description.
  • The term “horizontal” as used herein will be understood to be defined as a plane parallel to the plane or surface of the substrate, regardless of the orientation of the substrate. The term “vertical” will refer to a direction perpendicular to the horizontal as previously defined. Terms such as “above”, “below”, “bottom”, “top”, “side” (e.g. sidewall), “higher”, “lower”, “upper”, “over”, and “under”, are defined with respect to the horizontal plane. The term “on” means there is direct contact between the elements. The term “above” will allow for intervening elements.
  • The manufacture of various devices, such as, thin-film photovoltaic (TFPV) modules, semiconductor devices, thermochromic devices, optoelectronic devices, etc., entails the integration and sequencing of many unit processing steps. For example, device manufacturing typically includes a series of processing steps such as cleaning, surface preparation, deposition, patterning, etching, thermal annealing, and other related unit processing steps. The precise sequencing and integration of the unit processing steps enables the formation of functional devices meeting desired performance metrics such as efficiency, power production, and reliability.
  • As part of the discovery, optimization and qualification of each unit process, it is desirable to be able to i) test different materials, ii) test different processing conditions within each unit process module, iii) test different sequencing and integration of processing modules within an integrated processing tool, iv) test different sequencing of processing tools in executing different process sequence integration flows, and combinations thereof in the manufacture of devices such as integrated circuits. In particular, there is a need to be able to test i) more than one material, ii) more than one processing condition, iii) more than one sequence of processing conditions, iv) more than one process sequence integration flow, and combinations thereof, collectively known as “combinatorial process sequence integration,” on a single monolithic substrate (e.g., an integrated or short-looped wafer) without the need of consuming the equivalent number of monolithic substrates per material(s), processing condition(s), sequence(s) of processing conditions, sequence(s) of processes, and combinations thereof. This can greatly improve both the speed and reduce the costs associated with the discovery, implementation, optimization, and qualification of material(s), process(es), and process integration sequence(s) required for manufacturing.
  • Systems and methods for High Productivity Combinatorial (HPC) processing are described in U.S. Pat. No. 7,544,574, filed on Feb. 10, 2006, U.S. Pat. No. 7,824,935, filed on Jul. 2, 2008, U.S. Pat. No. 7,871,928, filed on May 4, 2009, U.S. Pat. No. 7,902,063, filed on Feb. 10, 2006, and U.S. Pat. No. 7,947,531, filed on Aug. 28, 2009, which are all herein incorporated by reference. Systems and methods for HPC processing are further described in U.S. patent application Ser. No. 11/352,077, filed on Feb. 10, 2006, claiming priority from Oct. 15, 2005, U.S. patent application Ser. No. 11/419,174, filed on May 18, 2006, claiming priority from Oct. 15, 2005, U.S. patent application Ser. No. 11/674,132, filed on Feb. 12, 2007, claiming priority from Oct. 15, 2005, and U.S. patent application Ser. No. 11/674,137, filed on Feb. 12, 2007, claiming priority from Oct. 15, 2005 which are all herein incorporated by reference.
  • HPC processing techniques have been successfully adapted to wet chemical processing such as etching and cleaning. HPC processing techniques have also been successfully adapted to deposition processes such as physical vapor deposition (PVD), atomic layer deposition (ALD), and chemical vapor deposition (CVD).
  • FIG. 1 illustrates a schematic diagram 100 for implementing combinatorial processing and evaluation using primary, secondary, and tertiary screening. The schematic diagram 100 illustrates that the relative number of combinatorial processes run with a group of substrates decreases as certain materials and/or processes are selected. Generally, combinatorial processing includes performing a large number of processes during a primary screen, selecting promising candidates from those processes, performing the selected processing during a secondary screen, selecting promising candidates from the secondary screen for a tertiary screen, and so on. In addition, feedback from later stages to earlier stages can be used to refine the success criteria and provide better screening results.
  • For example, thousands of materials are evaluated during a materials discovery stage 102. Materials discovery stage 102 is also known as a primary screening stage performed using primary screening techniques. Primary screening techniques may include dividing substrates into coupons and depositing materials using varied processes. The materials are then evaluated, and promising candidates are advanced to the secondary screen, or materials and process development stage 104. Evaluation of the materials is performed using metrology tools such as electronic testers and imaging tools (i.e., microscopes).
  • The materials and process development stage 104 may evaluate hundreds of materials (i.e., a magnitude smaller than the primary stage) and may focus on the processes used to deposit or develop those materials. Promising materials and processes are again selected, and advanced to the tertiary screen or process integration stage 106, where tens of materials and/or processes and combinations are evaluated. The tertiary screen or process integration stage 106 may focus on integrating the selected processes and materials with other processes and materials.
  • The most promising materials and processes from the tertiary screen are advanced to device qualification 108. In device qualification, the materials and processes selected are evaluated for high volume manufacturing, which normally is conducted on full substrates within production tools, but need not be conducted in such a manner. The results are evaluated to determine the efficacy of the selected materials and processes. If successful, the use of the screened materials and processes can proceed to pilot manufacturing 110.
  • The schematic diagram 100 is an example of various techniques that may be used to evaluate and select materials and processes for the development of new materials and processes. The descriptions of primary, secondary, etc. screening and the various stages 102-110 are arbitrary and the stages may overlap, occur out of sequence, be described and be performed in many other ways.
  • This application benefits from High Productivity Combinatorial (HPC) techniques described in U.S. patent application Ser. No. 11/674,137m filed on Feb. 12, 2007, which is hereby incorporated for reference in its entirety. Portions of the '137 application have been reproduced below to enhance the understanding of the present invention. The embodiments described herein enable the application of combinatorial techniques to process sequence integration in order to arrive at a globally optimal sequence of, for example, device manufacturing operations by considering interaction effects between the unit manufacturing operations, the process conditions used to effect such unit manufacturing operations, hardware details used during the processing, as well as materials characteristics of components utilized within the unit manufacturing operations. Rather than only considering a series of local optimums, i.e., where the best conditions and materials for each manufacturing unit operation is considered in isolation, the embodiments described below consider interactions effects introduced due to the multitude of processing operations that are performed and the order in which such multitude of processing operations are performed when fabricating a device. A global optimum sequence order is therefore derived and as part of this derivation, the unit processes, unit process parameters and materials used in the unit process operations of the optimum sequence order are also considered.
  • The embodiments described further analyze a portion or sub-set of the overall process sequence used to manufacture a device. Once the subset of the process sequence is identified for analysis, combinatorial process sequence integration testing is performed to optimize the materials, unit processes, hardware details, and process sequence used to build that portion of the device or structure. During the processing of some embodiments described herein, structures are formed on the processed substrate that are equivalent to the structures formed during actual production of the device. For example, such structures may include, but would not be limited to, contact layers, buffer layers, absorber layers, or any other series of layers or unit processes that create an intermediate structure found on devices. While the combinatorial processing varies certain materials, unit processes, hardware details, or process sequences, the composition or thickness of the layers or structures or the action of the unit process, such as cleaning, surface preparation, deposition, surface treatment, etc. is substantially uniform through each discrete region. Furthermore, while different materials or unit processes may be used for corresponding layers or steps in the formation of a structure in different regions of the substrate during the combinatorial processing, the application of each layer or use of a given unit process is substantially consistent or uniform throughout the different regions in which it is intentionally applied. Thus, the processing is uniform within a region (inter-region uniformity) and between regions (intra-region uniformity), as desired. It should be noted that the process can be varied between regions, for example, where a thickness of a layer is varied or a material may be varied between the regions, etc., as desired by the design of the experiment.
  • The result is a series of regions on the substrate that contain structures or unit process sequences that have been uniformly applied within that region and, as applicable, across different regions. This process uniformity allows comparison of the properties within and across the different regions such that the variations in test results are due to the varied parameter (e.g., materials, unit processes, unit process parameters, hardware details, or process sequences) and not the lack of process uniformity. In the embodiments described herein, the positions of the discrete regions on the substrate can be defined as needed, but are preferably systematized for ease of tooling and design of experimentation. In addition, the number, variants and location of structures within each region are designed to enable valid statistical analysis of the test results within each region and across regions to be performed.
  • FIG. 2 is a simplified schematic diagram illustrating a general methodology for combinatorial process sequence integration that includes site isolated processing and/or conventional processing in accordance with one embodiment of the invention. In one embodiment, the substrate is initially processed using conventional process N. In one exemplary embodiment, the substrate is then processed using site isolated process N+1. During site isolated processing, an HPC module may be used, such as the HPC module described in U.S. patent application Ser. No. 11/352,077, filed on Feb. 10, 2006. The substrate can then be processed using site isolated process N+2, and thereafter processed using conventional process N+3. Testing is performed and the results are evaluated. The testing can include physical, chemical, acoustic, magnetic, electrical, optical, etc. tests. From this evaluation, a particular process from the various site isolated processes (e.g. from steps N+1 and N+2) may be selected and fixed so that additional combinatorial process sequence integration may be performed using site isolated processing for either process N or N+3. For example, a next process sequence can include processing the substrate using site isolated process N, conventional processing for processes N+1, N+2, and N+3, with testing performed thereafter.
  • It should be appreciated that various other combinations of conventional and combinatorial processes can be included in the processing sequence with regard to FIG. 2. That is, the combinatorial process sequence integration can be applied to any desired segments and/or portions of an overall process flow. Characterization, including physical, chemical, acoustic, magnetic, electrical, optical, etc. testing, can be performed after each process operation, and/or series of process operations within the process flow as desired. The feedback provided by the testing is used to select certain materials, processes, process conditions, and process sequences and eliminate others. Furthermore, the above flows can be applied to entire monolithic substrates, or portions of monolithic substrates such as coupons.
  • Under combinatorial processing operations the processing conditions at different regions can be controlled independently. Consequently, process material amounts, reactant species, processing temperatures, processing times, processing pressures, processing flow rates, processing powers, processing reagent compositions, the rates at which the reactions are quenched, deposition order of process materials, process sequence steps, hardware details, etc., can be varied from region to region on the substrate. Thus, for example, when exploring materials, a processing material delivered to a first and second region can be the same or different. If the processing material delivered to the first region is the same as the processing material delivered to the second region, this processing material can be offered to the first and second regions on the substrate at different concentrations. In addition, the material can be deposited under different processing parameters. Parameters which can be varied include, but are not limited to, process material amounts, reactant species, processing temperatures, processing times, processing pressures, processing flow rates, processing powers, processing reagent compositions, the rates at which the reactions are quenched, atmospheres in which the processes are conducted, an order in which materials are deposited, hardware details of the gas distribution assembly, etc. It should be appreciated that these process parameters are exemplary and not meant to be an exhaustive list as other process parameters commonly used in device manufacturing may be varied.
  • Some embodiments described herein provide systems and methods for performing wet processing on substrates, such as semiconductor substrates, in a combinatorial manner. That is, the systems and methods allow for varying processing conditions across multiple site-isolated regions on the substrate(s). Additionally, the systems and methods provide a way to more closely match processing conditions in a manufacturing environment. This is accomplished by replenishing (and/or “spiking”) the wet processing formulations while the wet process(es) are being performed, as is often performed during high volume manufacturing (HVM). During HVM, this is often desirable to, for example, maintain temperatures driven by exothermic reactions, maintaining etch or removal rates, and/or replace solvents that are lost during processing (e.g., via evaporation).
  • In some embodiments, the combinatorial aspect of the processing may be related to varying processing conditions independent of any replenishing (and/or spiking) of the wet processing formulations. That is, the replenishing may be carried out in a non-combinatorial manner on a set of reactions (or site-isolated regions) which are otherwise being performed combinatorially. In some embodiments, the combinatorial aspect of the processing may be related to the replenishing. That is, the processing conditions of the replenishing may be combinatorially varied across the set of reactions, while the reactions are otherwise performed in a non-combinatorial manner. While in some embodiments, both the reactions themselves and the replenishing of the formulations are performed in a combinatorial manner.
  • FIG. 3 illustrates a wet processing system 300 according to some embodiments. The wet processing system 300 includes a wet processing tool (and/or apparatus) 302, a processing fluid supply system 304, and a control system 306.
  • The wet processing tool 302 includes a housing 308 enclosing a processing chamber 310, a substrate support 312, and a wet processing assembly 314. Referring now to FIGS. 3, 4, and 5, the substrate support 312 is positioned within the processing chamber 310 and is configured to hold a substrate 316. Although not shown in detail, the substrate support 312 may be configured to secure the substrate using, for example, a vacuum chuck, electrostatic chuck, or other known mechanism. Additionally, the substrate support 312 may have a series of fluid passageways extending therethrough which are in fluid communication with the processing fluid supply system 304 via support fluid lines 318.
  • The substrate 316 may be a conventional, round substrate (or wafer) having a diameter of, for example, 200 millimeter (mm), 300 mm, or 450 mm. In some embodiments, the substrate 316 is, for example, an integrated or short-looped patterned wafer. In other embodiments, the substrate 316 may have other shapes, such as a square or rectangular. It should be understood that the substrate 316 may be a blanket substrate (i.e., having a substantial uniform surface), a coupon (e.g., partial wafer), or even a patterned substrate having site-isolated regions (or locations) 320. The term region is used herein to refer to a localized area on a substrate which is, was, or is intended to be used for processing or formation of a selected material. The region may include one region and/or a series of regular or periodic regions pre-formed on the substrate. The region may have any convenient shape, e.g., circular, rectangular, elliptical, wedge-shaped, etc. In the semiconductor field a region may be, for example, a test structure, single die, multiple die, portion of a die, other defined portion of substrate, or a undefined area of a, e.g., blanket substrate which is defined through the processing.
  • Still referring to FIGS. 3, 4, and 5, the wet processing assembly 314 includes a scaffolding 322 and an array of wet processing units 324 attached to the scaffolding 322. The scaffolding 322 includes a plurality of scaffolding bars 326 extending between end pieces 328 and 330. As shown in FIG. 4, end piece 328 is pivotably (or rotatably) coupled to the housing 308.
  • The wet processing units 324 are arranged in a series of rows (or sticks) 332, with each of the rows 332 being positioned between adjacent scaffolding bars 326. FIG. 6 illustrates one of the rows 332 of wet processing units 324. The row 332 shown in FIG. 4 includes six of the wet processing units 324. However, as shown in FIGS. 3, 4, and 5, the number of wet processing units 324 in each row 332 may differ, as is appropriate given the size and shape of the substrate 316. Each of the wet processing units 324 includes, amongst other components, a liquid container (or reactor) 334, a transducer actuator 336 housed above the liquid container 334, and a transducer (i.e., megasonic transducer) 338 positioned within the liquid container 334 and coupled to the transducer actuator 336. However, in some embodiments, the wet processing units 324 do not include the transducer actuators 336 or the transducers 338.
  • Referring again to FIGS. 3, 4, and 5, each of the liquid containers 334 is in fluid communication with the processing fluid supply system 304 via a series of fluid lines 340. Further, each of the wet processing units 324 (and/or the transducer actuators 336) is in operable communication with the control system 306 via wiring 342 (FIGS. 3 and 5).
  • The processing fluid supply system 304 includes one or more supplies of various processing fluids, as well as temperature control units to regulate the temperatures of the various fluids. In some embodiments, the processing fluids held by the processing fluid supply system 304 include wet processing formulations and/or wet processing formulation components. “Wet processing formulations” may refer to “complete” formulations which may be used to perform particular wet processes on the substrate 326. “Wet processing formulation components” may refer to liquids which may be combined to form wet processing formulations, or alternatively, the complete wet processing formulations. As such, in some embodiments, the wet processing formulations may include one or more wet processing formulation component (i.e., each of the wet processing formulation components may be an “ingredient” for a wet processing formulation). However, it should be noted that in some embodiments, the wet processing formulation components may be fluids which are not components of (at least some of) the complete wet processing formulations already present in the processing fluid supply system 304 (e.g., the wet processing formulation components are “new” ingredients for the wet processing formulations).
  • The control system (or controller) 306 includes, for example, a processor and memory (i.e., a computing system) in operable communication with the processing fluid supply system 304 and the wet processing units 324 and is configured to control the operation thereof as described below.
  • Referring again to FIGS. 3 and 4, after the substrate 316 is positioned on the substrate support 312 (i.e., by a robot which is not shown), the wet processing assembly 314 is lowered (or pivoted downwards) such that the liquid containers 334 of the wet processing units 324 contact the substrate 316 (or a surface thereof).
  • FIG. 7 schematically illustrates one of the wet processing units 324 (and/or one of the liquid containers 334) in contact with the substrate 316. The liquid container 334 further includes a liquid container body 344 and a sealing member 346.
  • The liquid container body 344 includes a main portion 348 and an overflow portion 350. The main portion 348 of the liquid container body 344 houses the transducer 338 and encloses a reactor region 352 which is in contact with the substrate 316. The overflow portions 350 enclose an overflow region 354 which surrounds the reactor region 352 and is not in contact with the substrate 316. The liquid container body 344 further includes an inlet port 356 in fluid communication with the reactor region 352 and the processing fluid supply system 304 (via fluid lines 340), and outlet ports 358 and 360 in fluid communication with the reactor region 352 and the overflow region 354, respectively, as well as the processing fluid supply system 304 (via fluid lines 340). As shown, the transducer 338 is suspended a distance 362 above the substrate 316. As described below, in one embodiment, the distance 362 may be varied (e.g., between 1 mm and 50 mm), which effects the potency of the cleaning effect (i.e., a second order effect).
  • The sealing member 346 is positioned between the main portion 348 of the liquid container body 344 and the substrate 316. The sealing member 316 may take the form of an o-ring or lip seal and may be made of a compressible material, such as rubber, such that when a force (i.e., the weight of the wet processing assembly 314) is applied onto it towards the substrate 316, a seal is formed between the liquid container body 344 and the substrate 316.
  • Referring now to FIG. 8 in combination with FIG. 7, each of the sealing members 346 may surround one of the regions 320 on the substrate 316 such that each of the reactor regions 352 within the liquid containers 334 is adjacent to a respective one of the regions 320.
  • The system 300 may then simultaneously perform any of numerous wet processing methods on the regions 320 of the substrate 316. Examples of wet processes include wet cleanings, wet etches and/or strips, and electroless depositions. Referring to FIG. 7, these methods may generally be performed by dispensing wet processing formulations (e.g., liquids, gases, or a combinations thereof) to the reactor regions 352 of the wet processing units 324 (or into the liquid containers 334) from the processing fluid supply system 304. In some embodiments, the transducers 338 (and/or the transducer actuators 336) are used, such as during a wet cleaning process. Because of the sealing action of the sealing members 346, along with the multiple, individual liquid containers 334, separate and unique wet processes may be performed simultaneously on the different regions 320 (FIG. 8) of the substrate 316, as the volume (or body) of liquid (and/or gas) held in each liquid container 334 is isolated from the others. The portions of the substrate 316 between the regions 320 remain dry. During or after the processing, the processing fluids are removed from the reactor regions 352 and the overflow regions 354 through the outlet ports 356 and 358.
  • In some embodiments, after the wet processing formulations have been dispensed into the wet processing units 324, additional wet processing formulation(s) and/or wet processing formulation components are dispensed into the wet processing units 324 to “replenish” and/or “spike” (and/or add new components/ingredients to) the wet processing formulations. As will be appreciated by one skilled in the art, replenishing and/or spiking the wet processing formulations may allow the reactions to occur in a manner which more accurately simulates high volume manufacturing (HVM) processing.
  • For example, in some embodiments, the fluid supply system 304 provides additional wet processing formulation(s) to at least some of the wet processing units 324 to replace formulation that has been lost due to, for example, evaporation. In some embodiments, the fluid supply system 304 provides particular wet processing formulation components to at least some of the wet processing units 324 to spike the chemistry of the processes taking place. For example, during an etching or wet cleaning process, particular components of the wet processing formulations may be diluted such that the effectiveness of the reaction is reduced. In such cases, the fluid supply system 304 may dispense an additional amount of those particular wet processing formulation components into the wet processing units 334 to maintain the effectiveness of the reactions. In some embodiments, the additional wet processing formulation(s) (and/or wet processing formulation components) added to the reactions are of a chemical composition that is different than the wet processing formulation already dispensed (e.g., the wet processing formulation component used to spike the reaction is not a component of the wet processing formulation performing the reaction).
  • In some embodiments, the additional processing fluids (wet processing formulation and/or wet processing formulation components) are only added a predetermined time after the initial volume(s) wet processing formulation(s) have been dispensed into the wet processing units 324 to begin the reactions. For example, when the system 300 is performing etching processes which will be spiked, the additional wet processing formulation component(s) may not be added until, for example, 5 minutes after the reactions have been initiated (i.e., 5 minutes after the cessation of the dispensing of the initial wet processing formulations into the wet processing units 324). The spiking (and/or replenishing) may be performed multiple times during a single reaction cycle.
  • In some embodiments, the wet processing system 300 (e.g., particularly the processing fluid supply system 304 and/or the control system 306) is configured to intentionally vary (or create differences between) the processing conditions for the wet processes performed on two or more of the regions 320. In some embodiments, the varying of the processing conditions is associated with (or related to) the processing conditions in a manner that is independent of any replenishing or spiking of the wet processing formulations (i.e., the reactions are performed in a combinatorial manner before or regardless of any replenishing/spiking). In some embodiments, the varying is associated with the replenishing/spiking independent of the reactions in progress (i.e., the reactions are initially performed in a non-combinatorial manner until the replenishing/spiking). However, in some embodiments, the reactions are performed in a combinatorial manner independent of the replenishing/spiking, and the replenishing/spiking is then also performed in a combinatorial manner.
  • Exemplary variations generated between two or more of the reactions include varying the chemical compositions, pH levels, temperatures of the processing fluids (including any processing gases), reaction times (e.g., the duration of the reactions and/or the timing of the replenishing/spiking), processing fluid volumes (e.g., of the initial wet processing formulations and/or the of the wet processing formulation components added during replenishing/spiking) parameters related to the operation of the transducers 338 (i.e., in embodiments which include the transducers 338), and/or any combination thereof. Again, it should be noted that the variations described above may be associated with the complete wet processing formulations and/or the wet processing formulation components added to the wet processing formulations. As described above, such variation(s) may be introduced at the initiation of a reaction cycle and/or when the wet processing formulations are replenishing and/or spiked.
  • One possible type of wet processing formulation that may be used is cleaning liquids. An example of a cleaning formulation is a mixture of three wet processing formulation components, such as ammonium hydroxide (NH4OH), hydrogen peroxide (H2O2), and deionized (DI) water (H2O). A typical concentration ratio for the mix is 1:1:5 NH4OH:H2O2:H2O. However, as described above, in some embodiments, this ratio may be varied among the different liquid containers 334 at the initiation of the reaction cycles, while in some embodiments this ratio may be varied by spiking the reactions with different amounts of one of the components, such as ammonium hydroxide, after the reactions have been initiated.
  • Another example of a cleaning formulation is a mixture of hydrochloric acid (HCl), hydrogen peroxide (H2O2), and deionized (DI) water (H2O). A typical concentration ratio for the mix is 1:1:5 HCl:H2O2:H2O. Again, however, this ratio may be varied among the different liquid containers 334 at the initiation of the reaction cycle and/or during the replenishing/spiking of the reactions.
  • It should be understood that the size, shape, and number of the liquid containers 334 and/or the corresponding regions 320 on the substrate 316 may be different in other embodiments. For example, in some embodiments, the substrate 316 may include four regions 320, each of which essentially occupies a quadrant on the substrate 316. In some embodiments, the regions 320 may be in the shape of parallel strips extending across the substrate 316. It should be understood that in such embodiments, the liquid containers 334 may be sized and shaped in such a way to as to seal these different sizes/shapes of regions 320.
  • FIG. 9 is a simplified view of a combinatorial wet processing tool 900 according to some embodiments. Similar to the wet processing tool 302 shown in FIGS. 3-7, the wet processing tool 900 may be used to perform combinatorial processing on multiple site-isolated regions on a substrate using, in this case, wet processing techniques.
  • The combinatorial wet processing tool 900 includes a housing (and/or processing chamber) 902, a well holder 904 holding wells 906, and a dispense arm 908 having a dispense head 910. The wet processing tool 900 also includes a reactor assembly 912 having an array or reactors (or fluid containers) 914 positioned over a substrate support 916. A substrate 918 is placed on the substrate support 916 and positioned relative to the reactors 914 such that bottom edges of the reactors 914 contact the substrate 918 and form seals around respective, site-isolated portions of the substrate 918. The dispense arm 910 may retrieve (e.g., via syringes) formulations (e.g., thermochromic materials) from the wells 906 and dispense them into the reactors 914. Because of the seals formed between the reactors 914 and the substrate 918, the formulations remain within the reactors 914 and on the respective regions of the substrate 918, and are thus isolated from the other formulations and regions on the substrate 918.
  • Although not shown in FIG. 9, the wet processing tool 900 may include a controller (or control system) configured to control the operation of the wet processing tool 900 in a manner similar to the operation of the wet processing system/tool 300/302 shown in FIGS. 3-7, as described above.
  • FIG. 10 illustrates a method 1000 for processing a substrate according to some embodiments. At block 1002, the method 100 begins by providing a substrate having a plurality of site-isolated regions thereon, such as the substrates described above.
  • At block 1004, wet processes are simultaneously performed on each of the site-isolated regions on the substrate. As described above, the wet processes may be performed by exposing each of the site-isolated regions to a wet processing formulation.
  • At block 1006, a wet processing formulation component is added to at least some of the wet processes. As described above, the wet processing formulations may, for example, have the same chemical composition as the wet processing formulation to which they are added, or may be one of the components of the wet processing formulations.
  • At block 1008, a processing condition is varied between at least two of the wet processes. As described above, the variation may be associated with the processing conditions of the wet processes before the wet processing formulation components are added and/or may be associated with the addition of the wet processing formulation components. At block 1010, the method 1000 ends.
  • Thus, in some embodiments, a method for processing a substrate is provided. A substrate having a plurality of site-isolated regions defined thereon is provided. A plurality of wet processes is simultaneously performed. Each of the plurality of wet processes is performed on one of the plurality of site-isolated regions defined on the substrate. The simultaneously performing includes exposing each of the plurality of site-isolated regions to one of a plurality of wet processing formulations. Each of the plurality of wet processing formulations includes a component. The respective component is added to at least some of the plurality of wet processing formulations during the exposing. A processing condition is varied between at least two of the plurality of wet processes in a combinatorial manner.
  • In some embodiments, a method for processing a substrate is provided. A substrate having a plurality of site-isolated regions defined thereon is provided. A plurality of wet processes are simultaneously performed. Each of the plurality of wet processes is performed on one of the plurality of site-isolated regions defined on the substrate. The simultaneously performing includes exposing each of the plurality of site-isolated regions to a one of a plurality of wet processing formulations by dispensing each of the plurality of wet processing formulations onto the respective site-isolated region. Each of the plurality of the wet processing formulations includes a component. The respective component is added to at least some of the plurality of wet processing formulations during the exposing and after the cessation of the dispensing of the plurality of wet processing formulations. At least one processing condition is varied between at least two of the plurality of wet processes in a combinatorial manner.
  • In some embodiments, a wet processing tool is provided. The wet processing tool includes a housing defining a processing chamber. A substrate support is coupled to the housing and configured to support a substrate within the processing chamber. A plurality of reactors are coupled to the housing. Each of the plurality of reactors is positioned to define one of a plurality of site-isolated regions on the substrate and configured to hold a liquid on the respective one of the plurality of site-isolated regions. A fluid supply system is coupled to the plurality of reactors and configured to dispense each of a plurality of wet processing formulations including a component into one of the plurality of reactors and dispense the respective components into at least some of the plurality of reactors. A control system is coupled to the fluid supply system. The control system is configured to dispense each of the plurality wet processing formulations into the respective reactor to simultaneously perform a plurality of wet processes. Each of the plurality of wet processes is performed on one of the plurality of site-isolated regions defined on the substrate. The respective component is added to at least some of the plurality of wet processing formulations during the simultaneously performing of the plurality of wet processes. A processing condition is varied between at least two of the plurality of wet processes in a combinatorial manner.
  • Although the foregoing examples have been described in some detail for purposes of clarity of understanding, the invention is not limited to the details provided. There are many alternative ways of implementing the invention. The disclosed examples are illustrative and not restrictive.

Claims (20)

What is claimed:
1. A method for processing a substrate, the method comprising:
providing a substrate having a plurality of site-isolated regions defined thereon; and
performing a wet process on each of the plurality of site-isolated regions defined on the substrate, and wherein the performing comprises:
exposing each of the plurality of site-isolated regions to one of a plurality of formulations, wherein each of the plurality of formulations comprises a component;
adding the component to at least some of the plurality of formulations during the exposing; and
varying a processing condition between at least two of the formulations in a combinatorial manner.
2. The method of claim 1, wherein the exposing comprises dispensing one of the plurality of formulations onto the respective site-isolated region, and the adding occurs after the cessation of the dispensing.
3. The method of claim 1, wherein the varying of the processing condition comprises varying a chemical composition, varying a temperature, varying a duration of the exposure, or a combination thereof.
4. The method of claim 1, wherein the varying of the processing condition comprises varying a processing condition associated with the component.
5. The method of claim 4, wherein the varying of the processing condition associated with the component comprises varying a chemical composition, varying a temperature, varying a fluid volume, or a combination thereof.
6. The method of claim 1, wherein each of the plurality of formulations comprises a plurality of components.
7. The method of claim 1, wherein the exposing comprises positioning one of a plurality of reactors proximate to each of the plurality of site-isolated regions, wherein each reactor is configured to hold the respective formulation on the respective site-isolated region.
8. The method of claim 7, wherein the exposing further comprises dispensing one of the plurality of formulations into the respective reactor.
9. A method for processing a substrate, the method comprising:
providing a substrate having a plurality of site-isolated regions defined thereon; and
performing a wet process on each of the site-isolated regions defined on the substrate, and wherein the performing comprises:
exposing each of the plurality of site-isolated regions to a one of a plurality of formulations by dispensing one of the plurality of formulations onto the respective site-isolated region, wherein each of the plurality of the formulations comprises a component;
adding the component to at least some of the plurality of formulations during the exposing and after the cessation of the dispensing of the plurality of formulations; and
varying at least one processing condition between at least two of the plurality of formulations in a combinatorial manner.
10. The method of claim 9, wherein the varying of the at least one processing condition comprises varying a processing condition associated with the components.
11. The method of claim 10, wherein the varying of the at least one processing condition further comprises varying a chemical composition, varying a temperature, and varying a duration of the exposure, or a combination thereof.
12. A wet processing tool comprising:
a housing defining a processing chamber;
a substrate support coupled to the housing and configured to support a substrate within the processing chamber;
a plurality of reactors coupled to the housing, each of the plurality of reactors being positioned to define one of a plurality of site-isolated regions on the substrate and configured to hold a liquid on the respective one of the plurality of site-isolated regions;
a fluid supply system coupled to the plurality of reactors and configured to
(i) dispense one of a plurality of formulations into one of the plurality of reactors, wherein each of the formulations comprises a component, and
(ii) dispense the respective component into at least some of the plurality of reactors; and
a control system coupled to the fluid supply system, the control system being configured to:
dispense one of the plurality formulations into the respective reactor to perform a wet process, wherein the wet process is performed on the site-isolated region defined on the substrate;
add the respective component to at least some of the plurality of formulations during the performing of the wet process; and
vary a processing condition between at least two of the plurality of formulations in a combinatorial manner.
13. The wet processing tool of claim 12, wherein the adding of the respective component to the at least some of the plurality of wet processing formulations occurs after the cessation of the dispensing.
14. The wet processing tool of claim 12, wherein the varying of the processing condition comprises varying a chemical composition between the at least two of the plurality of wet processing formulations.
15. The wet processing tool of claim 12, further comprising a temperature control system configured to control the temperature of each of the plurality of wet processes, and wherein the varying of the processing condition comprises varying a temperature between the at least two of the plurality of wet processing formulations.
16. The wet processing tool of claim 12, wherein the varying of the processing condition comprises varying a duration of the plurality of wet processes.
17. The wet processing tool of claim 12, wherein the varying of the processing condition comprises varying a processing condition associated with the respective components added to the at least some of the plurality of wet processing formulations.
18. The wet processing tool of claim 12, wherein each of the components has the same chemical composition as the respective wet processing formulation.
19. The wet processing tool of claim 12, wherein each of the plurality of wet processing formulations comprises a plurality of components.
20. The wet processing tool of claim 12, further comprising a plurality of fluid supply lines, each of the plurality of fluid supply lines being in fluid communication with a respective one of the plurality of reactors.
US14/108,494 2013-03-13 2013-12-17 Wet Processing Systems and Methods with Replenishment Abandoned US20140273497A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/108,494 US20140273497A1 (en) 2013-03-13 2013-12-17 Wet Processing Systems and Methods with Replenishment

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361780128P 2013-03-13 2013-03-13
US14/108,494 US20140273497A1 (en) 2013-03-13 2013-12-17 Wet Processing Systems and Methods with Replenishment

Publications (1)

Publication Number Publication Date
US20140273497A1 true US20140273497A1 (en) 2014-09-18

Family

ID=51522141

Family Applications (5)

Application Number Title Priority Date Filing Date
US14/013,281 Abandoned US20140262028A1 (en) 2013-03-13 2013-08-29 Non-Contact Wet-Process Cell Confining Liquid to a Region of a Solid Surface by Differential Pressure
US14/051,287 Abandoned US20140273309A1 (en) 2013-03-13 2013-10-10 Controlling Radical Lifetimes in a Remote Plasma Chamber
US14/108,494 Abandoned US20140273497A1 (en) 2013-03-13 2013-12-17 Wet Processing Systems and Methods with Replenishment
US14/135,505 Active 2034-07-23 US9305791B2 (en) 2013-03-13 2013-12-19 High productivity combinatorial workflow to screen and design chalcogenide materials as non volatile memory current selector
US14/142,121 Active 2035-01-07 US9466499B2 (en) 2013-03-13 2013-12-27 Combinatorial methods for developing electrochromic materials and devices

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US14/013,281 Abandoned US20140262028A1 (en) 2013-03-13 2013-08-29 Non-Contact Wet-Process Cell Confining Liquid to a Region of a Solid Surface by Differential Pressure
US14/051,287 Abandoned US20140273309A1 (en) 2013-03-13 2013-10-10 Controlling Radical Lifetimes in a Remote Plasma Chamber

Family Applications After (2)

Application Number Title Priority Date Filing Date
US14/135,505 Active 2034-07-23 US9305791B2 (en) 2013-03-13 2013-12-19 High productivity combinatorial workflow to screen and design chalcogenide materials as non volatile memory current selector
US14/142,121 Active 2035-01-07 US9466499B2 (en) 2013-03-13 2013-12-27 Combinatorial methods for developing electrochromic materials and devices

Country Status (1)

Country Link
US (5) US20140262028A1 (en)

Cited By (206)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170058402A1 (en) * 2015-08-28 2017-03-02 Samsung Electronics Co., Ltd. Shower head of combinatorial spatial atomic layer deposition apparatus
US20180171477A1 (en) * 2016-12-19 2018-06-21 Asm Ip Holding B.V. Substrate processing apparatus
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US20210162364A1 (en) * 2018-08-17 2021-06-03 Sierra Biosystems, Inc. Row-independent oligonucleotide synthesis
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140134849A1 (en) * 2012-11-09 2014-05-15 Intermolecular Inc. Combinatorial Site Isolated Plasma Assisted Deposition
US9175389B2 (en) * 2012-12-21 2015-11-03 Intermolecular, Inc. ALD process window combinatorial screening tool
JP6526660B6 (en) 2013-08-12 2019-06-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated System, apparatus, and method for substrate processing with environmental control of factory interface
CN104317129B (en) * 2014-10-28 2017-08-11 电子科技大学 Electrochromic device of high flux screening electrochromic material and preparation method thereof
WO2016085622A1 (en) * 2014-11-25 2016-06-02 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls
CN104483797A (en) * 2014-12-31 2015-04-01 电子科技大学 Electrochromic device for screening inverse opal photonic crystal structure with high flux
US9595669B2 (en) 2015-06-30 2017-03-14 Western Digital Technologies, Inc. Electroplated phase change switch
US9776218B2 (en) * 2015-08-06 2017-10-03 Asml Netherlands B.V. Controlled fluid flow for cleaning an optical element
US9564585B1 (en) 2015-09-03 2017-02-07 HGST Netherlands B.V. Multi-level phase change device
DE102016200506A1 (en) * 2016-01-17 2017-07-20 Robert Bosch Gmbh Etching device and etching process
US10797238B2 (en) 2016-01-26 2020-10-06 Arm Ltd. Fabricating correlated electron material (CEM) devices
KR102553253B1 (en) 2016-11-10 2023-07-06 삼성전자주식회사 Pulsed plasma analyzer and method for analyzing the same
US20180322972A1 (en) * 2017-05-04 2018-11-08 General Electric Company System and method for making a solid target within a production chamber of a target assembly
US10211398B2 (en) * 2017-07-03 2019-02-19 Arm Ltd. Method for the manufacture of a correlated electron material device
US20190093214A1 (en) * 2017-09-22 2019-03-28 Applied Materials, Inc. Native or uncontrolled oxide reduction by a cyclic process of plasma treatment and h* radicals
CN109473411B (en) * 2018-09-17 2021-08-20 上海音特电子有限公司 Thin film material for integrated circuit input/output pin overvoltage protection and use method
KR20210032112A (en) * 2019-09-16 2021-03-24 삼성전자주식회사 sputtering system and manufacturing method of magnetic memory device using the same
CN111850633B (en) * 2020-07-24 2021-02-02 北方工业大学 Sponge alloy base Dy2O3Preparation method of fluorine modified vanadium oxide nanowire
US20220396895A1 (en) * 2020-12-23 2022-12-15 Ebara Corporation Plating apparatus and plating processing method

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6758951B2 (en) * 2001-10-11 2004-07-06 Symyx Technologies, Inc. Synthesis and characterization of materials for electrochemical cells
US7544574B2 (en) * 2005-10-11 2009-06-09 Intermolecular, Inc. Methods for discretized processing of regions of a substrate
US20100029088A1 (en) * 2003-10-20 2010-02-04 Novellus Systems, Inc. Modulated metal removal using localized wet etching
US20110179999A1 (en) * 2005-10-11 2011-07-28 Intermolecular, Inc. Systems and methods for sealing in site-isolated reactors
US8011317B2 (en) * 2006-12-29 2011-09-06 Intermolecular, Inc. Advanced mixing system for integrated tool having site-isolated reactors
US20120164841A1 (en) * 2010-12-23 2012-06-28 Rajesh Kelekar Combinatorial non-contact wet processing

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5657150A (en) * 1993-09-10 1997-08-12 Eyeonics Corporation Electrochromic edge isolation-interconnect system, process, and device for its manufacture
US5980767A (en) * 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US6390019B1 (en) * 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
GB0300558D0 (en) 2003-01-10 2003-02-12 Univ Southampton Method for the testing of multiple materials for electrochemical uses
CN101457338B (en) * 2003-02-14 2011-04-27 应用材料股份有限公司 Cleaning of native oxide with hydrogen-containing radicals
US8776717B2 (en) * 2005-10-11 2014-07-15 Intermolecular, Inc. Systems for discretized processing of regions of a substrate
JP4676372B2 (en) * 2006-04-24 2011-04-27 株式会社日立製作所 Multilayer optical recording medium, information recording method, and information reproducing method
JP4745273B2 (en) * 2006-09-25 2011-08-10 株式会社東芝 Semiconductor device manufacturing method and semiconductor manufacturing apparatus
US7839673B2 (en) * 2008-06-06 2010-11-23 Ovonyx, Inc. Thin-film memory system having thin-film peripheral circuit and memory controller for interfacing with a standalone thin-film memory
US8148707B2 (en) 2008-12-30 2012-04-03 Stmicroelectronics S.R.L. Ovonic threshold switch film composition for TSLAGS material
US8193075B2 (en) * 2009-04-20 2012-06-05 Applied Materials, Inc. Remote hydrogen plasma with ion filter for terminating silicon dangling bonds
CN102666911B (en) 2009-10-23 2014-07-23 应用材料公司 Materials and device stack for market viable electrochromic devices
US8228587B2 (en) * 2010-04-22 2012-07-24 Sage Electrochromics, Inc. Series connected electrochromic devices
US8614787B2 (en) 2010-05-12 2013-12-24 Intermolecular, Inc. High throughput quantum efficiency combinatorial characterization tool and method for combinatorial solar test substrates
US20120180954A1 (en) * 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) * 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8679983B2 (en) * 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US20130258436A1 (en) * 2012-04-03 2013-10-03 Sage Electrochromics, Inc. Patterned obscuration lines for electrochromic devices
US9076523B2 (en) * 2012-12-13 2015-07-07 Intermolecular, Inc. Methods of manufacturing embedded bipolar switching resistive memory
US8822313B2 (en) * 2012-12-20 2014-09-02 Intermolecular, Inc. Surface treatment methods and systems for substrate processing

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6758951B2 (en) * 2001-10-11 2004-07-06 Symyx Technologies, Inc. Synthesis and characterization of materials for electrochemical cells
US20100029088A1 (en) * 2003-10-20 2010-02-04 Novellus Systems, Inc. Modulated metal removal using localized wet etching
US7544574B2 (en) * 2005-10-11 2009-06-09 Intermolecular, Inc. Methods for discretized processing of regions of a substrate
US20110179999A1 (en) * 2005-10-11 2011-07-28 Intermolecular, Inc. Systems and methods for sealing in site-isolated reactors
US8011317B2 (en) * 2006-12-29 2011-09-06 Intermolecular, Inc. Advanced mixing system for integrated tool having site-isolated reactors
US20120164841A1 (en) * 2010-12-23 2012-06-28 Rajesh Kelekar Combinatorial non-contact wet processing

Cited By (237)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10815569B2 (en) * 2015-08-28 2020-10-27 Samsung Electronics Co., Ltd. Shower head of combinatorial spatial atomic layer deposition apparatus
US20170058402A1 (en) * 2015-08-28 2017-03-02 Samsung Electronics Co., Ltd. Shower head of combinatorial spatial atomic layer deposition apparatus
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11001925B2 (en) * 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US20180171477A1 (en) * 2016-12-19 2018-06-21 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11596919B2 (en) * 2018-08-17 2023-03-07 Sierra Biosystems, Inc. Row-independent oligonucleotide synthesis
US20210162364A1 (en) * 2018-08-17 2021-06-03 Sierra Biosystems, Inc. Row-independent oligonucleotide synthesis
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2021-04-26 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process

Also Published As

Publication number Publication date
US20140262028A1 (en) 2014-09-18
US20140272112A1 (en) 2014-09-18
US9466499B2 (en) 2016-10-11
US20140273314A1 (en) 2014-09-18
US20140273309A1 (en) 2014-09-18
US9305791B2 (en) 2016-04-05

Similar Documents

Publication Publication Date Title
US20140273497A1 (en) Wet Processing Systems and Methods with Replenishment
US9076674B2 (en) Method and apparatus for improving particle performance
US8663977B2 (en) Vertically retractable flow cell system
US20150184287A1 (en) Systems and Methods for Parallel Combinatorial Vapor Deposition Processing
US8349143B2 (en) Shadow masks for patterned deposition on substrates
US8011317B2 (en) Advanced mixing system for integrated tool having site-isolated reactors
US20150140696A1 (en) Combinatorial Method for Solid Source Doping Process Development
US20130133701A1 (en) Method and apparatus for dispensing an inert gas
US20130136862A1 (en) Multi-cell mocvd apparatus
US20130156530A1 (en) Method and apparatus for reducing contamination of substrate
US20130152857A1 (en) Substrate Processing Fluid Delivery System and Method
US9373518B2 (en) Method and apparatus for preventing native oxide regrowth
US8835329B2 (en) Reactor cell isolation using differential pressure in a combinatorial reactor
US20160118309A1 (en) Minimal Contact Wet Processing Systems and Methods
US9023739B2 (en) Site-isolated rapid thermal processing methods and apparatus
US8807550B2 (en) Method and apparatus for controlling force between reactor and substrate
US20130149201A1 (en) Spray cleaner accessory for reactor component cleaning
US8663397B1 (en) Processing and cleaning substrates
US8974606B2 (en) Ex-situ cleaning assembly
US20140166840A1 (en) Substrate Carrier
US20140147350A1 (en) Cleaner for Reactor Component Cleaning
US8852967B2 (en) Dissolution rate monitor
US20120160264A1 (en) Wet Processing Tool with Site Isolation
US9174323B2 (en) Combinatorial tool for mechanically-assisted surface polishing and cleaning
US20130157897A1 (en) Method and apparatus for magnetic stirring

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERMOLECULAR, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:PAYNE, MAKONNEN;VAN BERKEL, KIM;SIGNING DATES FROM 20131210 TO 20131216;REEL/FRAME:031797/0055

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION