WO2019030565A1 - Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith - Google Patents

Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith Download PDF

Info

Publication number
WO2019030565A1
WO2019030565A1 PCT/IB2018/001003 IB2018001003W WO2019030565A1 WO 2019030565 A1 WO2019030565 A1 WO 2019030565A1 IB 2018001003 W IB2018001003 W IB 2018001003W WO 2019030565 A1 WO2019030565 A1 WO 2019030565A1
Authority
WO
WIPO (PCT)
Prior art keywords
base plate
storage apparatus
cassettes
cassette
substrates
Prior art date
Application number
PCT/IB2018/001003
Other languages
French (fr)
Inventor
Adriaan Garssen
Edwin DEN HARTOG BESSELINK
Original Assignee
Asm Ip Holding B.V.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/673,110 external-priority patent/US10249524B2/en
Application filed by Asm Ip Holding B.V. filed Critical Asm Ip Holding B.V.
Priority to JP2020506161A priority Critical patent/JP7187536B2/en
Priority to US16/637,134 priority patent/US11139191B2/en
Priority to KR1020207003399A priority patent/KR102621997B1/en
Priority to CN201880050978.5A priority patent/CN110998817B/en
Publication of WO2019030565A1 publication Critical patent/WO2019030565A1/en
Priority to US17/470,048 priority patent/US11769682B2/en
Priority to JP2022190295A priority patent/JP7457088B2/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection

Definitions

  • the present invention generally relates to a storage apparatus for storing cassettes for substrates.
  • the invention may also relate to a processing apparatus for processing substrates and provided with such a storage apparatus.
  • the storage apparatus may be employed in an apparatus used in the manufacture of discrete and integrated semiconductor products on semiconductor material substrates.
  • cassettes may be used which may require a storage apparatus.
  • Storage apparatus to store cassettes for substrates comprising:
  • a moveable base plate constructed and arranged to hold a cassette; an outer wall provided with an opening to receive and remove the cassette from the base plate, and
  • a moving device constructed and arranged to move the base plate with respect to the opening.
  • On the base plate a plurality of cassettes for storing at least one semiconductor material substrate may be stored via the opening in the outer wall. Holding members may be located over and supported by the base plate to position the cassette on the base plate in the correct position.
  • a sensor may be required to detect at least one of a presence and a correct orientation of a substrate cassette on the base plate at the opening. Since a large number of cassettes may be stored in the storage apparatus, a large number of sensors may be necessary to detect the presence and correct orientation of the substrate cassette on the base plate. The sensors may need a connection for power and communication with the stationary part of the storage apparatus. A cable feedthrough which allows for movement of the main plate may therefore be required.
  • a drawback of the design with the moveable sensors on the base plates and the cable feed throughs may be that the design may become quite bulky.
  • a storage apparatus to store cassettes for substrates comprising:
  • a moveable base plate constructed and arranged to hold a cassette; an outer wall provided with an opening to receive and remove the cassette from the base plate, and
  • a moving device constructed and arranged to move the base plate with respect to the opening, wherein the storage apparatus is provided with a stationary sensor near the opening to detect at least one of a presence and a correct orientation of the cassette on the base plate at the opening.
  • a processing apparatus for the manufacture of semiconductor products may be provided with a storage apparatus in accordance with the invention.
  • Such an apparatus may enable products to be manufactured in large numbers and may be easy to maintain and/or install.
  • the apparatus may comprise means for arranging the cassette in the storage apparatus or removing the cassette from the storage apparatus.
  • Substrate handlers for arranging substrates in, or removing substrates from, the cassette may also be provided in the apparatus.
  • FIG. 1 shows a schematic horizontal cross section of a processing apparatus.
  • FIG. 2 is a partly broken away and schematic perspective view of another processing apparatus.
  • FIG. 3 is a partly broken away perspective view of a storage apparatus for the apparatus of FIGS. 1 or 2.
  • FIG. 4 is a front view of a cassette holder assembly in accordance with an embodiment.
  • FIG. 5 is a back view of a cassette holder assembly of FIG. 1 provided with a cassette with substrates having a relatively small size according to an embodiment.
  • FIG. 6 is a back view of a cassette holder assembly of FIG. 1 provided with a cassette with substrates having a relatively large size according to an embodiment.
  • FIG. 7 is a top perspective view on a base plate for receiving the cassette and a bottom perspective view on a holding member before mounting on the base plate according to an embodiment.
  • FIG. 8 depicts a front view on a base plate for holding a cassette for substrates.
  • FIGS. 9a, 9b and 9c disclose a top, cross-sectional side and bottom view on the bar of FIG. 4 with further details of the moveable element according to an embodiment.
  • FIG. 1 depicts a processing apparatus 1 for processing semiconductor substrates, also designated with the term wafer. These wafers are subjected to a number of treatment steps in order to form a number of integrated circuits on the surface thereof which are later cut from the wafer and further processed.
  • Storage apparatus 2 comprises a moveable base plate by having a rotary table 4 on which two to ten, e.g., six cassettes can be placed on each of three levels, so that this table 4 may, for example, contain a total of eighteen cassettes.
  • the storage apparatus 2 is provided with an outer wall 3 provided with an opening functioning as an in-out port for receiving and removing of the cassette from a clean room in which an atmosphere is maintained with a very low dust content. Via the in-out port 5 the cassettes 9 can be placed on the moveable base plate of the table 4.
  • the storage apparatus 2 has in cross section an at least partially regular polygonal periphery, that is, the three walls on the right in FIG. 1 form part of a regular octagon in cross section.
  • the processes stations 10, 11 and 12 to be further described hereinafter also have in cross section an at least partially regular polygonal periphery.
  • the stations 2, 10, 11 and 12 are embodied as separate stations which connect onto other stations at the position of the sides.
  • a central station with a substrate handler 8 is provided.
  • This substrate handler 8 can take substrates 13 out of cassettes 9 and place them in wafer carriers in the processing stations 10, 11, 12. After the treatment the substrate handler once again removes the processed substrates from the wafer carrier of the processing station and places them in a following processing station or in an empty cassette 9 rotated into position by means of the rotary main plate 4.
  • the cassettes 9 with processed substrates 13 may be removed from the storage apparatus 2 via the in-out port 6 which may function in the same manner as in-out port 5.
  • Each of the treatment stations 10, 11, 12 shown in FIG. 1 may be provided with a rotary table 14 in which three compartments 15 are defined. Each of these compartments is provided with a wafer carrier 16.
  • the rotary table 14 can be moved and arrested in three rotational positions. In each of these positions, one of the wafer carriers is accessible to the robot in the transfer station 8. After loading a wafer carrier 16 into one of the three compartments 15, the rotary table 14 is moved clockwise a third of a turn.
  • the just filled wafer carrier is herein positioned above a lifting device 17 and below an oven. By means of lifting device 17, the filled wafer carrier is raised into the oven where processing of the wafers takes place.
  • the wafer carrier is once again moved downward into the table 14 which is then moved another third of a turn. Meanwhile the following wafer carrier 16 is filled again and can be placed into the oven using the lifting device 17. The just treated wafers can cool for a time. After a treatment cycle has once again been ended, the rotary table is again rotated through a third of a turn and the wafer carrier 16 with treated and cooled wafers is back in the starting position adjoining the transfer station 8. The treated wafers are then taken out of the wafer carrier and placed for instance in the ready-standing wafer carrier of processing station 11 or in the ready-standing cassettes 9. The operation for the processing stations 11 and 12 is in this case identical.
  • the storage apparatus 2 may be combined with two or only one of the processing stations 10, 11 or 12. Other processing stations may be employed instead of the processing stations 10, 11, 12.
  • Other processing stations may be employed instead of the processing stations 10, 11, 12.
  • the substrate handler 8 is embodied with a square periphery and the storage apparatus 2 and processing stations 10, 11 and 12 each have a partially regular octagonal periphery with angles of 135 degrees and with a side of a length equal to that of the substrate handler 8.
  • the storage apparatus 2 and processing stations 10, 11 and 12 may be connected in combination with one substrate handler 8.
  • FIG. 2 shows for instance a device consisting of one storage apparatus 21 corresponding to that shown in section in FIG. 1 and two processing stations, each of which may correspond to the processing stations 10, 11 and 12.
  • a substrate handler 22 is arranged.
  • This substrate handler comprises, as described above, a robot 25 which can take the wafers 13 out of the cassettes 9 and place them in the wafer carrier 27 of the processing stations and vice- versa.
  • Robot 25 can be a per se known robot and is mounted on a lifting device so that the operational arm thereof can bridge the height difference between the bottom wafer in the lower cassette and the top wafer in the upper cassette 9.
  • the displacement of the wafers takes place successively from the lowest to the uppermost position, that is, the wafers 13 are taken out of the cassettes 9 or wafer carriers 27 beginning with the bottom one and continuing to the topmost, while these are re-placed once again in the wafer carrier or the cassettes beginning with the topmost and continuing thus to the bottom. This prevents possible dust particles falling onto the underlying wafers.
  • each connectable station has in this embodiment a closed casing with closable passage openings in at least one of the sides in the part with the regular polygonal periphery.
  • the processing stations 23, 32 each have one passage opening 26.
  • the storage apparatus 21 is provided with three passage openings 24.
  • the passage openings can be opened and closed using a hatch 29 which is received in guides 30 and which can be moved up and downward by means of a linear actuator, such as, for example, pneumatic cylinder 31.
  • An inflatable seal may be incorporated in the guiding 30. In the closed situation of the hatch 29 this seal is inflated and a closely adhering seal contact is thus obtained.
  • the inflatable seal When the hatch 29 has to be moved the inflatable seal may be made pressureless, so that it removes itself from hatch 29. As a result, when the hatch 29 is opened, there is no or only minimal slide contact so that the danger of formation of loose dust particles, which is particularly undesirable in the environment of a device according to the invention, is very small. Also designated schematically in FIG. 2 is the wafer carrier 27 received in the rotary table 28.
  • FIG. 3 shows an storage apparatus according to the invention.
  • This apparatus 35 has in cross section a wholly regular octagonal form with equal sides.
  • Storage apparatus 35 may be provided with four passage openings 38 similar to the passage openings 24 of the unit 21 as shown in FIG. 2.
  • the apparatus 35 may comprise a rotary table 36 in which eight cassettes 9 for wafers 13 may be placed on each of three levels.
  • the table 36 may be driven in rotation around a vertical axis and fixed in different rotational positions using a drive device 37 accommodated in a closed compartment of the apparatus 35.
  • the apparatus 35 may be provided with its own gas circulation device. This comprises a central gas feed via the shaft 40 of the rotary table which leads to the inner space of a centrally disposed cylindrical filter 39.
  • the gas supplied via shaft 40 flows in horizontal radial direction through this filter 39 in a laminar flow through the cassettes 9. Close to the outer periphery the gas flows upward and is discharged via an outlet 41 arranged in the upper portion of the storage apparatus 35. Due to the thus realized central feed of the gas, all sides of the apparatus 35 are possibly available for connection with other units.
  • the gas circulation may be necessary for purging for maintaining a very low dust particle level in the apparatus.
  • FIG. 4 is a front view of a cassette holder assembly for the storage apparatus 2, 35 (in FIGS. 1 and 3) for storing cassettes 9 with substrates 13 in accordance with an embodiment.
  • the cassette holder assembly 61 comprises a moveable base plate 63 provided to the rotary table 4, 36 of FIGS. 1 and 3).
  • the cassette holder 61 comprises an equal left holding member 65a and right holding member 65b supported by the moveable base plate 63 to position the cassette on the right R and left L respectively seen from the front F.
  • the left and right holding members 65a, 65b are substantially identical to each other.
  • Each of the holding members 65a, 65b are mirror symmetrical with respect to the line J through the center of the holding members from a back B to the front F.
  • An additional holding member in the form of a bar 66 may be provided on the base plate 63 to position the cassette.
  • the bar 66 may be provided with a hole 68 which may be used by a sensor to sense the presence and or correct positioning of a cassette on the cassette holder 61.
  • Each of the holding members 65a, 65b may have end surfaces 67LB, 67LF,
  • Each of the holding members may have left end surfaces 67LB, 67LF and right end surfaces 67RB, 67RF.
  • the right end surfaces 67RB, 67RF may be located at the right of the holding member 65a, 65b and the left end surfaces 67LB, 67LF may be located at the left of the holding member seen from the front F.
  • the left end surfaces 67LB, 67LF and the right end surfaces 67RB, 76RF of the holding members 65a, 65b may be substantially parallel.
  • the right end surfaces 67RB, 67RF of the right holding member 65b and the left end surfaces 67LB, 67LF of the left holding member 65a may be arranged for engagement with a cassette 69 (see FIGS. 5 and 6).
  • the right end surfaces 67RB, 67RF of the left holding member 65a and the left end surface 67LB, 67LF of the right holding member 65b may not be arranged for engagement with the cassette 9. If there is wear of the holding members on the end surfaces the position of the holding members 65a, 65b may be exchanged so that other end surfaces may be used.
  • Cassettes may be available in different sizes which may depend on the size of the substrates and the preferences of the fab owner in which the cassettes are used and the holding member may be constructed to be adaptable for the different sizes.
  • Each of the holding members 65a, 65b may have at least two end surfaces defined as small cassette end surfaces 67RF, 67LF for a cassette 9 for 150mm diameter substrates W (see FIG. 5) and large cassette end surfaces 67RB, 67LB for a cassette 9 for 200mm diameter substrates W (see FIG. 6).
  • the small cassette end surfaces 67RF, 67LF may be located towards the front F of the base plate 63 with respect to the large cassette end surfaces 67RB, 67LB to engage with cassettes with a relatively smaller size.
  • the holding members 65a, 65b may have side surfaces 71LF, 71LB, 71RF, 71RB to engage with the cassette 9 and limit the position of the cassette in a right R to left L direction substantially parallel to the base plate 63 and substantially perpendicular to the front F to back B direction.
  • Two side surfaces defined as right side surfaces 71RF, 71RB and left side surface 71LF, 71LB may be provided.
  • the right side surfaces 71RF, 71RB may be located at the right of the holding member seen from the front and the left side surfaces 71LF, 71LB may be located at the left of the holding member 65a, 65b seen from the front F.
  • the right side surfaces 71RF, 71RB of the right holding member 65b and the left side surfaces 71LF, 71LB of the left holding member 65a may be arranged for engagement with the cassette 9.
  • the right side surface 71RF, 71RB of the left holding member 65a and the left side surface 71LF, 71FB of the right holding member 65b may be not arranged for engagement with the cassette 9.
  • the holding members comprise at least two side surfaces defined as small cassette side surfaces 71RF, 71LF and large cassette side surfaces 71RB, 71LB.
  • the small cassette side surfaces 71RF, 71LF may be located towards the front F of the base plate 63 with respect to the large cassette side surfaces 71RB, 71LB to engage with cassettes 9 with a relatively smaller size (see FIG. 5).
  • the large cassette side surfaces 71RB, 71LB may be located towards the back B of the base plate 63 with respect to the small cassette side surfaces 71RF, 71LF to engage with cassettes 9 with a relatively smaller size (see FIG. 4).
  • Both of the holding members 65a, 65b may have a side surface to engage with the cassette and limit the position of the cassette in right R to left L opposite directions. The cassette may thereby be positioned in the left to right direction by the holding members 65a, 65b.
  • the holding members 65a, 65b may be detachably secured to the base plate 63 by means of a fastener, e.g., a threaded fastener, such as a bolt 75 through a slotted hole 77.
  • a fastener e.g., a threaded fastener, such as a bolt 75 through a slotted hole 77.
  • the slotted hole 77 may have a direction perpendicular to a line from the front F to the back B for adjustment of the position of the holding member 65a, 65b on the base plate 63.
  • FIG. 7 is a top perspective view on the moveable base plate 3 for receiving the cassette and a bottom perspective view on a right holding member 65b for mounting on the base plate 63.
  • FIG. 7 may show the slotted hole 77 with a direction perpendicular to the line J from the front F to the back B of the member 65b.
  • the holding member 65b may be provided with elongated bars 79, 81 which fit in a guide slot 83 and/or a positional slot 85 provided to the base plate 63.
  • Two guide elongated bars 79 may be smaller than the two guide slots 83 in a direction perpendicular to the line J from the front F to the back B so as to allow the holding member 65b to be adjustably secured and guided in that direction on the base plate 63.
  • One positional elongated bar 81 may be the same size as the positional slot 85 in a direction substantially perpendicular and a direction substantially parallel to the line J from the front F to the back B.
  • the positional elongated bar 81 and the positional slot 85 may fix the potion of the holding member on the base plate 63 in the left to right and front to back direction if the holding member 65b is mounted on the base plate 63.
  • the distance between the holding members 65a, 65b may need to be adjusted a little.
  • the positional elongated bar 81 may be (partially) removable.
  • the top of the bar 81 may be cut off so as to allow the holding member 65b to be adjustably secured in left to right direction on the base plate 63.
  • the guide elongated bars 79 which may be smaller than the guide slot 83 in the left to right direction allow the holding member 65b to be adjustably secured in that direction.
  • the guide elongated bars 79 may still fix the holding member 65b on the base plate 63.
  • a fastener such as bolt 75 (of FIG. 4)
  • the holding members may be secured.
  • the holding member 65b may be mirror symmetrical with respect to the line J through a center of the holding member from the front F to the back B.
  • the symmetry in the design of the holding members 65a, 65b may assure that the same holding member 65a, 65b may be used on the right as the left on the base plate 63.
  • the holding member 65a may comprise at least two, for example, four, substantially parallel end surfaces 67LB, 67LF, 67RB, 67RF (see FIG. 4). Two end surfaces may be right end surfaces 67RB, 67RF located at the right of the holding member seen from the front F and the other two end surface may be left end surfaces 67LB, 67LF located at the left of the holding member seen from the front. The end surfaces 67LB, 67LF, 67RB, 67RF may be substantially parallel to each other. Further the holding member 65a may comprise at least two, for example, four, substantially parallel side surfaces 71LF, 71LB, 71RF, 71RB.
  • Two side surfaces may be right side surfaces 71RF, 71RB located at the right of the holding member and the other two side surfaces may be left side surfaces 71LF, 71LB located at the left of the holding member seen from the front.
  • the side surfaces may be substantially parallel to each other.
  • the side surfaces of the holding member 65a may be perpendicular to the end surfaces.
  • the side surface may be intersecting with an end surface.
  • the holding member 65a may have at least one guide surface 87 bordering with side and/or end surfaces.
  • the guide surface 87 may have an angle between 15 to 75 degrees with the end or side surface.
  • the holding member 65a may be provided with a slotted hole 77 for the fastener and wherein the slotted hole 77 has a direction perpendicular to a line from the front F to the back B of the holding member.
  • the holding member 65a may be provided with at least one elongated bar extending downward from the bottom surface of the member.
  • the holding members 65a, 65b may be injection molded.
  • the holding member may comprise a polymer.
  • the holding member may comprise an acrylonitrile butadiene styrene material because of its strength and flexibility.
  • the holding member 65a can be readily manufactured by virtue of the symmetry between the left and the right side seen from the front F. It is also easier to use because there only the need to use one fastener, e.g., bolt 75 to mount the holding member 65a on the base surface 63.
  • the holding member may be made from plastics or metals.
  • the metal may be aluminum or steel.
  • the plastic may be acrylonitrile butadiene styrene (ABS), polypropylene (PP) or polyethylene (PE). These materials may have a low coefficient of friction as well as other favorable properties, such as a good process ability. In addition, the material may be stable with respect to cleaning agents so that it can be properly cleaned.
  • the dimensions of the cassette holder 61 may be adapted to the dimensions of the cassette 9, which is a box-shaped body, with a front side which is open, the dimensions of which may be determined by the number and the diameter, for example, 200 mm, of the substrates W to be arranged therein.
  • the base plate 63 may have a thickness between 0.2 and 4 mm, preferably between 0.3 and 3 mm and may be made from steel provided with holes to secure the cassette holders 65a and 65b to the apparatus of which the cassette holder 61 forms part.
  • the height dimension of the cassette holder members 65a, 65b may be 5 to 25 mm.
  • a polymer such as acrylonitrile butadiene styrene, polypropylene or polyethylene may be used which may have the advantage that, during operation, no metal or dust particles are formed which may be detrimental to a production process of, for example, ICs.
  • Further materials that may be used for the cassette holders 65a and 65b may be aluminum or steel.
  • the cassette holder may be used in an apparatus for processing semiconductor substrates to transport the substrates to and from the apparatus.
  • a reaction chamber may be used to create fine dimension structures, such as integrated circuits, on a semiconductor substrate.
  • FIG. 8 depicts a front view on a moveable base plate 63 for holding a cassette for substrates in a storage apparatus 2 (of FIG. 1).
  • the cassette 9 that is shown is held by the cassette holder of a lower moveable base plate (not depicted).
  • the storage apparatus is provided with an outer wall provided with an opening 5, 6 for receiving and removing of the cassette 9 from the moveable base plate.
  • a moving device constructed and arranged for moving the base plate and the cassettes thereon may be provided.
  • the moving device may move the cassette on the base plate 63 towards or away from the opening.
  • the storage apparatus 1 may be provided with a stationary sensor 91 (see FIG. 8) near the opening for detecting at least one of a presence and a correct orientation of a substrate cassette on the moveable base plate 63 at the opening.
  • the sensor 91 may be mounted on the inside of the outer wall of the storage apparatus or it may be mounted on a stationary frame part of the apparatus.
  • the sensor 91 may be an optical sensor for optically detecting at least one of a presence and a correct orientation of a substrate cassette on the base plate 63.
  • the base plate 63 may have a movable element 93 positioned to be contacted and moveable by said cassette when said cassette is placed in a correct orientation on said moveable base plate 63.
  • An indicator 95 may be moveable by the moveable element 93 for indicating that said cassette is present in said correct orientation.
  • the indicator 95 may be constructed and arranged within a viewing angle of the optical sensor 91 for indicating the presence and correct orientation of a substrate cassette on the base plate to the optical sensor.
  • the indicator 95 may be a reflective device, such as a mirror reflecting a radiation beams from the sensor 91 back to the sensor 91.
  • the (optical) sensor 91 may have a radiation source for directing a beam of radiation (e.g., a laser beam of 640 nm) towards the mirror and a sensor to detect a reflection of the radiation beam.
  • the base plate 63 is moveable and the moveable element 93 and indicator 95 are connected to the base plate they may be moveable as well.
  • the sensor is stationary and the storage apparatus may be constructed and arranged that different indicators 95 may be moved in front of the sensor 91 by movement of the base plate 63 near the in-out port. This may have the advantage that only one sensor 91 is necessary to measure the presence and/or correct placement of cassettes on a plurality of base plates. Further there may be no need to provide electric cables to the moveable components of the storage apparatus since all the active components are provided to the stationary parts of the storage apparatus.
  • the moveable base plate is only provided with passive components, such as the moveable element 93 and indicator 95.
  • FIGS. 9a to 9c disclose a top, cross-sectional side and bottom view on the bar 66 of FIG. 4 with further details of the moveable element 93 according to an embodiment.
  • the movable element 93 may have a pivotable arm 97 and the bar 66 may be provided with a pivot 99 for pivotably mounting said pivotable arm 97 underneath the base plate 63.
  • the indicator 95 may be provided on one end of said arm, said indicator being moveable upon pivoting of said arm indicating that said cassette is in said correct orientation.
  • the pivotable arm may have a counterweight 98 and a stop on the other end of the arm, the stop limiting the movement of said moveable element and the counterweight pushing the moveable element against the cassette.
  • the base plate 63 may have a hole and said movable element 93 may have a pin 100 for cooperation with the cassette and moveably configured in said hole.
  • the bar 66 may be provided with a hole 68 and the pin may be moveable through the hole.
  • the bar may be partly configured and arranged within an opening of the base plate 63. In this configuration the moveable element 93 may be provided at a lower side of the base plate 63.
  • the storage apparatus may be provided with walls 70, 72, (see FIG. 4) extending upward from the baseplate 63 leaving one side open at the front F for access to the base plate 63 with cassettes.
  • a wall 72 opposite the open site may be provided with holes for purging the cassettes, so as to remove particles from the cassettes.
  • the baseplate may have a horizontal plane for receiving the cassettes and the apparatus may be constructed and arranged with the baseplate moveable rotationally in a horizontal direction around a vertical axis of rotation.
  • the walls may be extending upward from the baseplate 63 and defining a isosceles trapezoid shape on the base plate.
  • the walls 70, 72, and the base plate 63 may be constructed with sheet metal provided with L shaped slotted holes or straight slotted holes.
  • the walls 70, 72, and the base plate 63 may also be provided with L shaped lips.
  • the L shaped lips may be fitting in the L shaped slotted holes or straight slotted holes. After bending of the lip sticking through the L shaped slotted holes or the straight slotted holes a secure connection between the walls 70, 72, and the base plate 63 may be provided.
  • This secure connection multiple times between each of the walls 70, 72, and between at one or each of the walls 70, 72 and the base plate 63 a rigid construction of the carousel is created with simply using sheet metal.
  • the optical sensor 91 may be a camera constructed and arranged to have a cassette on the base plate 63 near the opening at the in-out port within a viewing angle of the camera for detecting at least one of a presence and a correct orientation of a substrate cassette on the moveable base plate.
  • the storage apparatus 2 may have a computer operable connected with the camera and provided with a processor and a memory, wherein the memory is provided with machine vision software for detecting at least one of a presence and a correct orientation of the substrate cassette on the base plate.
  • the storage apparatus 2 may be part of the processing apparatus 1 for processing substrates and may have a processing device for processing substrates.
  • a substrate handler may be provided for moving substrates from the cassettes to the processing device and for moving the substrates from the processing device to the cassettes after processing.
  • the processing device may have a reactor with a reaction chamber for processing a plurality of substrates.
  • substrates such as silicon wafers
  • a substrate rack or boat inside the reactor.
  • a single substrate may be placed on a substrate susceptor inside the reactor.
  • Both the substrate and the rack or boat may be heated to a desired temperature.
  • reactant gases are passed over the heated substrate, causing the deposition of a thin layer of the reactant material or reactants of the gases on the substrate.
  • a series of such treatment steps on a substrate is called a recipe. If the deposited layer has the same crystallographic structure as the underlying silicon substrate, it is called an epitaxial layer. This is also sometimes called a monocrystalline layer because it has only one crystal structure. Through subsequent deposition, doping, lithography, etch and other processes, these layers are made into integrated circuits, producing from tens to thousands or even millions of integrated devices, depending on the substrate size and the circuits' complexity.
  • One such critical parameter is the substrate temperature during each recipe step.
  • the deposition gases react within particular temperature windows and deposit on the substrate. Different temperatures also result in different deposition rates.
  • a cassette holder assembly for holding a cassette for storing at least one semiconductor material substrate in an interior space accessible from a front end of the cassette, the cassette holder assembly comprising:
  • each of the holding members are mirror symmetrical with respect to a line through the center of the holding member from a back to the front.
  • each of the holding members has at least two end surface to engage with the cassette to limit a position of the cassette in the front to the back direction substantially parallel to the base plate, the at least two end surfaces comprising at least one right surface and at least one left end surface whereby the right end surface is located at the right of the holding member and the left end surface is located at the left of the holding member seen from the front.
  • each of the holding members has at least four end surfaces to engage with the cassette to limit a position of the cassette in a front to back direction substantially parallel to the base plate, a small cassette end surface and a large cassette end surface at each of the right and the left side of the cassette, whereby the small cassette end surface is located towards the front of the base plate with respect to the large cassette end surface to engage with cassettes with a relatively smaller size.
  • each of the holding members has a side surface to engage with the cassette and limit the position of the cassette in a right to left direction substantially parallel to the base plate and substantially perpendicular to the front to back direction.
  • each of the holding members comprises at least two side surfaces, comprising a right side surface and a left side surface wherein the right side surface is located at the right of the holding member and the left side surface is located at the left of the holding member seen from the front.
  • each of the holding members comprise at least two side surfaces comprising a small cassette side surface and a large cassette side surface whereby the small cassette side surface is located towards the front of the base plate with respect to the large cassette side surface to engage with cassettes with a relatively smaller size.
  • a holding member to position a cassette for storing at least one semiconductor material substrate on a base plate in a cassette holder assembly, wherein the holding member has a front and a back and is substantially mirror symmetrical with respect to a line through a center of the holding member from the front to the back.
  • the holding member comprises: at least two substantially parallel end surfaces whereby one end surface is a right end surface located at the right of the holding member and another end surface is a left end surface located at the left of the holding member seen from the front; and,
  • At least two substantially parallel side surfaces whereby one side surface is a right side surface located at the right of the holding member and another side surface is a left side surface located at the left of the holding member seen from the front.

Abstract

The invention relates to a storage apparatus to store cassettes for substrates comprising: a moveable base plate constructed and arranged to hold cassettes; an outer wall provided with an opening to receive and remove the cassettes from the base plate, and a moving device constructed and arranged to move the base plate with respect to the opening. The storage apparatus is provided with a stationary sensor near the opening for detecting at least one of a presence and a correct orientation of a substrate cassette on the base plate at the opening.

Description

STORAGE APPARATUS FOR STORING CASSETTES FOR SUBSTRATES AND
PROCESSING APPARATUS EQUIPPED THEREWITH
CROSS-REFERENCE TO RELATED PATENT APPLICATION
[0001] The present disclosure claims the benefit of U.S. Patent Application No.
15/673,110, filed on August 9, 2017 and entitled "CASSETTE HOLDER ASSEMBLY FOR A SUBSTRATE CASSETTE AND HOLDING MEMBER FOR USE IN SUCH ASSEMBLY," and U.S. Provisional Patent Application No. 62/585,283, filed on November 13, 2017 and entitled "STORAGE APPARATUS FOR STORING CASSETTES FOR SUBSTRATES AND PROCESSING APPARATUS EQUIPPED THEREWITH," which are incorporated herein by reference.
FIELD
[0002] The present invention generally relates to a storage apparatus for storing cassettes for substrates. The invention may also relate to a processing apparatus for processing substrates and provided with such a storage apparatus.
BACKGROUND
[0003] The storage apparatus may be employed in an apparatus used in the manufacture of discrete and integrated semiconductor products on semiconductor material substrates. To transport the substrates, cassettes may be used which may require a storage apparatus.
[0004] Storage apparatus to store cassettes for substrates comprising:
a moveable base plate constructed and arranged to hold a cassette; an outer wall provided with an opening to receive and remove the cassette from the base plate, and
a moving device constructed and arranged to move the base plate with respect to the opening. On the base plate a plurality of cassettes for storing at least one semiconductor material substrate may be stored via the opening in the outer wall. Holding members may be located over and supported by the base plate to position the cassette on the base plate in the correct position. [0005] To detect at least one of a presence and a correct orientation of a substrate cassette on the base plate at the opening, a sensor may be required. Since a large number of cassettes may be stored in the storage apparatus, a large number of sensors may be necessary to detect the presence and correct orientation of the substrate cassette on the base plate. The sensors may need a connection for power and communication with the stationary part of the storage apparatus. A cable feedthrough which allows for movement of the main plate may therefore be required. A drawback of the design with the moveable sensors on the base plates and the cable feed throughs may be that the design may become quite bulky.
BRIEF SUMMARY OF THE INVENTION
[0006] Therefore, it is an object of the invention to provide a storage apparatus with a simplified design of the sensor for detecting at least one of a presence and a correct orientation of a substrate cassette on the base plate.
[0007] Accordingly there is provided a storage apparatus to store cassettes for substrates comprising:
a moveable base plate constructed and arranged to hold a cassette; an outer wall provided with an opening to receive and remove the cassette from the base plate, and
a moving device constructed and arranged to move the base plate with respect to the opening, wherein the storage apparatus is provided with a stationary sensor near the opening to detect at least one of a presence and a correct orientation of the cassette on the base plate at the opening.
[0008] By having the stationary sensor near the opening for detecting at least one of the presence and the correct orientation of a substrate cassette on the base plate near the opening there is no need for wiring in the moving base plate to detect the presence and correct positioning of the cassette. The design of the storage apparatus may thereby be simplified.
[0009] A processing apparatus for the manufacture of semiconductor products may be provided with a storage apparatus in accordance with the invention. Such an apparatus may enable products to be manufactured in large numbers and may be easy to maintain and/or install.
[00010] In addition to the parts necessary for processing the semiconductor substrates, the apparatus may comprise means for arranging the cassette in the storage apparatus or removing the cassette from the storage apparatus. Substrate handlers for arranging substrates in, or removing substrates from, the cassette may also be provided in the apparatus.
[00011] These and other embodiments will become readily apparent to those skilled in the art from the following detailed description of certain embodiments having reference to the attached figures, the invention not being limited to any particular embodiment(s) disclosed.
BRIEF DESCRIPTION OF THE FIGURES
[00012] It will be appreciated that elements in the figures are illustrated for simplicity and clarity and have not necessarily been drawn to scale. For example, the dimensions of some of the elements in the figures may be exaggerated relative to other elements to help improve understanding of illustrated embodiments of the present disclosure.
FIG. 1 shows a schematic horizontal cross section of a processing apparatus.
FIG. 2 is a partly broken away and schematic perspective view of another processing apparatus.
FIG. 3 is a partly broken away perspective view of a storage apparatus for the apparatus of FIGS. 1 or 2.
FIG. 4 is a front view of a cassette holder assembly in accordance with an embodiment.
FIG. 5 is a back view of a cassette holder assembly of FIG. 1 provided with a cassette with substrates having a relatively small size according to an embodiment.
FIG. 6 is a back view of a cassette holder assembly of FIG. 1 provided with a cassette with substrates having a relatively large size according to an embodiment.
FIG. 7 is a top perspective view on a base plate for receiving the cassette and a bottom perspective view on a holding member before mounting on the base plate according to an embodiment.
FIG. 8 depicts a front view on a base plate for holding a cassette for substrates.
FIGS. 9a, 9b and 9c disclose a top, cross-sectional side and bottom view on the bar of FIG. 4 with further details of the moveable element according to an embodiment.
[00013] The figures are not drawn to scale, and particularly the dimensions in the thickness direction are exaggerated for clarity. Corresponding areas bear the same reference numerals whenever possible. DETAILED DESCRIPTION
[00014] FIG. 1 depicts a processing apparatus 1 for processing semiconductor substrates, also designated with the term wafer. These wafers are subjected to a number of treatment steps in order to form a number of integrated circuits on the surface thereof which are later cut from the wafer and further processed.
[00015] It is usual to transport the substrates in cassettes, a number 9 of which is shown in top view in FIG. 1 in the storage apparatus 2. Storage apparatus 2 comprises a moveable base plate by having a rotary table 4 on which two to ten, e.g., six cassettes can be placed on each of three levels, so that this table 4 may, for example, contain a total of eighteen cassettes.
[00016] The storage apparatus 2 is provided with an outer wall 3 provided with an opening functioning as an in-out port for receiving and removing of the cassette from a clean room in which an atmosphere is maintained with a very low dust content. Via the in-out port 5 the cassettes 9 can be placed on the moveable base plate of the table 4.
[00017] As the figure clearly shows, the storage apparatus 2 has in cross section an at least partially regular polygonal periphery, that is, the three walls on the right in FIG. 1 form part of a regular octagon in cross section. The processes stations 10, 11 and 12 to be further described hereinafter also have in cross section an at least partially regular polygonal periphery. The stations 2, 10, 11 and 12 are embodied as separate stations which connect onto other stations at the position of the sides.
[00018] In the apparatus 1 a central station with a substrate handler 8 is provided. This substrate handler 8 can take substrates 13 out of cassettes 9 and place them in wafer carriers in the processing stations 10, 11, 12. After the treatment the substrate handler once again removes the processed substrates from the wafer carrier of the processing station and places them in a following processing station or in an empty cassette 9 rotated into position by means of the rotary main plate 4. The cassettes 9 with processed substrates 13 may be removed from the storage apparatus 2 via the in-out port 6 which may function in the same manner as in-out port 5.
[0001] Each of the treatment stations 10, 11, 12 shown in FIG. 1 may be provided with a rotary table 14 in which three compartments 15 are defined. Each of these compartments is provided with a wafer carrier 16. The rotary table 14 can be moved and arrested in three rotational positions. In each of these positions, one of the wafer carriers is accessible to the robot in the transfer station 8. After loading a wafer carrier 16 into one of the three compartments 15, the rotary table 14 is moved clockwise a third of a turn. The just filled wafer carrier is herein positioned above a lifting device 17 and below an oven. By means of lifting device 17, the filled wafer carrier is raised into the oven where processing of the wafers takes place. When the processing is completed the wafer carrier is once again moved downward into the table 14 which is then moved another third of a turn. Meanwhile the following wafer carrier 16 is filled again and can be placed into the oven using the lifting device 17. The just treated wafers can cool for a time. After a treatment cycle has once again been ended, the rotary table is again rotated through a third of a turn and the wafer carrier 16 with treated and cooled wafers is back in the starting position adjoining the transfer station 8. The treated wafers are then taken out of the wafer carrier and placed for instance in the ready-standing wafer carrier of processing station 11 or in the ready-standing cassettes 9. The operation for the processing stations 11 and 12 is in this case identical.
[00019] The storage apparatus 2 may be combined with two or only one of the processing stations 10, 11 or 12. Other processing stations may be employed instead of the processing stations 10, 11, 12. As a result of the embodiment as separate connectable units having in cross section an at least partially regular polygonal periphery wherein the units connect onto other units at the position of the side of the regular polygonal periphery, a large degree of freedom of construction of a device is possible in accordance with the desired treatments.
[00020] As further shown in FIG. 1, the substrate handler 8 is embodied with a square periphery and the storage apparatus 2 and processing stations 10, 11 and 12 each have a partially regular octagonal periphery with angles of 135 degrees and with a side of a length equal to that of the substrate handler 8. Hereby the storage apparatus 2 and processing stations 10, 11 and 12 may be connected in combination with one substrate handler 8.
[00021] However, due to the construction according to the invention another assembly is also possible. FIG. 2 shows for instance a device consisting of one storage apparatus 21 corresponding to that shown in section in FIG. 1 and two processing stations, each of which may correspond to the processing stations 10, 11 and 12. Here also a substrate handler 22 is arranged. This substrate handler comprises, as described above, a robot 25 which can take the wafers 13 out of the cassettes 9 and place them in the wafer carrier 27 of the processing stations and vice- versa. Robot 25 can be a per se known robot and is mounted on a lifting device so that the operational arm thereof can bridge the height difference between the bottom wafer in the lower cassette and the top wafer in the upper cassette 9. It is otherwise usual that the displacement of the wafers takes place successively from the lowest to the uppermost position, that is, the wafers 13 are taken out of the cassettes 9 or wafer carriers 27 beginning with the bottom one and continuing to the topmost, while these are re-placed once again in the wafer carrier or the cassettes beginning with the topmost and continuing thus to the bottom. This prevents possible dust particles falling onto the underlying wafers.
[00022] As is shown clearly in FIG. 2, each connectable station has in this embodiment a closed casing with closable passage openings in at least one of the sides in the part with the regular polygonal periphery. In this embodiment the processing stations 23, 32 each have one passage opening 26. The storage apparatus 21 is provided with three passage openings 24. The passage openings can be opened and closed using a hatch 29 which is received in guides 30 and which can be moved up and downward by means of a linear actuator, such as, for example, pneumatic cylinder 31. An inflatable seal may be incorporated in the guiding 30. In the closed situation of the hatch 29 this seal is inflated and a closely adhering seal contact is thus obtained. When the hatch 29 has to be moved the inflatable seal may be made pressureless, so that it removes itself from hatch 29. As a result, when the hatch 29 is opened, there is no or only minimal slide contact so that the danger of formation of loose dust particles, which is particularly undesirable in the environment of a device according to the invention, is very small. Also designated schematically in FIG. 2 is the wafer carrier 27 received in the rotary table 28.
[00023] FIG. 3 shows an storage apparatus according to the invention. This apparatus 35 has in cross section a wholly regular octagonal form with equal sides. Storage apparatus 35 may be provided with four passage openings 38 similar to the passage openings 24 of the unit 21 as shown in FIG. 2. The apparatus 35 may comprise a rotary table 36 in which eight cassettes 9 for wafers 13 may be placed on each of three levels. The table 36 may be driven in rotation around a vertical axis and fixed in different rotational positions using a drive device 37 accommodated in a closed compartment of the apparatus 35.
[00024] The apparatus 35 may be provided with its own gas circulation device. This comprises a central gas feed via the shaft 40 of the rotary table which leads to the inner space of a centrally disposed cylindrical filter 39. The gas supplied via shaft 40 flows in horizontal radial direction through this filter 39 in a laminar flow through the cassettes 9. Close to the outer periphery the gas flows upward and is discharged via an outlet 41 arranged in the upper portion of the storage apparatus 35. Due to the thus realized central feed of the gas, all sides of the apparatus 35 are possibly available for connection with other units. The gas circulation may be necessary for purging for maintaining a very low dust particle level in the apparatus.
[00025] FIG. 4 is a front view of a cassette holder assembly for the storage apparatus 2, 35 (in FIGS. 1 and 3) for storing cassettes 9 with substrates 13 in accordance with an embodiment. The cassette holder assembly 61 comprises a moveable base plate 63 provided to the rotary table 4, 36 of FIGS. 1 and 3).
[00026] The cassette holder 61 comprises an equal left holding member 65a and right holding member 65b supported by the moveable base plate 63 to position the cassette on the right R and left L respectively seen from the front F. The left and right holding members 65a, 65b are substantially identical to each other. Each of the holding members 65a, 65b are mirror symmetrical with respect to the line J through the center of the holding members from a back B to the front F.
[00027] An additional holding member in the form of a bar 66 may be provided on the base plate 63 to position the cassette. The bar 66 may be provided with a hole 68 which may be used by a sensor to sense the presence and or correct positioning of a cassette on the cassette holder 61.
[00028] Each of the holding members 65a, 65b may have end surfaces 67LB, 67LF,
67RB, 67RF to engage with the cassette to limit a position of the cassette in the front F to the back B direction substantially parallel to the base plate 63. Each of the holding members may have left end surfaces 67LB, 67LF and right end surfaces 67RB, 67RF. The right end surfaces 67RB, 67RF may be located at the right of the holding member 65a, 65b and the left end surfaces 67LB, 67LF may be located at the left of the holding member seen from the front F. The left end surfaces 67LB, 67LF and the right end surfaces 67RB, 76RF of the holding members 65a, 65b may be substantially parallel.
[00029] The right end surfaces 67RB, 67RF of the right holding member 65b and the left end surfaces 67LB, 67LF of the left holding member 65a may be arranged for engagement with a cassette 69 (see FIGS. 5 and 6). The right end surfaces 67RB, 67RF of the left holding member 65a and the left end surface 67LB, 67LF of the right holding member 65b may not be arranged for engagement with the cassette 9. If there is wear of the holding members on the end surfaces the position of the holding members 65a, 65b may be exchanged so that other end surfaces may be used.
[00030] Cassettes may be available in different sizes which may depend on the size of the substrates and the preferences of the fab owner in which the cassettes are used and the holding member may be constructed to be adaptable for the different sizes. Each of the holding members 65a, 65b may have at least two end surfaces defined as small cassette end surfaces 67RF, 67LF for a cassette 9 for 150mm diameter substrates W (see FIG. 5) and large cassette end surfaces 67RB, 67LB for a cassette 9 for 200mm diameter substrates W (see FIG. 6). The small cassette end surfaces 67RF, 67LF may be located towards the front F of the base plate 63 with respect to the large cassette end surfaces 67RB, 67LB to engage with cassettes with a relatively smaller size.
[00031] The holding members 65a, 65b may have side surfaces 71LF, 71LB, 71RF, 71RB to engage with the cassette 9 and limit the position of the cassette in a right R to left L direction substantially parallel to the base plate 63 and substantially perpendicular to the front F to back B direction. Two side surfaces defined as right side surfaces 71RF, 71RB and left side surface 71LF, 71LB may be provided. The right side surfaces 71RF, 71RB may be located at the right of the holding member seen from the front and the left side surfaces 71LF, 71LB may be located at the left of the holding member 65a, 65b seen from the front F.
[00032] The right side surfaces 71RF, 71RB of the right holding member 65b and the left side surfaces 71LF, 71LB of the left holding member 65a may be arranged for engagement with the cassette 9. The right side surface 71RF, 71RB of the left holding member 65a and the left side surface 71LF, 71FB of the right holding member 65b may be not arranged for engagement with the cassette 9.
[00033] The holding members comprise at least two side surfaces defined as small cassette side surfaces 71RF, 71LF and large cassette side surfaces 71RB, 71LB. The small cassette side surfaces 71RF, 71LF may be located towards the front F of the base plate 63 with respect to the large cassette side surfaces 71RB, 71LB to engage with cassettes 9 with a relatively smaller size (see FIG. 5). The large cassette side surfaces 71RB, 71LB may be located towards the back B of the base plate 63 with respect to the small cassette side surfaces 71RF, 71LF to engage with cassettes 9 with a relatively smaller size (see FIG. 4). [00034] Both of the holding members 65a, 65b may have a side surface to engage with the cassette and limit the position of the cassette in right R to left L opposite directions. The cassette may thereby be positioned in the left to right direction by the holding members 65a, 65b.
[00035] The holding members 65a, 65b may be detachably secured to the base plate 63 by means of a fastener, e.g., a threaded fastener, such as a bolt 75 through a slotted hole 77. The slotted hole 77 may have a direction perpendicular to a line from the front F to the back B for adjustment of the position of the holding member 65a, 65b on the base plate 63.
[00036] FIG. 7 is a top perspective view on the moveable base plate 3 for receiving the cassette and a bottom perspective view on a right holding member 65b for mounting on the base plate 63. FIG. 7 may show the slotted hole 77 with a direction perpendicular to the line J from the front F to the back B of the member 65b. The holding member 65b may be provided with elongated bars 79, 81 which fit in a guide slot 83 and/or a positional slot 85 provided to the base plate 63. Two guide elongated bars 79 may be smaller than the two guide slots 83 in a direction perpendicular to the line J from the front F to the back B so as to allow the holding member 65b to be adjustably secured and guided in that direction on the base plate 63.
[00037] One positional elongated bar 81 may be the same size as the positional slot 85 in a direction substantially perpendicular and a direction substantially parallel to the line J from the front F to the back B. The positional elongated bar 81 and the positional slot 85 may fix the potion of the holding member on the base plate 63 in the left to right and front to back direction if the holding member 65b is mounted on the base plate 63.
[00038] For cassettes that have a size that may deviate a little from the standard size cassettes the distance between the holding members 65a, 65b may need to be adjusted a little. For small adjustments in the distance between the holding members 65a, 65b in the left to right direction the positional elongated bar 81 may be (partially) removable. For example, the top of the bar 81 may be cut off so as to allow the holding member 65b to be adjustably secured in left to right direction on the base plate 63. The guide elongated bars 79 which may be smaller than the guide slot 83 in the left to right direction allow the holding member 65b to be adjustably secured in that direction. In the front to back direction the guide elongated bars 79 may still fix the holding member 65b on the base plate 63. By means of a fastener, such as bolt 75 (of FIG. 4), engaging with threaded hole 89 through the slotted hole 77, the holding members may be secured. [00039] As shown in FIG. 7 the holding member 65b may be mirror symmetrical with respect to the line J through a center of the holding member from the front F to the back B. The symmetry in the design of the holding members 65a, 65b may assure that the same holding member 65a, 65b may be used on the right as the left on the base plate 63.
[00040] The holding member 65a may comprise at least two, for example, four, substantially parallel end surfaces 67LB, 67LF, 67RB, 67RF (see FIG. 4). Two end surfaces may be right end surfaces 67RB, 67RF located at the right of the holding member seen from the front F and the other two end surface may be left end surfaces 67LB, 67LF located at the left of the holding member seen from the front. The end surfaces 67LB, 67LF, 67RB, 67RF may be substantially parallel to each other. Further the holding member 65a may comprise at least two, for example, four, substantially parallel side surfaces 71LF, 71LB, 71RF, 71RB. Two side surfaces may be right side surfaces 71RF, 71RB located at the right of the holding member and the other two side surfaces may be left side surfaces 71LF, 71LB located at the left of the holding member seen from the front. The side surfaces may be substantially parallel to each other.
[00041] The side surfaces of the holding member 65a may be perpendicular to the end surfaces. The side surface may be intersecting with an end surface. The holding member 65a may have at least one guide surface 87 bordering with side and/or end surfaces. The guide surface 87 may have an angle between 15 to 75 degrees with the end or side surface.
[00042] The holding member 65a may be provided with a slotted hole 77 for the fastener and wherein the slotted hole 77 has a direction perpendicular to a line from the front F to the back B of the holding member. The holding member 65a may be provided with at least one elongated bar extending downward from the bottom surface of the member.
[00043] The holding members 65a, 65b may be injection molded. The holding member may comprise a polymer. For example, the holding member may comprise an acrylonitrile butadiene styrene material because of its strength and flexibility.
[00044] The holding member 65a, can be readily manufactured by virtue of the symmetry between the left and the right side seen from the front F. It is also easier to use because there only the need to use one fastener, e.g., bolt 75 to mount the holding member 65a on the base surface 63. [00045] The holding member may be made from plastics or metals. The metal may be aluminum or steel. The plastic may be acrylonitrile butadiene styrene (ABS), polypropylene (PP) or polyethylene (PE). These materials may have a low coefficient of friction as well as other favorable properties, such as a good process ability. In addition, the material may be stable with respect to cleaning agents so that it can be properly cleaned.
[00046] The dimensions of the cassette holder 61 may be adapted to the dimensions of the cassette 9, which is a box-shaped body, with a front side which is open, the dimensions of which may be determined by the number and the diameter, for example, 200 mm, of the substrates W to be arranged therein. The base plate 63 may have a thickness between 0.2 and 4 mm, preferably between 0.3 and 3 mm and may be made from steel provided with holes to secure the cassette holders 65a and 65b to the apparatus of which the cassette holder 61 forms part.
[00047] The height dimension of the cassette holder members 65a, 65b may be 5 to 25 mm. For the cassette holders 65a and 65b a polymer, such as acrylonitrile butadiene styrene, polypropylene or polyethylene may be used which may have the advantage that, during operation, no metal or dust particles are formed which may be detrimental to a production process of, for example, ICs. Further materials that may be used for the cassette holders 65a and 65b may be aluminum or steel.
[00048] The cassette holder may be used in an apparatus for processing semiconductor substrates to transport the substrates to and from the apparatus. In such an apparatus, for example, a high-temperature furnace, a reaction chamber may be used to create fine dimension structures, such as integrated circuits, on a semiconductor substrate.
[00049] FIG. 8 depicts a front view on a moveable base plate 63 for holding a cassette for substrates in a storage apparatus 2 (of FIG. 1). The cassette 9 that is shown is held by the cassette holder of a lower moveable base plate (not depicted). The storage apparatus is provided with an outer wall provided with an opening 5, 6 for receiving and removing of the cassette 9 from the moveable base plate.
[00050] A moving device constructed and arranged for moving the base plate and the cassettes thereon may be provided. For example, in the form of the drive device 37 (e.g., rotational motor) in FIG. 3. The moving device may move the cassette on the base plate 63 towards or away from the opening. [00051] The storage apparatus 1 may be provided with a stationary sensor 91 (see FIG. 8) near the opening for detecting at least one of a presence and a correct orientation of a substrate cassette on the moveable base plate 63 at the opening. The sensor 91 may be mounted on the inside of the outer wall of the storage apparatus or it may be mounted on a stationary frame part of the apparatus. The sensor 91 may be an optical sensor for optically detecting at least one of a presence and a correct orientation of a substrate cassette on the base plate 63. The base plate 63 may have a movable element 93 positioned to be contacted and moveable by said cassette when said cassette is placed in a correct orientation on said moveable base plate 63.
[00052] An indicator 95 may be moveable by the moveable element 93 for indicating that said cassette is present in said correct orientation. The indicator 95 may be constructed and arranged within a viewing angle of the optical sensor 91 for indicating the presence and correct orientation of a substrate cassette on the base plate to the optical sensor. The indicator 95 may be a reflective device, such as a mirror reflecting a radiation beams from the sensor 91 back to the sensor 91. The (optical) sensor 91 may have a radiation source for directing a beam of radiation (e.g., a laser beam of 640 nm) towards the mirror and a sensor to detect a reflection of the radiation beam. Since the base plate 63 is moveable and the moveable element 93 and indicator 95 are connected to the base plate they may be moveable as well. The sensor is stationary and the storage apparatus may be constructed and arranged that different indicators 95 may be moved in front of the sensor 91 by movement of the base plate 63 near the in-out port. This may have the advantage that only one sensor 91 is necessary to measure the presence and/or correct placement of cassettes on a plurality of base plates. Further there may be no need to provide electric cables to the moveable components of the storage apparatus since all the active components are provided to the stationary parts of the storage apparatus. The moveable base plate is only provided with passive components, such as the moveable element 93 and indicator 95.
[00053] FIGS. 9a to 9c disclose a top, cross-sectional side and bottom view on the bar 66 of FIG. 4 with further details of the moveable element 93 according to an embodiment. The movable element 93 may have a pivotable arm 97 and the bar 66 may be provided with a pivot 99 for pivotably mounting said pivotable arm 97 underneath the base plate 63. The indicator 95 may be provided on one end of said arm, said indicator being moveable upon pivoting of said arm indicating that said cassette is in said correct orientation. The pivotable arm may have a counterweight 98 and a stop on the other end of the arm, the stop limiting the movement of said moveable element and the counterweight pushing the moveable element against the cassette. The base plate 63 may have a hole and said movable element 93 may have a pin 100 for cooperation with the cassette and moveably configured in said hole. The bar 66 may be provided with a hole 68 and the pin may be moveable through the hole. The bar may be partly configured and arranged within an opening of the base plate 63. In this configuration the moveable element 93 may be provided at a lower side of the base plate 63.
[00054] The storage apparatus may be provided with walls 70, 72, (see FIG. 4) extending upward from the baseplate 63 leaving one side open at the front F for access to the base plate 63 with cassettes. A wall 72 opposite the open site may be provided with holes for purging the cassettes, so as to remove particles from the cassettes. The baseplate may have a horizontal plane for receiving the cassettes and the apparatus may be constructed and arranged with the baseplate moveable rotationally in a horizontal direction around a vertical axis of rotation. The walls may be extending upward from the baseplate 63 and defining a isosceles trapezoid shape on the base plate.
[00055] The walls 70, 72, and the base plate 63 may be constructed with sheet metal provided with L shaped slotted holes or straight slotted holes. The walls 70, 72, and the base plate 63 may also be provided with L shaped lips. The L shaped lips may be fitting in the L shaped slotted holes or straight slotted holes. After bending of the lip sticking through the L shaped slotted holes or the straight slotted holes a secure connection between the walls 70, 72, and the base plate 63 may be provided. By providing this secure connection multiple times between each of the walls 70, 72, and between at one or each of the walls 70, 72 and the base plate 63 a rigid construction of the carousel is created with simply using sheet metal.
[00056] The optical sensor 91 may be a camera constructed and arranged to have a cassette on the base plate 63 near the opening at the in-out port within a viewing angle of the camera for detecting at least one of a presence and a correct orientation of a substrate cassette on the moveable base plate.
[00057] The storage apparatus 2 (of FIG. 1.) may have a computer operable connected with the camera and provided with a processor and a memory, wherein the memory is provided with machine vision software for detecting at least one of a presence and a correct orientation of the substrate cassette on the base plate. [00058] The storage apparatus 2 may be part of the processing apparatus 1 for processing substrates and may have a processing device for processing substrates. A substrate handler may be provided for moving substrates from the cassettes to the processing device and for moving the substrates from the processing device to the cassettes after processing. The processing device may have a reactor with a reaction chamber for processing a plurality of substrates.
[00059] Several substrates, such as silicon wafers, may be placed on a substrate rack or boat inside the reactor. Alternatively, a single substrate may be placed on a substrate susceptor inside the reactor. Both the substrate and the rack or boat may be heated to a desired temperature. In a typical substrate treatment step, reactant gases are passed over the heated substrate, causing the deposition of a thin layer of the reactant material or reactants of the gases on the substrate.
[00060] A series of such treatment steps on a substrate is called a recipe. If the deposited layer has the same crystallographic structure as the underlying silicon substrate, it is called an epitaxial layer. This is also sometimes called a monocrystalline layer because it has only one crystal structure. Through subsequent deposition, doping, lithography, etch and other processes, these layers are made into integrated circuits, producing from tens to thousands or even millions of integrated devices, depending on the substrate size and the circuits' complexity.
[00061] Various process parameters are carefully controlled to ensure the high quality of the resulting layers. One such critical parameter is the substrate temperature during each recipe step. During CVD, for example, the deposition gases react within particular temperature windows and deposit on the substrate. Different temperatures also result in different deposition rates.
[00062] The particular implementations shown and described are illustrative of the invention and its best mode and are not intended to otherwise limit the scope of the aspects and implementations in any way. Indeed, for the sake of brevity, conventional manufacturing, connection, preparation, and other functional aspects of the system may not be described in detail. Furthermore, the connecting lines shown in the various figures are intended to represent exemplary functional relationships and/or physical couplings between the various elements. Many alternative or additional functional relationship or physical connections may be present in the practical system, and/or may be absent in some embodiments. [00063] While specific embodiments of the invention have been described above, it will be appreciated that the invention may be practiced otherwise than as described. For example, as described in the following numbered clauses:
[00064] 1. A cassette holder assembly for holding a cassette for storing at least one semiconductor material substrate in an interior space accessible from a front end of the cassette, the cassette holder assembly comprising:
a base plate for receiving the cassette; and,
a right and a left holding member supported by the base plate to position the cassette on the right and left respectively seen from the front; wherein the right and left holding members are substantially identical to each other.
[00065] 2. The cassette holder assembly according to clause 1, wherein each of the holding members are mirror symmetrical with respect to a line through the center of the holding member from a back to the front.
[00066] 3. The cassette holder assembly according to clause 1, wherein each of the holding members has at least two end surface to engage with the cassette to limit a position of the cassette in the front to the back direction substantially parallel to the base plate, the at least two end surfaces comprising at least one right surface and at least one left end surface whereby the right end surface is located at the right of the holding member and the left end surface is located at the left of the holding member seen from the front.
[00067] 4. The cassette holder assembly according to clause 3, wherein the right end surface of the right holding member and the left end surface of the left holding member are arranged for engagement with the cassette.
[00068] 5. The cassette holder assembly according to clause 4, wherein the right end surface of the left holding member and the left end surface of the right holding member are not arranged for engagement with the cassette.
[00069] 6. The cassette holder assembly according to clause 3, wherein each of the holding members has at least four end surfaces to engage with the cassette to limit a position of the cassette in a front to back direction substantially parallel to the base plate, a small cassette end surface and a large cassette end surface at each of the right and the left side of the cassette, whereby the small cassette end surface is located towards the front of the base plate with respect to the large cassette end surface to engage with cassettes with a relatively smaller size. [00070] 7. The cassette holder assembly according to clause 1, wherein each of the holding members has a side surface to engage with the cassette and limit the position of the cassette in a right to left direction substantially parallel to the base plate and substantially perpendicular to the front to back direction.
[00071] 8. The cassette holder assembly according to clause 7, wherein each of the holding members comprises at least two side surfaces, comprising a right side surface and a left side surface wherein the right side surface is located at the right of the holding member and the left side surface is located at the left of the holding member seen from the front.
[00072] 9. The cassette holder assembly according to clause 8, wherein the right side surface of the right holding member and the left side surface of the left holding member are arranged for engagement with the cassette.
[00073] 10. The cassette holder assembly according to clause 9, wherein the right side surface of the left holding member and the left side surface of the right holding member are not arranged for engagement with the cassette.
[00074] 11. The cassette holder assembly according to clause 7, wherein each of the holding members comprise at least two side surfaces comprising a small cassette side surface and a large cassette side surface whereby the small cassette side surface is located towards the front of the base plate with respect to the large cassette side surface to engage with cassettes with a relatively smaller size.
[00075] 12. The cassette holder assembly according to clause 1, wherein both of the holding members have a side surface to engage with the cassette and limit the position of the cassette in right to left opposite directions.
[00076] 13. The cassette holder assembly according to clause 1, wherein at least one of the holding members is detachably secured to the base plate by means of a fastener through a slotted hole and wherein the slot has a direction perpendicular to a line from the front to the back.
[00077] 14. The cassette holder assembly according to clause 1, wherein the holding member is provided with at least one elongated bar extending from the bottom surface of the holding member and constructed to fit in a slot provided to the base plate. [00078] 15. The cassette holder assembly according to clause 14, wherein the at least one elongated bar is smaller than the slot in a direction perpendicular to a line from the front to the back so as to allow the holding member to be adjustably secured in that direction.
[00079] 16. The cassette holder assembly according to clause 14, wherein the at least one elongated bar is the same size as the slot in a direction substantially perpendicular to a line from the front to the back and is at least partially removable so as to allow the holding member to be adjustably secured in that direction.
[00080] 17. A holding member to position a cassette for storing at least one semiconductor material substrate on a base plate in a cassette holder assembly, wherein the holding member has a front and a back and is substantially mirror symmetrical with respect to a line through a center of the holding member from the front to the back.
[00081] 18. The holding member according to clause 17, wherein the holding member comprises: at least two substantially parallel end surfaces whereby one end surface is a right end surface located at the right of the holding member and another end surface is a left end surface located at the left of the holding member seen from the front; and,
at least two substantially parallel side surfaces whereby one side surface is a right side surface located at the right of the holding member and another side surface is a left side surface located at the left of the holding member seen from the front.
[00082] 19. The holding member according to clause 18, wherein the side surfaces are perpendicular to the end surfaces and at least one of the side surfaces intersects with at least one of the end surfaces.
[00083] 20. The holding member according to clause 17, wherein the holding member is provided with a slotted hole for a fastener and wherein the slot has a direction perpendicular to a line from the front to the back of the holding member.
[00084] 21. The holding member according to clause 17, wherein the holding member is provided with at least one elongated bar extending from the bottom surface of the holding member.
[00085] 22. The holding member according to clause 17, wherein the holding member comprises an injection molded polymer material.
[00086] It is to be understood that the configurations and/or approaches described herein are exemplary in nature, and that these specific embodiments or examples are not to be considered in a limiting sense, because numerous variations are possible. The specific routines or methods described herein may represent one or more of any number of processing strategies. Thus, the various acts illustrated may be performed in the sequence illustrated, in other sequences, or omitted in some cases.
[00087] The subject matter of the present disclosure includes all novel and nonobvious combinations and sub combinations of the various processes, systems, and configurations, and other features, functions, acts, and/or properties disclosed herein, as well as any and all equivalents thereof.

Claims

WHAT IS CLAIMED IS:
1. A storage apparatus to store cassettes for substrates comprising:
a moveable base plate constructed and arranged to hold cassettes;
an outer wall provided with an opening to receive and remove cassettes from the base plate; and,
a moving device constructed and arranged to move the base plate with respect to the opening, wherein the storage apparatus is provided with a stationary sensor near the opening to detect at least one of a presence and a correct orientation of the cassettes on the base plate at the opening.
2. The storage apparatus according to claim 1, wherein the sensor is an optical sensor to optically detect the presence and the correct orientation of the cassettes on the base plate.
3. The storage apparatus according to claim 2, wherein the base plate comprises:
a movable element positioned to be contacted and moveable by a cassette when said cassette is present in the correct orientation on said base plate; and an indicator being moveable by the moveable element and indicating that said cassette is present in said correct orientation, wherein said indicator is within a viewing angle of the optical sensor to indicate the presence and correct orientation of the cassette on the base plate to the optical sensor.
4. The storage apparatus according to claim 3, wherein the movable element comprises a pivotable arm and the base plate is provided with a pivot to pivotably mount said pivotable arm to the base plate.
5. The storage apparatus according to claim 4, wherein the pivotable arm comprises an indicator, said indicator being moveable upon pivoting of said arm indicating that said cassette is in said correct orientation.
6. The storage apparatus according to claim 5, wherein the pivotable arm comprises a counterweight to push the moveable element against the cassette.
7. The storage apparatus according to claim 3, wherein said base plate is provided with a hole therein, and said movable element comprises a pin to cooperate with the cassette and moveably configured in said hole.
8. The storage apparatus according to claim 3, wherein said moveable element comprises a stop, said stop limiting the movement of said moveable element.
9. The storage apparatus according to claim 3, wherein the moveable element is provided at a lower side of the base plate.
10. The storage apparatus according to claim 3, wherein the indicator comprises a reflector and the optical sensor comprises a radiation source to direct a beam of radiation towards the reflector and a sensor to detect a reflection of the radiation beam.
11. The storage apparatus according to claim 1, wherein the baseplate is provided with holding members to position the cassettes on the base plate.
12. The storage apparatus according to claim 1, wherein walls are provided to the base plate extending upward from the baseplate leaving one side open for access to the base plate.
13. The storage apparatus according to claim 12, wherein a wall opposite the open side is provided with holes to purge the cassettes.
14. The storage apparatus according to claim 12, wherein the walls define an isosceles trapezoid shape on the base plate.
15. The storage apparatus according to claim 1, wherein the baseplate comprises a plurality of areas to hold the cassettes.
16. The storage apparatus according to claim 1, wherein the baseplate has a horizontal plane for receiving the cassettes and the apparatus is constructed and arranged with the baseplate moveable in a horizontal direction.
17. The storage apparatus according to claim 1, wherein the optical sensor is a camera constructed and arranged to have the cassettes on the base plate near the opening within a viewing angle of the camera to detect at least one of the presence and the correct orientation of the cassettes on the base plate.
18. The storage apparatus according to claim 17, wherein the apparatus is provided with a computer operable connected with the camera and provided with a processor and a memory, wherein the memory is provided with machine vision software for detecting at least one of the presence and the correct orientation of the cassettes on the base plate.
19. Processing apparatus for processing substrates comprising:
a storage apparatus to store cassettes according to claim 1;
a processing device to process substrates; and,
a substrate handler constructed and arranged to move substrates from the cassettes to the processing device and to move the substrates from the processing device to the cassettes after processing.
20. The processing apparatus for processing substrates according to claim 19, wherein the processing device comprises a reactor with a reaction chamber for processing a plurality of substrates.
PCT/IB2018/001003 2017-08-09 2018-08-07 Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith WO2019030565A1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2020506161A JP7187536B2 (en) 2017-08-09 2018-08-07 Storage device for storing cassettes for substrates and processing device provided therewith
US16/637,134 US11139191B2 (en) 2017-08-09 2018-08-07 Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR1020207003399A KR102621997B1 (en) 2017-08-09 2018-08-07 Storage device for storing cassettes for substrates and processing device equipped therewith
CN201880050978.5A CN110998817B (en) 2017-08-09 2018-08-07 Storage apparatus for storing cartridges for substrates and process apparatus equipped therewith
US17/470,048 US11769682B2 (en) 2017-08-09 2021-09-09 Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
JP2022190295A JP7457088B2 (en) 2017-08-09 2022-11-29 A storage device for storing cassettes for substrates and a processing device equipped with the same

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/673,110 2017-08-09
US15/673,110 US10249524B2 (en) 2017-08-09 2017-08-09 Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US201762585283P 2017-11-13 2017-11-13
US62/585,283 2017-11-13

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US15/673,110 Continuation-In-Part US10249524B2 (en) 2017-08-09 2017-08-09 Cassette holder assembly for a substrate cassette and holding member for use in such assembly

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US16/637,134 A-371-Of-International US11139191B2 (en) 2017-08-09 2018-08-07 Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US17/470,048 Continuation US11769682B2 (en) 2017-08-09 2021-09-09 Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith

Publications (1)

Publication Number Publication Date
WO2019030565A1 true WO2019030565A1 (en) 2019-02-14

Family

ID=63762564

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/IB2018/001003 WO2019030565A1 (en) 2017-08-09 2018-08-07 Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith

Country Status (5)

Country Link
JP (2) JP7187536B2 (en)
KR (1) KR102621997B1 (en)
CN (1) CN110998817B (en)
TW (2) TWI813430B (en)
WO (1) WO2019030565A1 (en)

Cited By (246)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959168B2 (en) 2021-04-26 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1197990A2 (en) * 2000-09-27 2002-04-17 Asm International N.V. Wafer handling system

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3067325B2 (en) * 1991-10-17 2000-07-17 神鋼電機株式会社 Airtight stocker for clean room
JPH09221203A (en) * 1996-02-19 1997-08-26 Hitachi Ltd Automatic conveying system
NL1009327C2 (en) 1998-06-05 1999-12-10 Asm Int Method and device for transferring wafers.
JP4096213B2 (en) * 1998-07-17 2008-06-04 株式会社安川電機 Wafer transfer device
JP2002098586A (en) * 2000-09-27 2002-04-05 Sunx Ltd Reflective sensor
US6990380B2 (en) * 2000-12-27 2006-01-24 Tokyo Electron Limited Substrate processing apparatus and information storage apparatus and method
US7031792B2 (en) * 2001-04-04 2006-04-18 Tokyo Electron Limited Processing apparatus and information storage apparatus and method
JP2003007800A (en) * 2001-06-21 2003-01-10 Hitachi Kokusai Electric Inc Substrate treatment device and method of manufacturing semiconductor device
JP2003060011A (en) * 2001-08-08 2003-02-28 Tokyo Electron Ltd Substrate conveyance apparatus and substrate treatment system
US20030110649A1 (en) 2001-12-19 2003-06-19 Applied Materials, Inc. Automatic calibration method for substrate carrier handling robot and jig for performing the method
JP4010891B2 (en) * 2002-07-03 2007-11-21 Necエレクトロニクス株式会社 Semiconductor wafer transfer method
JP2005011966A (en) * 2003-06-18 2005-01-13 Dainippon Screen Mfg Co Ltd Substrate transporting device, substrate processing device, conveyance reference position teaching method and sensor jig used for them
JP4849804B2 (en) * 2004-09-28 2012-01-11 日本電産サンキョー株式会社 Robot operation method
US8322533B2 (en) * 2007-07-11 2012-12-04 Shin-Etsu Polymer Co., Ltd. Lid body for substrate storage container and substrate storage container
JP2009076856A (en) * 2007-08-28 2009-04-09 Dainippon Screen Mfg Co Ltd Substrate-treating equipment
JP2009267153A (en) 2008-04-25 2009-11-12 Hitachi Kokusai Electric Inc Substrate processing apparatus and method for manufacturing semiconductor device
CN101533796B (en) * 2009-03-26 2010-12-08 上海微电子装备有限公司 Control system for silicone chip transmission and method
JP2011140366A (en) * 2010-01-06 2011-07-21 Muratec Automation Co Ltd Conveying vehicle system
JP5785712B2 (en) * 2010-12-28 2015-09-30 株式会社日立ハイテクノロジーズ Vacuum processing equipment
CN102394226A (en) * 2011-10-19 2012-03-28 东莞宏威数码机械有限公司 Solar panel delivery and cache equipment
JP5913572B2 (en) 2012-04-16 2016-04-27 ローツェ株式会社 Storage container, shutter opening / closing unit of storage container, and wafer stocker using them
JP6009832B2 (en) * 2012-06-18 2016-10-19 株式会社Screenホールディングス Substrate processing equipment
JP5689096B2 (en) * 2012-08-10 2015-03-25 東京エレクトロン株式会社 Substrate transfer apparatus, substrate transfer method, and substrate transfer storage medium
CN103904008B (en) * 2014-03-20 2016-08-17 上海华力微电子有限公司 A kind of dynamic pickup structure of the mechanical arm of semiconductor equipment

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1197990A2 (en) * 2000-09-27 2002-04-17 Asm International N.V. Wafer handling system

Cited By (300)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2021-04-26 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process

Also Published As

Publication number Publication date
TW202249157A (en) 2022-12-16
CN110998817B (en) 2023-11-10
TWI813430B (en) 2023-08-21
CN110998817A (en) 2020-04-10
TW201923942A (en) 2019-06-16
KR102621997B1 (en) 2024-01-08
TWI778102B (en) 2022-09-21
JP7187536B2 (en) 2022-12-12
JP7457088B2 (en) 2024-03-27
KR20200033870A (en) 2020-03-30
JP2020529740A (en) 2020-10-08
JP2023014191A (en) 2023-01-26

Similar Documents

Publication Publication Date Title
US11139191B2 (en) Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
WO2019030565A1 (en) Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
JP4451854B2 (en) Vertical heat treatment apparatus and control method of transfer mechanism in vertical heat treatment apparatus
US6247245B1 (en) Processing unit for substrate manufacture
US9368380B2 (en) Substrate processing device with connection space
US20100111650A1 (en) Automatic substrate loading station
US7547209B2 (en) Vertical heat treatment system and automatic teaching method for transfer mechanism
US7896648B2 (en) Vertical heat processing apparatus and heat processing method using the vertical heat processing apparatus
KR100946994B1 (en) Substrate processing apparatus and manufacturing method for semiconductor devices
JP2005533385A (en) Equipment for loading and unloading in coating equipment
US11769682B2 (en) Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR101826928B1 (en) Plating apparatus, plating method, stocker and method of converting attitude of substrate holder
KR102058985B1 (en) Load station
KR20220031702A (en) High Density Substrate Processing Systems and Methods
US10991601B2 (en) Apparatus and method for treating substrate
KR20060085988A (en) Apparatus for processing a substrate
JP2857232B2 (en) Wafer transfer device and vertical heat treatment device
JP2023044661A (en) End effector and substrate processing apparatus including end effector
KR20050117119A (en) Vertical lpcvd

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 18782505

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2020506161

Country of ref document: JP

Kind code of ref document: A

ENP Entry into the national phase

Ref document number: 20207003399

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 18782505

Country of ref document: EP

Kind code of ref document: A1