TW201923942A - Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith - Google Patents

Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith Download PDF

Info

Publication number
TW201923942A
TW201923942A TW107124663A TW107124663A TW201923942A TW 201923942 A TW201923942 A TW 201923942A TW 107124663 A TW107124663 A TW 107124663A TW 107124663 A TW107124663 A TW 107124663A TW 201923942 A TW201923942 A TW 201923942A
Authority
TW
Taiwan
Prior art keywords
cassette
bottom plate
storage device
cassettes
processing
Prior art date
Application number
TW107124663A
Other languages
Chinese (zh)
Other versions
TWI778102B (en
Inventor
亞德里安 加爾森
艾德溫 德恩 哈托 貝瑟林克
Original Assignee
荷蘭商Asm智慧財產控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/673,110 external-priority patent/US10249524B2/en
Application filed by 荷蘭商Asm智慧財產控股公司 filed Critical 荷蘭商Asm智慧財產控股公司
Publication of TW201923942A publication Critical patent/TW201923942A/en
Application granted granted Critical
Publication of TWI778102B publication Critical patent/TWI778102B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection

Abstract

The invention relates to a storage apparatus to store cassettes for substrates comprising: a moveable base plate constructed and arranged to hold cassettes; an outer wall provided with an opening to receive and remove the cassettes from the base plate, and a moving device constructed and arranged to move the base plate with respect to the opening. The storage apparatus is provided with a stationary sensor near the opening for detecting at least one of a presence and a correct orientation of a substrate cassette on the base plate at the opening.

Description

用於儲存基板用之卡匣的儲存設備及備有其之處理設備 Storage equipment for storing cassettes for substrates and processing equipment provided with same 〔相關專利申請案之交叉參考〕 [Cross-reference to related patent applications]

本發明主張於2017年8月9日申請、名稱為「CASSETTE HOLDER ASSEMBLY FOR A SUBSTRATE CASSETTE AND HOLDING MEMBER FOR USE IN SUCH ASSEMBLY」的美國專利申請案第15/673,110號及於2017年11月13日申請、名稱為「STORAGE APPARATUS FOR STORING CASSETTES FOR SUBSTRATES AND PROCESSING APPARATUS EQUIPPED THEREWITH」之美國臨時專利申請案第62/585,283之權益,其內容以引用方式併於本文中。 The present invention claims U.S. Patent Application No. 15 / 673,110, filed on August 9, 2017 and entitled `` CASSETTE HOLDER ASSEMBLY FOR A SUBSTRATE CASSETTE AND HOLDING MEMBER FOR USE IN SUCH ASSEMBLY '' and filed on November 13, 2017 And the rights of US Provisional Patent Application No. 62 / 585,283 entitled "STORAGE APPARATUS FOR STORING CASSETTES FOR SUBSTRATES AND PROCESSING APPARATUS EQUIPPED THEREWITH", the contents of which are incorporated herein by reference.

本發明主要有關於用於儲存基板用之卡匣的儲存設備。本發明亦可關於用於處理基板並設有這種儲存設備的處理設備。 The invention mainly relates to a storage device for a cassette for storing a substrate. The present invention also relates to a processing apparatus for processing a substrate and provided with such a storage apparatus.

在用於製造半導體材料基板上之離散及積體半導體產品時所用的設備中可採用儲存設備。欲運送基板,可使用卡匣,而其會需要儲存設備。 Storage equipment can be used in the equipment used to manufacture discrete and integrated semiconductor products on semiconductor material substrates. To transport the substrate, a cassette can be used, which will require storage equipment.

用於儲存基板卡匣的儲存設備包含:一可移動式底板,其建構並配置成固定卡匣; 設有供放置及自底板拿出卡匣的開口之外壁;建構並配置成相對於開口移動底板之移動裝置。在底板上,可透過外壁的開口儲放用於儲存至少一個半導體材料基板之複數個卡匣。可在底板上方設有固定件並由底板支撐,以將卡匣定位在底板上的正確位置。 The storage device for storing the substrate cassette includes: a movable bottom plate constructed and configured as a fixed cassette; An opening outer wall is provided for placing and taking out the cassette from the bottom plate; a moving device constructed and configured to move the bottom plate relative to the opening. On the bottom plate, a plurality of cassettes for storing at least one semiconductor material substrate can be stored through openings in the outer wall. A fixing member can be provided above the bottom plate and supported by the bottom plate to position the cassette in the correct position on the bottom plate.

欲在開口偵測底板上一基板卡匣之存在及正確定向的至少一者,可能需要感測器。由於可能在儲存設備中儲存大量的卡匣,可能需要大量的感測器來偵測底板上之基板卡匣的存在及正確定向。感測器可能需要電源連結以及與儲存設備的固定部件通訊。因此可能需要一條電纜饋通(feedthrough)來允許主板的移動。具有在底板上之可移動式感測器及電纜饋通之設計的一個缺點可能為該設計可能變得非常龐大。 A sensor may be needed to detect at least one of the presence and correct orientation of a substrate cassette on the bottom plate in the opening. Since a large number of cassettes may be stored in the storage device, a large number of sensors may be required to detect the presence and correct orientation of the substrate cassette on the bottom plate. The sensor may require a power connection and communication with fixed parts of the storage device. Therefore, a cable feedthrough may be required to allow the motherboard to move. One disadvantage of a design with a movable sensor and cable feedthrough on the backplane may be that the design can become very bulky.

因此,本發明之一目的在於提供具有簡化的感測器設計之儲存設備,以偵測在底板上的基板卡匣之存在及正確定向的至少一者。 Therefore, an object of the present invention is to provide a storage device with a simplified sensor design to detect at least one of the existence and correct orientation of a substrate cassette on a bottom plate.

依此,提供一種儲存基板用之卡匣的儲存設備,其包含:一可移動式底板,其建構並配置成固定卡匣;設有供放置及自底板拿出卡匣的開口之外壁;建構並配置成相對於開口移動底板之移動裝置,其中儲存設備在開口附近設有靜止的感測器,以偵測在開口於底板上的卡匣之存在及正確定向的至少一者。 Accordingly, a storage device for a cassette for storing a substrate is provided, which includes: a movable bottom plate constructed and configured as a fixed cassette; an outer wall provided with an opening for placing and taking out the cassette from the bottom plate; The mobile device is configured to move the bottom plate relative to the opening. The storage device is provided with a static sensor near the opening to detect at least one of the presence and correct orientation of the cassette on the bottom plate.

藉由使靜止的感測器在開口附近以偵測在開口於底板上的基板卡匣之存在及正確定向的至少一者,無須為了偵測卡匣之存在及正確定位而移動底板用之電線。可藉此簡化儲存設備的設計。 By having a stationary sensor near the opening to detect at least one of the presence and correct orientation of the substrate cassette on the base plate, it is not necessary to move the wires for the base plate in order to detect the presence of the cassette and correctly position . This can simplify the design of storage equipment.

一種用於製造半導體產品的處理設備可設有根據本發明的儲存 設備。這種設備可使產品被大量製造並易於維持及/或安裝。 A processing device for manufacturing a semiconductor product may be provided with a storage according to the present invention device. Such equipment allows products to be manufactured in large quantities and easy to maintain and / or install.

除了處理半導體基板所需之部件外,設備可包含用於配置卡匣於儲存設備中或從儲存設備移除卡匣的手段。亦可在設備中設有用於將基板配置於卡匣中或從卡匣移除基板的基板處置器。 In addition to the components required to process the semiconductor substrate, the device may include a means for arranging or removing the cassette in the storage device. A substrate handler for arranging a substrate in a cassette or removing a substrate from the cassette may also be provided in the apparatus.

此等及其他具體例將自以下參考附圖的某些具體例之詳細描述而對熟悉本技藝者變得顯而易見,但本發明並不受限於所揭示之任何特定具體例。 These and other specific examples will become apparent to those skilled in the art from the following detailed description of some specific examples with reference to the accompanying drawings, but the present invention is not limited to any specific specific examples disclosed.

1‧‧‧處理設備 1‧‧‧treatment equipment

2‧‧‧儲存設備 2‧‧‧Storage Equipment

3‧‧‧外壁 3‧‧‧ outer wall

4‧‧‧旋轉桌 4‧‧‧ rotating table

5‧‧‧出入口 5‧‧‧ entrance

6‧‧‧出入口 6‧‧‧ entrance

8‧‧‧基板處置器 8‧‧‧ substrate handler

9‧‧‧卡匣 9‧‧‧ Cassette

10‧‧‧處理站 10‧‧‧processing station

11‧‧‧處理站 11‧‧‧processing station

12‧‧‧處理站 12‧‧‧processing station

13‧‧‧晶圓 13‧‧‧ wafer

14‧‧‧旋轉桌 14‧‧‧ rotating table

15‧‧‧隔間 15‧‧‧ compartment

16‧‧‧晶圓載件 16‧‧‧ Wafer Carrier

17‧‧‧上升裝置 17‧‧‧lifting device

21‧‧‧儲存設備 21‧‧‧Storage Equipment

22‧‧‧基板處置器 22‧‧‧Substrate Disposer

23‧‧‧處理站 23‧‧‧processing station

24‧‧‧通道開口 24‧‧‧ channel opening

25‧‧‧機械手 25‧‧‧Robot

26‧‧‧通道開口 26‧‧‧Aisle opening

27‧‧‧晶圓載件 27‧‧‧ Wafer Carrier

28‧‧‧旋轉桌 28‧‧‧ rotating table

29‧‧‧通口 29‧‧‧Port

30‧‧‧導件 30‧‧‧Guide

31‧‧‧氣缸 31‧‧‧ Cylinder

32‧‧‧處理站 32‧‧‧processing station

35‧‧‧儲存設備 35‧‧‧Storage Equipment

36‧‧‧旋轉桌 36‧‧‧ rotating table

37‧‧‧驅動裝置 37‧‧‧Drive

38‧‧‧通道開口 38‧‧‧ channel opening

39‧‧‧過濾器 39‧‧‧ Filter

40‧‧‧軸 40‧‧‧axis

41‧‧‧出口 41‧‧‧Export

61‧‧‧卡匣固定座 61‧‧‧Cartridge holder

63‧‧‧底板 63‧‧‧ floor

65a‧‧‧左固定件 65a‧‧‧Left fixture

65b‧‧‧右固定件 65b‧‧‧Right fixing

66‧‧‧塊體 66‧‧‧block

67LB‧‧‧端面 67LB‧‧‧face

67LF‧‧‧端面 67LF‧‧‧face

67RB‧‧‧端面 67RB‧‧‧End

67RF‧‧‧端面 67RF‧‧‧face

68‧‧‧孔洞 68‧‧‧ Hole

70‧‧‧壁 70‧‧‧ wall

71LB‧‧‧側面 71LB‧‧‧Side

71LF‧‧‧側面 71LF‧‧‧Side

71RB‧‧‧側面 71RB‧‧‧Side

71RF‧‧‧側面 71RF‧‧‧Side

72‧‧‧壁 72‧‧‧ wall

75‧‧‧螺栓 75‧‧‧ Bolt

77‧‧‧槽孔 77‧‧‧Slot

79‧‧‧細長塊體 79‧‧‧ slender block

81‧‧‧細長塊體 81‧‧‧ slender block

83‧‧‧導槽 83‧‧‧Guide

85‧‧‧定位槽 85‧‧‧ positioning groove

87‧‧‧引導面 87‧‧‧Guide

91‧‧‧感測器 91‧‧‧ Sensor

93‧‧‧可移動式元件 93‧‧‧ Removable components

95‧‧‧指示器 95‧‧‧ indicator

97‧‧‧樞轉臂 97‧‧‧ Pivot arm

98‧‧‧配重體 98‧‧‧ Counterweight

99‧‧‧樞軸 99‧‧‧ Pivot

100‧‧‧插銷 100‧‧‧ Bolt

應理解,圖式中之元件係為簡單及清楚起見而展示且未必按比例繪製。舉例而言,可相對於其他元件將圖式中的元件中之一些之尺寸擴大以幫助改良對所說明之本發明之實施例的理解。 It should be understood that elements in the figures are illustrated for simplicity and clarity and have not necessarily been drawn to scale. For example, the dimensions of some of the elements in the figures may be increased relative to other elements to help improve understanding of the illustrated embodiments of the invention.

圖1顯示處理設備的示意水平剖面圖。 Figure 1 shows a schematic horizontal cross-sectional view of a processing device.

圖2為另一處理設備之部分剖開且示意透視圖。 Fig. 2 is a partially cutaway and schematic perspective view of another processing equipment.

圖3為圖1或2之設備用的儲存設備之部分剖開透視圖。 FIG. 3 is a partially cut-away perspective view of the storage device for the device of FIG. 1 or 2. FIG.

圖4為根據一實施例的卡匣固定座組件之前視圖。 FIG. 4 is a front view of a cassette holder assembly according to an embodiment.

圖5為圖1之卡匣固定座組件之後視圖,根據一實施例設有具有相對小尺寸的基板之卡匣。 FIG. 5 is a rear view of the cassette fixing base assembly of FIG. 1. According to an embodiment, a cassette having a relatively small-sized substrate is provided.

圖6為根據一實施例的圖1之卡匣固定座組件之後視圖,設有具有相對大尺寸的基板之卡匣。 6 is a rear view of the cassette fixing base assembly of FIG. 1 according to an embodiment, with a cassette having a relatively large-sized substrate.

圖7為根據一實施例之用於放置卡匣的底板的俯視透視圖,以及在安裝於底板上前一固定件的仰視透視圖。 7 is a top perspective view of a bottom plate for placing a cassette according to an embodiment, and a bottom perspective view of a front fixing member mounted on the bottom plate.

圖8描繪用於固定基板用之卡匣的底板之前視圖。 FIG. 8 depicts a front view of a base plate of a cassette for fixing a substrate.

圖9a、9b及9c揭露根據一實施例的圖4之塊體以及可移動式元件之更多細節 的俯視、剖面及仰視圖。 Figures 9a, 9b and 9c disclose more details of the block of Figure 4 and the movable element according to an embodiment Top, section and bottom view.

這些圖並未按比例繪製,尤其為了清楚而放大厚度及方向上的尺寸。對應的區域盡可能會有相同的參考標號。 These figures are not drawn to scale, and the thickness and direction dimensions have been exaggerated for clarity. Corresponding areas will have the same reference numerals as much as possible.

圖1繪示用於處理半導體基板(亦稱為晶圓)之處理設備1。使這些晶圓經過若干處理步驟,以在其表面上形成若干積體電路,之後從晶圓上切割下來並進一步予以處理。 FIG. 1 illustrates a processing apparatus 1 for processing a semiconductor substrate (also referred to as a wafer). These wafers are subjected to a number of processing steps to form a number of integrated circuits on their surface, which are then cut from the wafer and further processed.

通常會在卡匣中運送基板,於圖1之俯視圖的儲存設備2中顯示數個卡匣9。儲存設備2包含一可移動式底板,藉由設置旋轉桌4,在三層上分別放置兩到十個(如六個)卡匣,所以此桌4可例如包含總共十八個卡匣。 The substrate is usually carried in a cassette, and several cassettes 9 are shown in the storage device 2 in the top view of FIG. 1. The storage device 2 includes a movable bottom plate. By setting a rotating table 4, two to ten (such as six) cassettes are respectively placed on three levels, so the table 4 may include a total of eighteen cassettes, for example.

儲存設備2設有一外壁3,其設有一開口,作為自維持在極低塵含量之無塵室取放卡匣的出入口。經由出入口5可將卡匣9放置在桌4的可移動式底板上。 The storage device 2 is provided with an outer wall 3 which is provided with an opening to serve as an entrance and exit for the cassettes that are self-maintained in a clean room with a very low dust content. The cassette 9 can be placed on the movable floor of the table 4 through the entrance 5.

如圖中清楚顯示,儲存設備2的剖面具有一至少部分正多邊形周邊,亦即,圖1右邊的三個壁剖面形成正八邊形的一部分。隨後進一步說明之處理站10、11及12的剖面也具有一至少部分正多邊形周邊。站2、10、11及12體現為分開的站,並在側邊位置與其他站連接。 As clearly shown in the figure, the cross section of the storage device 2 has a periphery of at least a regular polygon, that is, the three wall sections on the right side of FIG. 1 form a part of a regular octagon. The sections of the processing stations 10, 11 and 12 described further below also have an at least partially regular polygonal perimeter. Stations 2, 10, 11 and 12 are shown as separate stations and are connected to other stations on the side.

在設備1中,設置具有基板處置器8的中央站。此基板處置器8可從卡匣9取出基板13並將之放置在站10、11及12的晶圓載件上。在處理後,基板處置器再次從處理站的晶圓載件移除處理過的基板,並將之放置於下一個的處理站或透過旋轉主板4旋轉至定位之空卡匣9中。可經由出入口6從儲存設備2移除具有處理過的基板13之卡匣9,該出入口6的作用與出入口5相同。 In the apparatus 1, a central station having a substrate handler 8 is provided. The substrate handler 8 can remove the substrate 13 from the cassette 9 and place it on the wafer carriers of the stations 10, 11 and 12. After processing, the substrate handler removes the processed substrate from the wafer carrier of the processing station again, and places it in the next processing station or rotates through the rotating main board 4 to the positioned empty cassette 9. The cassette 9 with the processed substrate 13 can be removed from the storage device 2 through the entrance 6, and the entrance 6 has the same function as the entrance 5.

圖1中所示的各個處理站10、11及12可設有旋轉桌14,其中界定 三個隔間15。三個隔間的每一個設有一個晶圓載件16。旋轉桌14可移動並停止於三個旋轉位置。在這些位置的每一者,中轉站8中的機械手可存取晶圓載件之一。在將晶圓載件16載入三個隔間15之一中後,旋轉桌14順時鐘移動三分之一圈。剛剛裝填好的晶圓載件現定位於上升裝置17上方並在一烤爐下方。藉由上升裝置17,將裝填好的晶圓載件上升到烤爐中,於其中進行晶圓的處理。當處理完成後,晶圓載件再次往下移到桌14中,該桌接著又移動三分之一圈。同時,下一個晶圓載件16再次裝填好,並可使用上升裝置17放置到烤爐中。剛剛處理好的晶圓可冷卻一段時間。在處理循環再次結束後,旋轉桌再次旋轉三分之一圈,使具有處理及冷卻過的晶圓之晶圓載件16回到銜接中轉站8之起始位置。接著從晶圓載件取出處理過的晶圓,並放置在例如處理站11之就位的晶圓載件中或就位的卡匣9中。處理站11及12的操作在此情況中為相同。 Each of the processing stations 10, 11 and 12 shown in FIG. 1 may be provided with a rotating table 14, which defines Three compartments 15. Each of the three compartments is provided with a wafer carrier 16. The rotating table 14 can be moved and stopped in three rotating positions. At each of these locations, a robot in the transfer station 8 can access one of the wafer carriers. After loading the wafer carrier 16 into one of the three compartments 15, the rotary table 14 moves clockwise by a third of a turn. The freshly loaded wafer carrier is now positioned above the lifting device 17 and below an oven. The lifted device 17 lifts the loaded wafer carrier into an oven, and performs wafer processing therein. When processing is complete, the wafer carrier is moved down again to table 14, which then moves a third more turn. At the same time, the next wafer carrier 16 is reloaded and can be placed in the oven using the lifting device 17. The freshly processed wafer can be cooled for a period of time. After the processing cycle is finished again, the rotary table is rotated by a third turn again, so that the wafer carrier 16 with the processed and cooled wafers is returned to the starting position of the connection transfer station 8. The processed wafer is then removed from the wafer carrier and placed in, for example, a wafer carrier in place at the processing station 11 or a cassette 9 in place. The operations of the processing stations 11 and 12 are the same in this case.

儲存設備2可與處理站10、11或12的兩個或僅一個結合。可使用其他處理站來取代處理站10、11、12。剖面具有至少部分正多邊形周邊的分離可連接式之單元且其中單元在正多邊形周邊的側邊位置連接至其他單元的實施例之結果是依照所需處理之裝置的建構自由度可以很高。 The storage device 2 may be combined with two or only one of the processing stations 10, 11 or 12. Other processing stations may be used in place of the processing stations 10, 11, 12. The result of an embodiment in which the cross-section has at least a part of the periphery of a regular polygon, and the unit is connected to other units at the side of the periphery of the regular polygon. As a result, the degree of freedom of construction of the device according to the processing required can be high.

如圖1中進一步所示,基板處置器8體現為具有正方形周邊,且儲存設備2及處理站10、11及12各有角度為135度的一部分正八邊形周邊,並具有等於基板處置器8之長度的側邊。藉此儲存設備2及處理站10、11及12可與一個基板處置器8結合連接。 As further shown in FIG. 1, the substrate handler 8 is embodied as a part of a regular octagonal perimeter having a square periphery, and each of the storage device 2 and the processing stations 10, 11, and 12 having an angle of 135 degrees, and having a substrate handler 8 Side of the length. In this way, the storage device 2 and the processing stations 10, 11 and 12 can be combined with a substrate handler 8.

然而,因根據本發明之構造的緣故,可有另一種組合。圖2顯示例如一裝置,由對應至圖1中所示者的一儲存設備21及兩個處理站所構成,各處理站可對應至處理站10、11、及12。在此亦配置基板處置器22。此基板處置器包含,如上所述,機械手25,其可自卡匣9取出晶圓13並將之放置在處理站的晶圓載件27中,反之亦然。機械手25本身可為已知的機械手並安裝於一上升 裝置上,使得其之操作手臂可彌合下卡匣中之底部晶圓與上卡匣9中之頂部晶圓之間的高度差。除此之外,晶圓的移位通常會從最低位置陸續進行到最高位置,亦即,從最底部的卡匣9或晶圓載件27開始取出晶圓13並延續直到最頂部,同時從最頂部將晶圓再放回到晶圓載件或卡匣並延續直到最底部。這防止塵埃粒子落在下方晶圓上。 However, due to the construction according to the invention, another combination is possible. FIG. 2 shows, for example, a device composed of a storage device 21 and two processing stations corresponding to those shown in FIG. 1, and each processing station may correspond to the processing stations 10, 11, and 12. The substrate handler 22 is also arranged here. This substrate handler includes, as described above, a robot hand 25 that can remove the wafer 13 from the cassette 9 and place it in a wafer carrier 27 in a processing station, and vice versa. The robot 25 itself may be a known robot and is mounted on a lift The device is arranged so that its operating arm can bridge the height difference between the bottom wafer in the lower cassette and the top wafer in the upper cassette 9. In addition to this, wafer shifting usually proceeds from the lowest position to the highest position, that is, the wafer 13 is taken out from the bottommost cassette 9 or wafer carrier 27 and continues to the topmost position. The top returns the wafer back to the wafer carrier or cassette and continues to the bottom. This prevents dust particles from falling on the wafer below.

如圖2中清楚顯示,每一個可連接站在此實施例具有闔上的殼體,其在具有正多邊形周邊的部分中之側邊的至少一者中具有可關合之通道開口。在此實施例中,處理站23、32各具有一個通道開口26。儲存設備21設有三個通道開口24。可使用通口29打開及關合通道開口,通口收容在導件30中並透過線性致動器(如氣缸31)可上下移動。可充氣密封件可併入導件30中。在通口29的關合情況中,此密封件充氣並因此獲得緊密貼合密封接觸。當通口29需移動時,可使可充氣密封件無壓,使其自通口29移開。結果是,當通口29打開時,不會有任何或僅有微小的滑動接觸,因此鬆動的塵埃粒子(這在根據本發明之環境中特別不樂見)形成的危險很小。在圖2中還示意性標示收容在旋轉桌28中的晶圓載件27。 As clearly shown in FIG. 2, each connectable station has a case on the cymbal, which has a closeable channel opening in at least one of the sides in the portion having a regular polygonal perimeter. In this embodiment, the processing stations 23, 32 each have a channel opening 26. The storage device 21 is provided with three passage openings 24. The opening of the channel can be opened and closed by using a port 29, which is housed in the guide 30 and can be moved up and down by a linear actuator (such as a cylinder 31). An inflatable seal may be incorporated into the guide 30. In the closing situation of the opening 29, this seal is inflated and thus obtains a close-fitting sealing contact. When the port 29 needs to be moved, the inflatable seal can be made pressureless to move it away from the port 29. As a result, when the port 29 is opened, there will be no or only slight sliding contact, so there is little danger of the formation of loose dust particles (which is particularly undesirable in the environment according to the invention). The wafer carrier 27 housed in the rotating table 28 is also schematically indicated in FIG. 2.

圖3顯示根據本發明的一儲存設備。此設備35的剖面具有以等邊形成之完全正八邊形。儲存設備35可設有四個通道開口38,與圖2中所示的單元21之通道開口24類似。儲存設備35可包含一旋轉桌36,其中可在三層上分別放置晶圓13用的八個卡匣9。可使用容納在設備35的一封閉隔間中的驅動裝置37來驅動桌36圍繞一垂直軸旋轉並固定在不同旋轉位置。 Figure 3 shows a storage device according to the invention. The cross section of this device 35 has a full regular octagon formed in equilateral sides. The storage device 35 may be provided with four passage openings 38 similar to the passage opening 24 of the unit 21 shown in FIG. 2. The storage device 35 may include a rotating table 36 in which eight cassettes 9 for wafers 13 may be placed on three layers, respectively. The driving device 37 accommodated in a closed compartment of the device 35 can be used to drive the table 36 to rotate about a vertical axis and be fixed in different rotational positions.

設備35可設有其自己的氣體循環裝置。此包含通過旋轉桌之軸40的中央供氣,該軸通向一中央設置的圓柱形過濾器39的內部空間。經由軸40供應的氣體在水平徑向方向上流過該過濾器39以層流方式通過卡匣9。在外周邊附近,氣體往上流動並經由配置在儲存設備35的上部中的出口41排放出去。 由於中央供氣以此方式實現,設備35的所有側邊皆可用於連接其他單元。氣體循環針對驅氣及在設備中維持極低塵埃粒子水平可能為必要的。 The device 35 may be provided with its own gas circulation device. This includes central air supply through a shaft 40 of the rotating table, which shaft leads to the inner space of a centrally arranged cylindrical filter 39. The gas supplied via the shaft 40 flows through the filter 39 in a horizontal radial direction through the cassette 9 in a laminar flow manner. Near the outer periphery, the gas flows upward and is discharged through an outlet 41 provided in an upper portion of the storage device 35. Since the central air supply is achieved in this way, all sides of the device 35 can be used to connect other units. Gas circulation may be necessary for purging and maintaining extremely low levels of dust particles in the equipment.

圖4為根據一實施例用於儲存具有基板13的卡匣9之儲存設備2、35(圖1及3中)用的卡匣固定座組件之前視圖。卡匣固定座組件61包含設置至旋轉桌4、36(圖1及3)的一可移動底板63。 FIG. 4 is a front view of a cassette holder assembly for a storage device 2, 35 (in FIGS. 1 and 3) for storing a cassette 9 having a substrate 13 according to an embodiment. The cassette fixing base assembly 61 includes a movable bottom plate 63 provided to the rotating tables 4, 36 (FIGS. 1 and 3).

卡匣固定座61包含由可移動底板63支撐之相同的左固定件65a及右固定件65b,以將卡匣分別定位在從前方F看去之左R及右L。左及右固定件65a、65b實質上彼此相同。固定件65a、65b的每一者相對於從後方B至前方F穿過固定件中央的一條線J為鏡像對稱。 The cassette fixing base 61 includes the same left fixing member 65a and right fixing member 65b supported by the movable bottom plate 63 to position the cassette at left R and right L viewed from the front F, respectively. The left and right fixing members 65a, 65b are substantially the same as each other. Each of the fixing members 65a, 65b is mirror-symmetrical with respect to a line J passing through the center of the fixing member from the rear B to the front F.

可在底板63上設置具有塊體66形式之額外的固定件以定位卡匣。塊體66可設有一孔洞68,其可被感測器用來感測卡匣固定座61上之卡匣的存在或正確定位。 An additional fixing in the form of a block 66 may be provided on the bottom plate 63 to position the cassette. The block 66 may be provided with a hole 68 which can be used by the sensor to sense the presence or correct positioning of the cassette on the cassette fixing base 61.

固定件65a、65b可分別具有端面67LB、67LF、67RB、67RF以與卡匣接合來將卡匣的位置限制成在前方F至後方B的方向上與底板63實質上平行。固定件的每一者可具有左端面67LB、67LF及右端面67RB、67RF。從前方F看去,右端面67RB、67RF可位在固定件65a、65b的右方,而左端面67LB、67LF可位在固定件65a、65b的左方。固定件65a、65b的左端面67LB、67LF及右端面67RB、76RF可實質上平行。 The fixing members 65a and 65b may have end faces 67LB, 67LF, 67RB, and 67RF respectively to engage with the cassette to restrict the position of the cassette to be substantially parallel to the bottom plate 63 in the direction from front F to rear B. Each of the fixtures may have left end faces 67LB, 67LF and right end faces 67RB, 67RF. Seen from the front F, the right end faces 67RB, 67RF can be located to the right of the fixing members 65a, 65b, and the left end faces 67LB, 67LF can be located to the left of the fixing members 65a, 65b. The left end faces 67LB and 67LF and the right end faces 67RB and 76RF of the fixing members 65a and 65b may be substantially parallel.

右固定件65b的右端面67RB、67RF及左固定件65a的左端面67LB、67LF可配置成與卡匣69接合(見圖5及6)。右固定件65b的右端面67RB、67RF及左固定件65a的左端面67LB、67LF可不配置成與卡匣9接合。如果固定件之端面上有磨損,可交換固定件65a、65b的位置,以使用另一端面。 The right end faces 67RB and 67RF of the right fixing member 65b and the left end faces 67LB and 67LF of the left fixing member 65a may be configured to be engaged with the cassette 69 (see FIGS. 5 and 6). The right end faces 67RB and 67RF of the right fixing member 65b and the left end faces 67LB and 67LF of the left fixing member 65a may not be arranged to be engaged with the cassette 9. If there is wear on the end face of the fixing member, the positions of the fixing members 65a, 65b can be exchanged to use the other end face.

卡匣可有不同尺寸,其可能取決於基板尺寸及其中使用卡匣之晶圓廠擁有人的偏好,且固定件可建構成適應不同尺寸。固定件65a、65b的每 一者可具有至少兩個端面,界定為針對150mm直徑基板W(見圖5)用的卡匣9之小卡匣端面67RF、67LF,以及針對200mm直徑基板W(見圖6)用的卡匣9之大卡匣端面67RB、67LB。相對於大卡匣端面67RB、67LB,小卡匣端面67RF、67LF可朝底板63前方F設置,以與較小尺寸的卡匣接合。 The cassettes can have different sizes, which may depend on the size of the substrate and the preference of the fab owner in which the cassettes are used, and the fixtures can be constructed to accommodate different sizes. Each of the fixing members 65a, 65b One can have at least two end faces, defined as small cassette end faces 67RF, 67LF for cassette 9 for 150 mm diameter substrate W (see FIG. 5), and a cassette for 200 mm diameter substrate W (see FIG. 6) 9 large cassette end faces 67RB, 67LB. Relative to the large cassette end faces 67RB and 67LB, the small cassette end faces 67RF and 67LF can be set toward the front F of the bottom plate 63 to engage with smaller-sized cassettes.

固定件65a、65b可分別具有側面71LF、71LB、71RF、71RB以與卡匣9接合並將卡匣的位置限制成在右方R至左方L的方向上與底板63實質上平行且在前方F至後方B的方向上與底板63實質上垂直。可設置界定成右側面71RF、71RB及左側面71LF、71LB的兩側面。從前方看去,右側面71RF、71RB可位在固定件的右方,而從前方F看去,左側面71LF、71LB可位在固定件65a、65b的左方。 The fixing members 65a, 65b may have sides 71LF, 71LB, 71RF, and 71RB to engage with the cassette 9 and limit the position of the cassette to be substantially parallel to the bottom plate 63 in the direction from the right R to the left L and in front The direction from F to the rear B is substantially perpendicular to the bottom plate 63. Two side surfaces defined as the right side surfaces 71RF and 71RB and the left side surfaces 71LF and 71LB may be provided. Seen from the front, the right sides 71RF, 71RB can be located to the right of the fixture, and from the front F, the left sides 71LF, 71LB can be located to the left of the fixtures 65a, 65b.

右固定件65b的右側面71RF、71RB及左固定件65a的左側面71LF、71LB可配置成與卡匣9接合。右固定件65b的右側面71RF、71RB及左固定件65a的左側面71LF、71LB可不配置成與卡匣9接合。 The right side surfaces 71RF and 71RB of the right fixture 65b and the left side surfaces 71LF and 71LB of the left fixture 65a may be arranged to be engaged with the cassette 9. The right side surfaces 71RF and 71RB of the right fixture 65b and the left side surfaces 71LF and 71LB of the left fixture 65a may not be arranged to be engaged with the cassette 9.

固定件包含界定成小卡匣側面71RF、71LF及大卡匣側面71RB、71LB的至少兩個側面。相對於大卡匣側面71RB、71LB,小卡匣側面71RF、71LF可朝底板63前方F設置,以與較小尺寸的卡匣9接合(見圖5)。相對於小卡匣側面71RF、71LF,大卡匣側面71RB、71LB可朝底板63後方B設置,以與較大尺寸的卡匣9接合(見圖4)。 The fixing member includes at least two sides defined as small cassette sides 71RF and 71LF and large cassette sides 71RB and 71LB. Relative to the large cassette sides 71RB and 71LB, the small cassette sides 71RF and 71LF can be set toward the front F of the bottom plate 63 to engage the smaller-sized cassette 9 (see FIG. 5). Relative to the small cassette sides 71RF and 71LF, the large cassette sides 71RB and 71LB can be disposed toward the rear B of the bottom plate 63 to engage with the larger-sized cassette 9 (see FIG. 4).

固定件65a、65b兩者皆可具有側面以與卡匣接合並在右方R至左方L相對方向上限制卡匣的位置。可藉此透過固定件65a、65b在左至右方向上定位卡匣。 Both the fixing members 65a, 65b may have sides to engage the cassette and restrict the position of the cassette in the opposite direction from the right R to the left L. The cassette can be positioned in the left-to-right direction through the fixing members 65a, 65b.

透過緊固件,如螺紋緊固件,像是螺栓75穿過槽孔77,將固定件65a、65b可拆式固定至底板63。槽孔77可具有與從前方F至後方B的一條線垂直之方向,以調整固定件65a、65b在底板63上的位置。 The fasteners 65a, 65b are detachably fixed to the bottom plate 63 through fasteners, such as threaded fasteners, such as bolts 75 passing through the slot holes 77. The slot 77 may have a direction perpendicular to a line from the front F to the rear B to adjust the positions of the fixing members 65 a and 65 b on the bottom plate 63.

圖7為用於收容卡匣的可移動式底板3的俯視透視圖,以及用於安裝在底板63上之右固定件65b的仰視透視圖。圖7可顯示槽孔77具有與從前方F至固定件65b後方B的線J垂直之方向。固定件65b可設有細長塊體79、81,其配合入設置於底板63之導槽83及/或定位槽85。兩個引導細長塊體79可在與從前方F至後方B的線J垂直之方向上小於兩個導槽83,使得可於底板63上在那個方向上調整固定並引導固定件65b。 FIG. 7 is a top perspective view of the movable bottom plate 3 for accommodating the cassette, and a bottom perspective view of the right fixing member 65b mounted on the bottom plate 63. FIG. 7 can show that the slot hole 77 has a direction perpendicular to the line J from the front F to the rear B of the fixing member 65b. The fixing member 65b may be provided with elongated blocks 79, 81 which fit into the guide groove 83 and / or the positioning groove 85 provided on the bottom plate 63. The two guide elongated blocks 79 may be smaller than the two guide grooves 83 in a direction perpendicular to the line J from the front F to the back B, so that the fixing member 65b can be adjusted and fixed in that direction on the bottom plate 63.

一定位細長塊體81可在與從前方F至後方B的線J實質上垂直之方向及實質上平行之方向上與定位槽85有相同尺寸。若托架65b安裝在底板63上的話,定位細長塊體81及定位槽85可在左右及前後方向上將固定件固定在底板63上。 A positioning elongated block 81 may have the same size as the positioning groove 85 in a direction substantially perpendicular to a line J from the front F to the back B and a direction substantially parallel. If the bracket 65b is mounted on the bottom plate 63, the positioning elongated block 81 and the positioning groove 85 can fix the fixing member on the bottom plate 63 in the left-right and front-rear directions.

針對具有稍微偏離標準尺寸卡匣之尺寸的卡匣,可能需要稍微調整固定件65a、65b之間的距離。針對在左右方向上固定件65a、65b之間的距離微調,可(部分)移除定位細長塊體81。例如,可切掉塊體81的頂部,以允許固定件65b在左右方向上可調整地固定在底板63上。引導細長塊體79(其在左右方向上小於導槽83)允許在那個方向上可調整地固定固定件65b。在前後方向上,引導細長塊體79仍可將固定件65b固定於底板63上。透過緊固件,像是接合通過槽孔77的螺紋孔89之螺栓75(圖4),可固定固定件。 For a cassette having a size slightly deviating from the standard-sized cassette, it may be necessary to slightly adjust the distance between the fixing members 65a, 65b. For fine adjustment of the distance between the fixing members 65a, 65b in the left-right direction, the positioning elongated block 81 can be (partially) removed. For example, the top of the block 81 may be cut off to allow the fixing member 65b to be fixed to the bottom plate 63 in an adjustable manner in the left-right direction. The guide elongated block 79 (which is smaller than the guide groove 83 in the left-right direction) allows the fixing piece 65b to be fixedly adjustable in that direction. In the front-rear direction, the guide elongated block 79 can still fix the fixing member 65b to the bottom plate 63. A fastener, such as a bolt 75 (FIG. 4) engaged with a threaded hole 89 passing through the slotted hole 77, can fix the fixing member.

如圖7中所示,固定件65b相對於從前方F至後方B穿過固定件中央的線J為鏡像對稱。固定件65a、65b的對稱設計可確保相同的固定件65a、65b可用於底板63上右方及左方。 As shown in FIG. 7, the fixing member 65 b is mirror-symmetrical with respect to a line J passing through the center of the fixing member from the front F to the rear B. The symmetrical design of the fixing members 65 a and 65 b can ensure that the same fixing members 65 a and 65 b can be used on the right and left sides of the bottom plate 63.

固定件65a可包含至少兩個,例如四個實質上平行的端面67LB、67LF、67RB、67RF(見圖4)。兩個端面從前方看去可為位在固定件的右方的右端面67RB、67RF,以及從前方看去位在固定件的左方的左端面67LB、67LF。端面67LB、67LF、67RB、67RF可實質上彼此平行。此外,固 定件65a可包含至少兩個,例如四個實質上平行的側面71LF、71LB、71RF、71RB。兩個端面從前方看去可為位在固定件的右方的右側面71RF、71RB,以及從前方看去位在固定件的左方的左側面71LF、71LB。側面可實質上彼此平行。 The fixing member 65a may include at least two, for example, four substantially parallel end faces 67LB, 67LF, 67RB, 67RF (see FIG. 4). When viewed from the front, the two end faces may be right end faces 67RB, 67RF located on the right side of the fixing member, and left end faces 67LB, 67LF located on the left side of the fixing member when viewed from the front. The end faces 67LB, 67LF, 67RB, 67RF may be substantially parallel to each other. In addition, solid The fixing member 65a may include at least two, for example, four substantially parallel sides 71LF, 71LB, 71RF, 71RB. When viewed from the front, the two end faces may be right side surfaces 71RF and 71RB located on the right side of the fixture, and left side surfaces 71LF and 71LB located on the left side of the fixture when viewed from the front. The sides may be substantially parallel to each other.

固定件65a的側面可與端面實質上垂直。側面可與一端面相交。座件65a可具有與側及/或端面交界的至少一個引導面87。引導面87可與端或側面具有介於15及75度之角度。 The side surface of the fixing member 65a may be substantially perpendicular to the end surface. The side can intersect with an end surface. The seat member 65a may have at least one guide surface 87 that interfaces with the side and / or the end surface. The guide surface 87 may have an angle between 15 and 75 degrees with the end or the side.

固定件65a可設有用於緊固件的槽孔77,且其中槽孔77具有與從固定件的前方F至後方B的一條線垂直之方向。固定件65a可設有至少一個細長塊體,從該固定件的底表面往下延伸。 The fixing member 65a may be provided with a slot hole 77 for a fastener, and the slot hole 77 has a direction perpendicular to a line from the front F to the rear B of the fixing member. The fixing member 65a may be provided with at least one elongated block body extending downward from a bottom surface of the fixing member.

固定件65a、65b可為射出成型。固定件可包含聚合物。例如,固定件可包含丙烯腈丁二烯苯乙烯材料(因其強度及撓性而選擇)。 The fixing members 65a and 65b may be injection molded. The fixture may include a polymer. For example, the fixture may include an acrylonitrile butadiene styrene material (selected for its strength and flexibility).

固定件65a因從前方F看去左右側對稱的關係而容易製造。也很容易使用,因僅需要一個緊固件,如螺栓75,即可將固定件65a安裝在底面63上。 The fixture 65a is easy to manufacture because it has a symmetrical relationship between the left and right sides when viewed from the front F. It is also easy to use, because only one fastener, such as a bolt 75, is needed to mount the fixing member 65a on the bottom surface 63.

固定件可由塑膠或金屬製成。金屬可為鋁或不鏽鋼。塑膠可以是丙烯腈丁二烯苯乙烯(ABS)、聚丙烯(PP)或聚乙烯(PE)。這些材料可具有低摩擦係數以及其他有利的性質,像是良好加工能力。另外,材料相較於清理劑為穩定,以恰當清理材料。 The fixings can be made of plastic or metal. The metal can be aluminum or stainless steel. The plastic can be acrylonitrile butadiene styrene (ABS), polypropylene (PP) or polyethylene (PE). These materials can have a low coefficient of friction as well as other advantageous properties, such as good processability. In addition, the material is stable compared to the cleaning agent to properly clean the material.

卡匣固定座61之尺寸可適應至卡匣9之尺寸,其可為盒形體並具有打開的前側,卡匣的尺寸可由將配置於其中的基板W直徑(如200mm)及數量而定。底板63可具有介於0.2及4mm之間的厚度,較佳介於0.3及3mm之間,並可由不鏽鋼製成,設有孔洞以將卡匣固定座65a及65b固定至卡匣固定座61形成為其之一部分的設備。 The size of the cassette fixing base 61 can be adapted to the size of the cassette 9, which can be a box-shaped body with an open front side. The size of the cassette can be determined by the diameter (such as 200mm) and the number of the substrates W to be arranged therein. The bottom plate 63 may have a thickness between 0.2 and 4 mm, preferably between 0.3 and 3 mm, and may be made of stainless steel, and is provided with holes for fixing the cassette holders 65a and 65b to the cassette holder 61 to One part of the device.

卡匣固定座65a及65b的高度可為5至25mm。針對卡匣固定座65a及65b,可使用聚合物,如丙烯腈丁二烯苯乙烯、聚丙烯或聚乙烯,其可具有於操作期間不會形成對例如IC的製程有害之金屬或塵埃粒子的優點。可用為卡匣固定座65a及65b之其他材料可為鋁或不鏽鋼。 The height of the cassette holders 65a and 65b may be 5 to 25 mm. For the cassette holders 65a and 65b, a polymer such as acrylonitrile butadiene styrene, polypropylene, or polyethylene may be used, which may have metal or dust particles that do not form harmful to the manufacturing process of the IC during operation advantage. Other materials that can be used as the cassette holders 65a and 65b can be aluminum or stainless steel.

卡匣固定座可用在用於處理半導體基板之設備中以運送基板往返於該設備。在這種設備中,例如,高溫爐,可使用反應腔室以在半導體基板上產生精細尺寸結構,諸如積體電路。 The cassette holder can be used in a device for processing semiconductor substrates to transport substrates to and from the device. In such an apparatus, for example, a high-temperature furnace, a reaction chamber may be used to produce a fine-sized structure on a semiconductor substrate, such as an integrated circuit.

圖8描繪用於固定在儲存設備2(圖1)中的基板用之卡匣的可移動式底板63的前視圖。由較低可移動式底板(未圖示)之卡匣固定座固定所示之卡匣9。儲存設置設有一外壁,其設有供放置及自可移動式底板拿出卡匣9的開口5及6。 FIG. 8 depicts a front view of a movable base plate 63 for a cassette for a substrate fixed in the storage device 2 (FIG. 1). The cassette 9 shown is fixed by a cassette holder of a lower movable bottom plate (not shown). The storage arrangement is provided with an outer wall, which is provided with openings 5 and 6 for placing and taking out the cassette 9 from the movable bottom plate.

可設置建構並配置成移動底板及其上之卡匣的移動裝置。例如,具有圖3中之驅動裝置37(如旋轉馬達)的形式。移動裝置可移動底板63上之卡匣接近或遠離開口。 A mobile device constructed and configured to move the base plate and the cassettes thereon may be provided. For example, it has the form of a driving device 37 (such as a rotary motor) in FIG. 3. The moving device can move the cassette on the bottom plate 63 toward or away from the opening.

儲存設備1可在開口附近設有靜止的感測器91(見圖8),用以偵測在開口於可移動式底板63上的基板卡匣之存在及正確定向的至少一者。感測器91可安裝在儲存設備之外壁內部上或可安裝在設備的靜止框部上。感測器91可為光學偵測底板63上之基板卡匣之存在及正確定向的至少一者。底板63可具有一可移動式元件93,設置成當卡匣放置在可移動式底板63上的正確定向中時接觸卡匣並可被卡匣移動。 The storage device 1 may be provided with a stationary sensor 91 (see FIG. 8) near the opening to detect at least one of the existence and correct orientation of the substrate cassette on the movable bottom plate 63. The sensor 91 may be installed inside the outer wall of the storage device or may be installed on a stationary frame portion of the device. The sensor 91 may be at least one of optically detecting the presence and correct orientation of the substrate cassette on the bottom plate 63. The base plate 63 may have a movable element 93 arranged to contact the cassette and be moved by the cassette when the cassette is placed in the correct orientation on the movable base plate 63.

可由可移動式元件93移動一指示器95,以指示卡匣在正確定向中。可將指示器95建構並配置成在光學感測器91的視角內,以向光學感測器指示底板上之基板卡匣的存在及正確定向。指示器95可為反射性裝置,如反射來自感測器91之輻射束回到感測器91之鏡子。(光學)感測器91可具有輻射源以 將輻射束(如640nm的雷射束)指向鏡子以及偵測輻射束之反射的感測器。由於底板63可移動且可移動式元件93及指示器95連接至底板,它們也可移動。感測器為靜止且儲存設備可建構並配置成藉由在出入口附近底板63的移動將不同指示器95移動到感測器91的前面。這可有僅需要一個感測器91來測量複數個底板上之卡匣的存在及/或正確放置之優點。此外,無須提供電纜線至儲存設備的可移動式構件,因為所有主動構件是設置於儲存設備的靜止部件。可移動式底板僅設有被動構件,像是可移動式元件93及指示器95。 An indicator 95 can be moved by the movable element 93 to indicate that the cassette is in the correct orientation. The indicator 95 may be constructed and configured to be within the viewing angle of the optical sensor 91 to indicate to the optical sensor the existence and correct orientation of the substrate cassette on the bottom plate. The indicator 95 may be a reflective device, such as a mirror that reflects the radiation beam from the sensor 91 back to the sensor 91. The (optical) sensor 91 may have a radiation source to A radiation beam (such as a 640 nm laser beam) is directed at a mirror and a sensor that detects the reflection of the radiation beam. Since the bottom plate 63 is movable and the movable element 93 and the indicator 95 are connected to the bottom plate, they are also movable. The sensor is stationary and the storage device can be constructed and configured to move different indicators 95 to the front of the sensor 91 by movement of the bottom plate 63 near the entrance. This may have the advantage that only one sensor 91 is required to measure the presence and / or correct placement of the cassettes on the plurality of base plates. In addition, it is not necessary to provide a cable to the movable member of the storage device, because all active members are stationary parts provided on the storage device. The movable base plate is only provided with passive components, such as the movable element 93 and the indicator 95.

圖9a至9c揭露根據一實施例圖4之塊體66以及可移動式元件93之更多細節的俯視、剖面及仰視圖。可移動式元件93可具有樞轉臂97,且塊體66可設有樞軸99,其用以在底板63下方樞轉式安裝樞轉臂97。指示器95可設置在該臂的一端上,該指示器可在該臂樞轉時移動,指示卡匣在正確定向中。樞轉臂可具有配重體98及止件在臂的另一端上,止件限制可移動式元件的運動且該配重體將可移動式元件推向卡匣。底板63可具有一孔洞,且可移動式元件93可具有插銷100,其用以與卡匣合作並可移動地組態於該孔洞中。塊體66可設有一孔洞68且該插銷在該孔洞中可移動。塊體可部分組態並配置於底板63的一開口內。在此組態中,可移動式元件93可設置在底板63的下側。 9a to 9c disclose more detailed top, cross-section, and bottom views of the block 66 and the movable element 93 of FIG. 4 according to an embodiment. The movable element 93 may have a pivot arm 97, and the block 66 may be provided with a pivot axis 99 for pivotally installing the pivot arm 97 below the bottom plate 63. An indicator 95 may be provided on one end of the arm, the indicator being movable when the arm is pivoted, indicating that the cassette is in the correct orientation. The pivoting arm may have a weight body 98 and a stopper on the other end of the arm, the stopper restricting the movement of the movable element and the weight body pushes the movable element toward the cassette. The bottom plate 63 may have a hole, and the movable element 93 may have a pin 100 configured to cooperate with the cassette and be movably disposed in the hole. The block 66 may be provided with a hole 68 and the latch is movable in the hole. The block can be partially configured and arranged in an opening of the bottom plate 63. In this configuration, the movable element 93 may be provided on the lower side of the base plate 63.

儲存設備可設有從底板63往上延伸的壁70、72(見圖4),留下一側於前方F敞開以使卡匣得以進出底板63。相對於敞開處之壁72可設有孔洞以吹掃卡匣,以從卡匣移除粒子。底板可具有用於容置卡匣的水平平面,且可設備可建構及配置有在水平方向上圍繞一旋轉垂直軸可移動式旋轉的底板。壁可自底板63往上延伸並在底板上界定一等腰梯形。 The storage device may be provided with walls 70, 72 (see FIG. 4) extending upward from the bottom plate 63, leaving one side open at the front F to allow the cassette to enter and exit the bottom plate 63. The wall 72 opposite the opening may be provided with a hole to purge the cassette to remove particles from the cassette. The bottom plate may have a horizontal plane for accommodating the cassette, and the device may be constructed and configured with a bottom plate that is movable and rotatable about a rotating vertical axis in the horizontal direction. The wall may extend upward from the bottom plate 63 and define an isosceles trapezoid on the bottom plate.

壁70、72及底板63可建構有片金屬,其設有L形槽孔或直條槽孔。壁70、72及底板63亦可設有L形唇部。L形唇部可配合入該L形槽孔或直條槽孔。在彎折穿過L形槽孔或直條槽孔的唇部後,可在壁70、72及底板63之間 提供穩固的連結。藉由各壁70、72之間及在壁70、72之一或各者與底板63之間多次提供這種穩固的連結,單純用片金屬即可產生圓盤輸送帶的剛性結構。 The walls 70, 72 and the bottom plate 63 can be constructed with a sheet of metal, which is provided with an L-shaped slot or a straight slot. The walls 70, 72 and the bottom plate 63 may also be provided with L-shaped lips. The L-shaped lip can fit into the L-shaped slot or a straight slot. After bending through the lip of the L-shaped slot or straight slot, it can be between the walls 70, 72 and the bottom plate 63 Provide a solid link. By providing such a stable connection between the walls 70, 72 and one or each of the walls 70, 72 and the bottom plate 63 multiple times, the rigid structure of the disc conveyor belt can be produced by simply using sheet metal.

光學感測器91可為相機,其建構並配置成使得在出入口的開口附近底板63上的卡匣在相機的視角之內,以偵測在可移動式底板上之基板卡匣之存在及正確定向的至少一者。 The optical sensor 91 may be a camera, which is constructed and configured so that the cassette on the bottom plate 63 near the opening of the entrance and exit is within the camera's viewing angle to detect the presence and correctness of the substrate cassette on the movable bottom plate. At least one of them.

儲存設備2(圖1)可具有電腦,可與相機操作性連接並設有處理器及記憶體,其中記憶體設有機器視覺軟體以偵測底板上之基板卡匣之存在及正確定向的至少一者。 The storage device 2 (Fig. 1) may have a computer, which may be operatively connected to the camera and be provided with a processor and a memory, wherein the memory is provided with machine vision software to detect the presence of the substrate cassette on the base plate and at least correctly oriented One.

儲存設備2可為用於處理基板之處理設備1的一部分,並可具有用於處理基板的處理裝置。可設置基板處置器以從卡匣移動基板到處理裝置並在處理之後將基板從處理裝置移動至卡匣。處理裝置可具有反應器,其含有用於處理複數基板之反應腔室。 The storage device 2 may be a part of the processing device 1 for processing a substrate, and may have a processing device for processing a substrate. A substrate handler may be provided to move the substrate from the cassette to the processing apparatus and to move the substrate from the processing apparatus to the cassette after processing. The processing device may have a reactor containing a reaction chamber for processing a plurality of substrates.

諸如矽晶圓之若干基板可置放於反應器內部之基板托架或晶舟上。或者,單一基板可置放於反應器內部之基板固持器上。基板及托架或晶舟兩者可經加熱至所要溫度。在典型的基板處理步驟中,反應物氣體通過經加熱基板,從而在基板上沈積反應物材料或氣體反應物之薄層。 Several substrates, such as silicon wafers, can be placed on a substrate holder or wafer boat inside the reactor. Alternatively, a single substrate can be placed on a substrate holder inside the reactor. Both the substrate and the bracket or wafer boat can be heated to a desired temperature. In a typical substrate processing step, a reactant gas is passed through a heated substrate, thereby depositing a thin layer of a reactant material or a gaseous reactant on the substrate.

對基板進行的一系列此等處理步驟被稱作配方。若所沈積層具有與下伏矽基板相同之結晶結構,則其被稱作磊晶層。此有時亦被稱作單晶層,因為其僅具有一個晶體結構。經由後續沈積、摻雜、微影、蝕刻及其他製程,此等層被製成積體電路,取決於基板大小及電路複雜度產生數十至數千或甚至數百萬個積體裝置。 A series of these processing steps performed on a substrate is called a recipe. If the deposited layer has the same crystalline structure as the underlying silicon substrate, it is called an epitaxial layer. This is sometimes referred to as a single crystal layer because it has only one crystal structure. Through subsequent deposition, doping, lithography, etching, and other processes, these layers are made into integrated circuits, depending on the size of the substrate and the complexity of the circuit, producing tens to thousands or even millions of integrated devices.

謹慎地控制各種製程參數以確保所得層之高品質。一個此關鍵參數係每一配方步驟期間之基板溫度。在CVD期間,例如,沈積氣體在特定溫度範圍內反應且沈積於基板上。不同溫度亦導致不同沈積速率。 Various process parameters are carefully controlled to ensure the high quality of the resulting layers. One such key parameter is the substrate temperature during each formulation step. During CVD, for example, a deposition gas reacts and is deposited on a substrate within a specific temperature range. Different temperatures also lead to different deposition rates.

所展示且描述之特定實施方案係對本發明及其最佳模式之說明且並不意欲以任何方式另外限制態樣及實施之範圍。實際上,為簡潔起見,系統之習知製造、連接、製備及其他功能性態樣可不加以詳細描述。此外,各種圖中展示之連接線意欲表示各種元件之間的例示性功能性關係及/或實體耦合。許多替代或附加功能關係或實體連接可存在於實際系統中,及/或在一些實施例中可不存在。 The particular embodiments shown and described are illustrative of the invention and its best mode and are not intended to otherwise limit the scope and scope of the implementation in any way. In fact, for the sake of brevity, the conventional manufacturing, connection, preparation and other functional aspects of the system may not be described in detail. In addition, the connecting lines shown in the various figures are intended to represent exemplary functional relationships and / or physical couplings between the various elements. Many alternative or additional functional relationships or physical connections may be present in a practical system and / or may not be present in some embodiments.

雖已於前面敘述本發明之特定實施例,可理解到可以所述以外的其他方式實作本發明。例如,以下列編號條項所述: Although specific embodiments of the present invention have been described above, it will be understood that the present invention may be implemented in other ways than described. For example, as described in the following numbered items:

1.一種用於固定在一內部空間中儲存至少一個半導體材料基板用的一卡匣之卡匣固定座組件,可從該卡匣的一前端出入該內部空間,該卡匣固定座組件包含:一底板,用於容置該卡匣;以及一右及一左固定件,由該底板支撐,以將該卡匣分別定位在從前方看去之右及左方;其中該右及左固定件實質上彼此相同。 1. A cassette fixing seat assembly for fixing a cassette for storing at least one semiconductor material substrate in an internal space, which can be accessed from a front end of the cassette into the internal space, the cassette fixing seat assembly comprising: A bottom plate for receiving the cassette; and a right and a left fixing member supported by the bottom plate to position the cassette on the right and left sides when viewed from the front, wherein the right and left fixing members Substantially the same as each other.

2.根據條項1之卡匣固定座組件,其中該等固定件分別為相對於從後至前方穿過該固定件中央的一條線為鏡像對稱。 2. The cassette fixing base assembly according to item 1, wherein the fixing members are mirror-symmetrical with respect to a line passing through the center of the fixing member from back to front.

3.根據條項1之卡匣固定座組件,其中該等固定件分別具有與該卡匣接合的至少兩個端面,以將該卡匣的位置限制成與該底板在前至後的方向上實質上平行,該至少兩個端面包含至少一個右表面及至少一個左表面,其中從前方看去該右端面位在該固定件的右方而該左端面位在該固定件的左方。 3. The cassette fixing base assembly according to item 1, wherein the fixing members respectively have at least two end faces engaged with the cassette to restrict the position of the cassette to the front-to-back direction with the bottom plate. Substantially parallel, the at least two end surfaces include at least one right surface and at least one left surface, where the right end surface is located to the right of the fixing member and the left end surface is located to the left of the fixing member when viewed from the front.

4.根據條項3之卡匣固定座組件,其中該右固定件的該右端面及該左固定件的該左端面配置成與該卡匣接合。 4. The cassette fixing base assembly according to item 3, wherein the right end face of the right fixing member and the left end face of the left fixing member are configured to be engaged with the cassette.

5.根據條項4之卡匣固定座組件,其中該左固定件的該右端面及該右固定件的該左端面不配置成與該卡匣接合。 5. The cassette fixing base assembly according to item 4, wherein the right end face of the left fixing member and the left end face of the right fixing member are not configured to be engaged with the cassette.

6.根據條項3之卡匣固定座組件,其中該等固定件分別具有與該卡匣接合的至少四個端面,以將該卡匣的位置限制成與該底板、在該卡匣的右及左側的各者之一小卡匣端面及一大卡匣端面在前至後的方向上實質上平行,其中該小卡匣端面相較於該大卡匣端面位在該底板的較前方,以與相對小尺寸的卡匣接合。 6. The cassette fixing seat assembly according to item 3, wherein the fixing members respectively have at least four end faces that are engaged with the cassette, so as to limit the position of the cassette to the bottom plate and to the right of the cassette. And one of the left side of the small cassette end face and one large cassette end face are substantially parallel in the front-to-back direction, wherein the small cassette end face is located in front of the bottom plate compared to the large cassette end face, To engage with a relatively small size cassette.

7.根據條項1之卡匣固定座組件,其中該等固定件分別具有一側面以與該卡匣接合,並將該卡匣的位置限制成與該底板在右至左的方向上實質上平行並與該底板在前至後的方向上實質上垂直。 7. The cassette fixing base assembly according to item 1, wherein the fixing members each have a side to engage with the cassette, and limit the position of the cassette to be substantially right-to-left with the bottom plate. Parallel and substantially perpendicular to the bottom plate in a front-to-back direction.

8.根據條項7之卡匣固定座組件,其中該等固定件分別包含至少兩個側面,包含一右側面及一左側面,其中從前方看去該右側面位在該固定件的右方,而該左側面位在該固定件的左方。 8. The cassette fixing base assembly according to item 7, wherein the fixing members respectively include at least two sides, including a right side and a left side, wherein the right side is located on the right side of the fixing member when viewed from the front. , And the left side is located to the left of the fixing member.

9.根據條項8之卡匣固定座組件,其中該右固定件的該右側面及該左固定件的該左側面配置成與該卡匣接合。 9. The cassette fixing base assembly according to item 8, wherein the right side of the right fixing member and the left side of the left fixing member are configured to be engaged with the cassette.

10.根據條項9之卡匣固定座組件,其中該左固定件的該右側面及該右固定件的該左側面配置成不與該卡匣接合。 10. The cassette fixing base assembly according to item 9, wherein the right side of the left fixing member and the left side of the right fixing member are configured not to engage with the cassette.

11.根據條項7之卡匣固定座組件,其中該固定件分別包含至少兩個側面,包含一小卡匣側面及一大卡匣側面,其中該小卡匣側面相較於該大卡匣側面位在該底板的較前方,以與相對小尺寸的卡匣接合。 11. The cassette fixing base assembly according to item 7, wherein the fixing member includes at least two sides, including a small cassette side and a large cassette side, wherein the small cassette side is compared to the large cassette. The side is located forward of the base plate to engage a relatively small-sized cassette.

12.根據條項1之卡匣固定座組件,其中該等固定件兩者皆具有一側面以與該卡匣接合,並在右至左的相對方向上限制該卡匣的位置。 12. The cassette fixing base assembly according to item 1, wherein both of the fixing members have a side surface to engage with the cassette, and restrict the position of the cassette in the opposite direction from right to left.

13.根據條項1之卡匣固定座組件,其中該等固定件透過穿過一槽孔的一緊固件可拆式固定至該底板,且其中該槽具有與從前至後的一條線垂直之方向。 13. The cassette fixing base assembly according to item 1, wherein the fixing members are detachably fixed to the bottom plate through a fastener passing through a slot hole, and wherein the slot has a vertical direction from a line from front to back. direction.

14.根據條項1之卡匣固定座組件,其中該固定件設有至少一個 細長塊體,從該固定件的底表面延伸並建構成配合入設置於該底板的一槽中。 14. The cassette fixing base assembly according to item 1, wherein the fixing member is provided with at least one An elongated block body extends from the bottom surface of the fixing member and is constructed to fit into a groove provided on the bottom plate.

15.根據條項14之卡匣固定座組件,其中該細長塊體在與從前至後的一條線垂直之方向上小於該槽,以允許將該固定件調整地固定在那個方向上。 15. The cassette fixing base assembly according to item 14, wherein the elongated block is smaller than the groove in a direction perpendicular to a line from front to back to allow the fixing member to be fixedly fixed in that direction.

16.根據條項14之卡匣固定座組件,其中該細長塊體在與從前至後的一條線垂直之方向上與該槽的尺寸相同,並至少部分地可移除,以允許將該固定件調整地固定在那個方向上。 16. The cassette holder assembly according to item 14, wherein the elongated block is the same size as the slot in a direction perpendicular to a line from front to back, and is at least partially removable to allow the fixation The pieces are fixed in that direction.

17.一種用於將儲存至少一個半導體材料基板用的一卡匣定位在一卡匣固定座組件中的一底板上的固定件,其中該固定件具有前方及後方,並相對於從前至後方穿過該固定件中央的一條線為實質上鏡像對稱。 17. A fixing member for positioning a cassette for storing at least one semiconductor material substrate on a bottom plate in a cassette fixing seat assembly, wherein the fixing member has a front and a rear, and is worn relative to the front to the rear A line passing through the center of the fixture is substantially mirror-symmetrical.

18.根據條項17的固定件,其中該固定件包含:至少兩個實質上平行的端面,其中從前方看去一端面為位在該固定件右方的一右端面,且另一端面為位在該固定件左方的一左端面;以及,至少兩個實質上平行的側面,其中從前方看去一側面為位在該固定件右方的一右側面,且另一側面為位在該固定件左方的一左側面。 18. The fixing member according to item 17, wherein the fixing member includes: at least two substantially parallel end surfaces, wherein one end surface is a right end surface positioned to the right of the fixing member and the other end surface is viewed from the front. A left end surface on the left side of the fixing element; and at least two substantially parallel side surfaces, wherein one side surface is a right side surface on the right side of the fixing element and the other side surface is located on the right side when viewed from the front. A left side of the left side of the fixing member.

19.根據條項18的固定件,其中該等側面與該等端面呈垂直,且該等側面的至少一者與該等端面的至少一者相交。 19. The fixture according to item 18, wherein the sides are perpendicular to the end faces and at least one of the sides intersects at least one of the end faces.

20.根據條項17的固定件,其中該固定件設有一緊固件用的一槽孔,且其中該槽具有與從該托座的前至後方的一條線垂直之方向。 20. The fixing member according to item 17, wherein the fixing member is provided with a slot hole for a fastener, and wherein the slot has a direction perpendicular to a line from the front to the rear of the bracket.

21.根據條項17之卡匣固定座組件,其中該固定件設有從該固定件的底表面延伸的至少一個細長塊體。 21. The cassette fixing base assembly according to item 17, wherein the fixing member is provided with at least one elongated block extending from a bottom surface of the fixing member.

22.根據條項17之卡匣固定座組件,其中該固定件包含一射出成型的聚合物材料。 22. The cassette holder assembly according to item 17, wherein the fixing member comprises an injection-molded polymer material.

應理解,本文中所述之組態及/或方法本質上為例示性的,且此 等特定具體例或實施例不視為具有限制意義,原因在於可能存在諸多變化。本文中所描述之特定程序或方法可表示任何數目的處理程序策略中之一或多者。因此,所說明之各種動作可以所說明之順序、以其他順序進行,或在一些情況下被省略。 It should be understood that the configurations and / or methods described herein are exemplary in nature and that Such specific examples or embodiments are not considered to be limiting, as there may be many variations. The specific procedures or methods described herein may represent one or more of any number of handler strategies. Accordingly, the various actions described may be performed in the order described, in other orders, or in some cases omitted.

本發明之標的包括各種製程、系統及組態,及本文中所揭示之其他特徵、動作、作用及/或特性,以及其任何及所有等效者的所有新穎的且非顯而易見的組合及子組合。 The subject matter of the present invention includes various processes, systems, and configurations, as well as other features, actions, effects, and / or characteristics disclosed herein, and all novel and non-obvious combinations and sub-combinations of any and all equivalents thereof. .

Claims (20)

一種儲存基板用之卡匣的儲存設備,包含:一可移動式底板,其建構並配置成固定卡匣;一外壁,其設有供放置及自該底板移除該等卡匣的一開口;以及一移動裝置,其建構並配置成相對於該開口移動該底板,其中該儲存設備可在該開口附近設有一靜止的感測器,以偵測在該開口於該底板上的該等卡匣之存在及正確定向的至少一者。 A storage device for a cassette for storing a substrate includes: a movable bottom plate constructed and configured to fix the cassette; and an outer wall provided with an opening for placing and removing the cassettes from the bottom plate; And a moving device constructed and configured to move the bottom plate relative to the opening, wherein the storage device may be provided with a stationary sensor near the opening to detect the cassettes on the bottom plate at the opening At least one of its existence and correct orientation. 如請求項1之儲存設備,其中該感測器為光學感測器,以光學偵測在該底板上的該等卡匣之存在及正確定向。 If the storage device of claim 1, wherein the sensor is an optical sensor, the presence and correct orientation of the cassettes on the base plate are optically detected. 如請求項2之儲存設備,其中該底板包含:一可移動式元件,定位成當一卡匣在該底板上的該正確定向時由該卡匣接觸並可移動;以及一指示器,可由該可移動式元件移動並指示該卡匣存在於該正確定向中,其中該指示器在該光學感測器的一視角內,以向該光學感測器指示該卡匣的該存在與該正確定向。 As in the storage device of claim 2, wherein the base plate includes: a movable element positioned to be contacted and movable by the cassette when the cassette is in the correct orientation on the base plate; and an indicator by the The movable element moves and indicates that the cassette is in the correct orientation, wherein the indicator is within a viewing angle of the optical sensor to indicate to the optical sensor that the cassette is present and the correct orientation . 如請求項3之儲存設備,其中該可移動式元件包含一樞轉臂,且該底板設有一樞軸,其將該樞轉臂樞轉式安裝至該底板。 The storage device of claim 3, wherein the movable element includes a pivot arm, and the bottom plate is provided with a pivot shaft, which pivotally mounts the pivot arm to the bottom plate. 如請求項4之儲存設備,其中該樞轉臂包含一指示器,該指示器可在該臂樞轉時移動,以指示該卡匣在該正確定向中。 As in the storage device of claim 4, wherein the pivoting arm includes an indicator, the indicator can be moved when the arm is pivoted to indicate that the cassette is in the correct orientation. 如請求項5之儲存設備,其中該樞轉臂包含一配重體,以將該可移動元件推向該卡匣。 The storage device as claimed in claim 5, wherein the pivot arm includes a weight to push the movable element toward the cassette. 如請求項3之儲存設備,其中該底板之中設有一孔洞,且該可移動式元件包含一插銷,以與該卡匣合作並可移動式組態於該孔洞中。 For example, the storage device of claim 3, wherein a hole is provided in the bottom plate, and the movable element includes a latch to cooperate with the cassette and can be removably configured in the hole. 如請求項3之儲存設備,其中該可移動式元件包含一止件,該止件限制該可移動式元件的該移動。 The storage device of claim 3, wherein the movable element includes a stop, the stop restricting the movement of the movable element. 如請求項3之儲存設備,其中該可移動式元件設置在該底板的一下側。 The storage device of claim 3, wherein the movable element is disposed on a lower side of the base plate. 如請求項3之儲存設備,其中該指示器包含一反射器,且該光學感測器包含一輻射源,以將一輻射束導引至該反射器及一感測器以偵測該輻射束的反射。 The storage device of claim 3, wherein the indicator includes a reflector, and the optical sensor includes a radiation source to direct a radiation beam to the reflector and a sensor to detect the radiation beam Reflection. 如請求項1之儲存設備,其中該底板設有固定件以將該等卡匣定位在該底板上。 The storage device of claim 1, wherein the bottom plate is provided with a fixing member to position the cassettes on the bottom plate. 如請求項1之儲存設備,其中壁設於該底板並從該底板往上延伸,留下一側敞開以進出該底板。 The storage device of claim 1, wherein the wall is provided on the bottom plate and extends upward from the bottom plate, leaving one side open to access the bottom plate. 如請求項12之儲存設備,其中相對於該敞開側的一壁設有孔洞以吹掃該等卡匣。 If the storage device of claim 12, wherein a hole opposite to the open side is provided with a hole to purge the cassettes. 如請求項12之儲存設備,其中該等壁在該底板上界定一等腰梯形。 The storage device of claim 12, wherein the walls define an isosceles trapezoid on the floor. 如請求項1之儲存設備,其中該底板包含用以固定該等卡匣的複數個區域。 The storage device of claim 1, wherein the base plate includes a plurality of areas for fixing the cassettes. 如請求項1之儲存設備,其中該底板可具有用於放置該等卡匣的一水平平面,且該設備建構及配置成在一水平方向上可移動該底板。 For example, the storage device of claim 1, wherein the bottom plate may have a horizontal plane for placing the cassettes, and the device is constructed and configured to move the bottom plate in a horizontal direction. 如請求項1之儲存設備,其中該光學感測器可為一相機,其建構並配置成使得在該開口附近該底板上的該等卡匣在該相機的一視角內,以偵測在該底板上之該等卡匣之該存在及該正確定向的至少一者。 For example, the storage device of claim 1, wherein the optical sensor may be a camera, which is constructed and configured such that the cassettes on the bottom plate near the opening are within a viewing angle of the camera to detect the At least one of the presence of the cassettes on the bottom plate and the correct orientation. 如請求項17之儲存設備,其中該設備設有一電腦,與該相機可操作性連接並設有一處理器及一記憶體,其中該記憶體設有機器視覺軟體以偵測該底板上之該等卡匣的該存在及該正確定向的至少一者。 For example, the storage device of item 17, wherein the device is provided with a computer, is operatively connected with the camera, and is provided with a processor and a memory, wherein the memory is provided with machine vision software to detect the At least one of the presence of the cassette and the correct orientation. 一種用於處理基板的處理設備,包含: 如請求項1之用以儲存卡匣的一儲存設備;用於處理基板的一處理裝置;以及一基板處置器,建構並配置成從該等卡匣移動基板到該處理裝置並在處理之後將該等基板從該處理裝置移動至該等卡匣。 A processing device for processing a substrate includes: A storage device for storing cassettes as claimed in claim 1; a processing device for processing substrates; and a substrate handler configured and configured to move substrates from the cassettes to the processing device and after processing The substrates are moved from the processing device to the cassettes. 如請求項19之用於處理基板的處理設備,其中該處理裝置包含具有用於處理複數個基板的一反應腔室之一反應器。 The processing apparatus for processing a substrate as claimed in claim 19, wherein the processing apparatus comprises a reactor having a reaction chamber for processing a plurality of substrates.
TW107124663A 2017-08-09 2018-07-17 Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith TWI778102B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/673,110 US10249524B2 (en) 2017-08-09 2017-08-09 Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US15/673,110 2017-08-09
US201762585283P 2017-11-13 2017-11-13
US62/585,283 2017-11-13

Publications (2)

Publication Number Publication Date
TW201923942A true TW201923942A (en) 2019-06-16
TWI778102B TWI778102B (en) 2022-09-21

Family

ID=63762564

Family Applications (2)

Application Number Title Priority Date Filing Date
TW111132570A TWI813430B (en) 2017-08-09 2018-07-17 Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
TW107124663A TWI778102B (en) 2017-08-09 2018-07-17 Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW111132570A TWI813430B (en) 2017-08-09 2018-07-17 Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith

Country Status (5)

Country Link
JP (2) JP7187536B2 (en)
KR (1) KR102621997B1 (en)
CN (1) CN110998817B (en)
TW (2) TWI813430B (en)
WO (1) WO2019030565A1 (en)

Families Citing this family (248)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (en) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TW202344708A (en) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
CN112992667A (en) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3067325B2 (en) * 1991-10-17 2000-07-17 神鋼電機株式会社 Airtight stocker for clean room
JPH09221203A (en) * 1996-02-19 1997-08-26 Hitachi Ltd Automatic conveying system
NL1009327C2 (en) 1998-06-05 1999-12-10 Asm Int Method and device for transferring wafers.
JP4096213B2 (en) * 1998-07-17 2008-06-04 株式会社安川電機 Wafer transfer device
JP2002098586A (en) * 2000-09-27 2002-04-05 Sunx Ltd Reflective sensor
US6632068B2 (en) * 2000-09-27 2003-10-14 Asm International N.V. Wafer handling system
US6990380B2 (en) * 2000-12-27 2006-01-24 Tokyo Electron Limited Substrate processing apparatus and information storage apparatus and method
US7031792B2 (en) * 2001-04-04 2006-04-18 Tokyo Electron Limited Processing apparatus and information storage apparatus and method
JP2003007800A (en) * 2001-06-21 2003-01-10 Hitachi Kokusai Electric Inc Substrate treatment device and method of manufacturing semiconductor device
JP2003060011A (en) * 2001-08-08 2003-02-28 Tokyo Electron Ltd Substrate conveyance apparatus and substrate treatment system
US20030110649A1 (en) 2001-12-19 2003-06-19 Applied Materials, Inc. Automatic calibration method for substrate carrier handling robot and jig for performing the method
JP4010891B2 (en) * 2002-07-03 2007-11-21 Necエレクトロニクス株式会社 Semiconductor wafer transfer method
JP2005011966A (en) * 2003-06-18 2005-01-13 Dainippon Screen Mfg Co Ltd Substrate transporting device, substrate processing device, conveyance reference position teaching method and sensor jig used for them
JP4849804B2 (en) * 2004-09-28 2012-01-11 日本電産サンキョー株式会社 Robot operation method
US8322533B2 (en) * 2007-07-11 2012-12-04 Shin-Etsu Polymer Co., Ltd. Lid body for substrate storage container and substrate storage container
JP2009076856A (en) * 2007-08-28 2009-04-09 Dainippon Screen Mfg Co Ltd Substrate-treating equipment
JP2009267153A (en) 2008-04-25 2009-11-12 Hitachi Kokusai Electric Inc Substrate processing apparatus and method for manufacturing semiconductor device
CN101533796B (en) * 2009-03-26 2010-12-08 上海微电子装备有限公司 Control system for silicone chip transmission and method
JP2011140366A (en) * 2010-01-06 2011-07-21 Muratec Automation Co Ltd Conveying vehicle system
JP5785712B2 (en) * 2010-12-28 2015-09-30 株式会社日立ハイテクノロジーズ Vacuum processing equipment
CN102394226A (en) * 2011-10-19 2012-03-28 东莞宏威数码机械有限公司 Solar panel delivery and cache equipment
KR102091892B1 (en) 2012-04-16 2020-03-20 로제 가부시키가이샤 Accommodating container, shutter opening and closing unit for accommodating container, and wafer stocker using same
JP6009832B2 (en) * 2012-06-18 2016-10-19 株式会社Screenホールディングス Substrate processing equipment
JP5689096B2 (en) * 2012-08-10 2015-03-25 東京エレクトロン株式会社 Substrate transfer apparatus, substrate transfer method, and substrate transfer storage medium
CN103904008B (en) * 2014-03-20 2016-08-17 上海华力微电子有限公司 A kind of dynamic pickup structure of the mechanical arm of semiconductor equipment

Also Published As

Publication number Publication date
TWI778102B (en) 2022-09-21
TWI813430B (en) 2023-08-21
JP7187536B2 (en) 2022-12-12
WO2019030565A1 (en) 2019-02-14
JP2020529740A (en) 2020-10-08
KR102621997B1 (en) 2024-01-08
KR20200033870A (en) 2020-03-30
TW202249157A (en) 2022-12-16
JP2023014191A (en) 2023-01-26
CN110998817B (en) 2023-11-10
CN110998817A (en) 2020-04-10
JP7457088B2 (en) 2024-03-27

Similar Documents

Publication Publication Date Title
TWI813430B (en) Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10763139B2 (en) Vacuum transfer module and substrate processing apparatus
US6247245B1 (en) Processing unit for substrate manufacture
US7896648B2 (en) Vertical heat processing apparatus and heat processing method using the vertical heat processing apparatus
US9437466B2 (en) Storage container, shutter opening/closing unit of storage container, and wafer stocker using storage container and shutter opening/closing unit
CN104812938B (en) Substrate in ALD reactors is loaded
JP2022095763A (en) Lateral storage pod, apparatus front end module, and substrate processing method
TWI677051B (en) Wafer boat support table and heat treatment apparatus using the same
US20100111650A1 (en) Automatic substrate loading station
US10403528B2 (en) Substrate-processing apparatus and method of manufacturing semiconductor device
KR20210068576A (en) Front-ducted equipment front end modules, side storage pods, and methods of operating them
US20130238113A1 (en) Substrate Processing Apparatus, Method of Transferring Substrate, Method of Manufacturing Semiconductor Device, and State Detecting Program
US11769682B2 (en) Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US9716021B2 (en) Substrate heat treatment apparatus, method of installing substrate heat treatment apparatus
KR20200031996A (en) Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
TWI819453B (en) Remote optimization of purge flow rates in a container
JPH0484419A (en) Vertical heat treatment equipment
KR20060085988A (en) Apparatus for processing a substrate
KR20220057053A (en) Substrate supporting unit and substrate treating apparatus having the same
JP2003257835A (en) Height control mechanism used for semiconductor manufacturing device

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent