KR20060085988A - Apparatus for processing a substrate - Google Patents

Apparatus for processing a substrate Download PDF

Info

Publication number
KR20060085988A
KR20060085988A KR1020050006707A KR20050006707A KR20060085988A KR 20060085988 A KR20060085988 A KR 20060085988A KR 1020050006707 A KR1020050006707 A KR 1020050006707A KR 20050006707 A KR20050006707 A KR 20050006707A KR 20060085988 A KR20060085988 A KR 20060085988A
Authority
KR
South Korea
Prior art keywords
transfer device
wafers
load lock
chamber
lock chamber
Prior art date
Application number
KR1020050006707A
Other languages
Korean (ko)
Inventor
함규환
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020050006707A priority Critical patent/KR20060085988A/en
Publication of KR20060085988A publication Critical patent/KR20060085988A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/6773Conveying cassettes, containers or carriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

개시된 퍼니스형 웨이퍼 가공 장치는 웨이퍼들에 대한 가공 공정이 수행되는 공정 챔버와 상기 웨이퍼들을 대기시키기 위한 로드록 챔버와 상기 공정 챔버 및 로드록 챔버 사이에서 이동 가능하도록 설치되는 보트를 구비한다. 상기 보트에 상기 웨이퍼들을 복층으로 수납시키기 위한 이송 장치가 상기 로드록 챔버에 배치된다. 상기 이송 장치에 대한 티칭(teaching) 작업이 이루어지는 클린룸(clean room)의 서비스 지역(service area)에 인접하여 상기 로드록 챔버의 외측에 배치되는 이송장치 정지수단은 상기 티칭 작업 중에 상기 이송 장치의 동작을 정지시킨다. 따라서, 작업자가 티칭 작업을 효율적으로 수행할 수 있으며, 티칭 작업 시간을 단축시킬 수 있다.The disclosed furnace type wafer processing apparatus includes a process chamber in which a processing process for wafers is performed, a load lock chamber for waiting the wafers, and a boat installed to be movable between the process chamber and the load lock chamber. A transfer device for storing the wafers in multiple layers in the boat is arranged in the load lock chamber. The transfer device stop means disposed outside the load lock chamber adjacent to the service area of a clean room in which the teaching operation for the transfer device is performed is performed by the transfer device during the teaching operation. Stop the operation. Therefore, the operator can perform the teaching work efficiently, and can shorten the teaching work time.

Description

웨이퍼 가공 장치{Apparatus for processing a substrate}Wafer Processing Apparatus {Apparatus for processing a substrate}

도 1은 본 발명의 일 실시예에 따른 웨이퍼 가공 장치를 설명하기 위한 개략적인 구성도이다.1 is a schematic diagram illustrating a wafer processing apparatus according to an embodiment of the present invention.

도 2는 도 1에 도시된 이송 장치 및 보트를 설명하기 위한 사시도이다.FIG. 2 is a perspective view for explaining the transport apparatus and the boat shown in FIG. 1.

도 3은 도 1에 도시된 웨이퍼 가공 장치가 클린룸 내에 배치된 형태를 나타내는 개략적인 평면도이다.FIG. 3 is a schematic plan view showing a form in which the wafer processing apparatus shown in FIG. 1 is disposed in a clean room.

도 3은 도 1에 도시된 이송장치 정지수단을 설명하기 위한 구성도이다.3 is a configuration diagram for explaining the transfer device stop means shown in FIG.

* 도면의 주요부분에 대한 부호의 설명 *Explanation of symbols on the main parts of the drawings

10 : 베이(bay) 15 : 분리벽10 bay 15 partition wall

18 : 출입구 20 : 서비스 지역(service area)18: entrance 20: service area

100 : 웨이퍼 가공 장치 110 : 공정 챔버100 wafer processing apparatus 110 process chamber

112 : 종형로 120 : 제1로드록 챔버112: vertical type 120: first load lock chamber

122 : 전면(front surface) 130 : 제2로드록 챔버122: front surface 130: second load lock chamber

160 : 이송 장치 162 : 로봇암(robot arm)160: transfer device 162: robot arm (robot arm)

170 : 로더부 180 : 보트(boat)170: loader portion 180: boat (boat)

192 : 이송장치 정지수단 194 : 제1정지 버튼192: stop means for conveying device 194: first stop button

196 : 제2정지 버튼 198 : 경보부196: second stop button 198: alarm unit

본 발명은 웨이퍼 가공 장치에 관한 것이다. 보다 상세하게는, 확산(diffusion) 설비와 같은 반도체 제조를 위한 웨이퍼 가공 장치에 관한 것이다.The present invention relates to a wafer processing apparatus. More particularly, it relates to a wafer processing apparatus for semiconductor manufacturing, such as diffusion equipment.

일반적으로 반도체 장치는 반도체 웨이퍼로 사용되는 실리콘웨이퍼 상에 전기적인 회로를 형성하는 팹(Fab) 공정과, 상기 팹 공정에서 형성된 반도체 장치들의 전기적인 특성을 검사하는 공정과, 상기 반도체 장치들을 각각 에폭시 수지로 봉지하고 개별화시키기 위한 패키지 조립 공정을 통해 제조된다.In general, a semiconductor device includes a Fab process for forming an electrical circuit on a silicon wafer used as a semiconductor wafer, a process for inspecting electrical characteristics of the semiconductor devices formed in the fab process, and the semiconductor devices are epoxy It is manufactured through a package assembly process for encapsulating and individualizing with resin.

상기 팹 공정은 웨이퍼 상에 막을 형성하기 위한 증착 공정과, 상기 막을 평탄화하기 위한 화학적 기계적 연마 공정과, 상기 막 상에 포토레지스트 패턴을 형성하기 위한 포토리소그래피 공정과, 상기 포토레지스트 패턴을 이용하여 상기 막을 전기적인 특성을 갖는 패턴으로 형성하기 위한 식각 공정과, 웨이퍼의 소정 영역에 특정 이온을 주입하기 위한 이온 주입 공정과, 웨이퍼 상의 불순물을 제거하기 위한 세정 공정과, 상기 막 또는 패턴이 형성된 웨이퍼의 표면 또는 상기 막의 성분 및 농도 등을 검사하기 위한 검사 공정 등을 포함한다.The fab process includes a deposition process for forming a film on a wafer, a chemical mechanical polishing process for planarizing the film, a photolithography process for forming a photoresist pattern on the film, and the photoresist pattern using the photoresist pattern. An etching process for forming the film into a pattern having electrical characteristics, an ion implantation process for implanting specific ions into a predetermined region of the wafer, a cleaning process for removing impurities on the wafer, and a process for forming the film or pattern An inspection process for inspecting the surface or the composition and concentration of the film, and the like.

상기 증착 공정은 기체 상태의 소스(source)로부터 특정 원자나 분자를 고상화시켜 필요한 박막을 형성하는 공정을 통칭한다. 반도체 장치의 제조에는 다결정 실리콘막, 산화막, 질화막, 금속막 등의 다양한 박막이 포함되며, 이러한 박막은 증착 공정에 의해 형성된다. 상기 증착 공정의 방법은 크게 물리 기상 증착 및 화 학 기상 증착 등이 있다. 상기 물리 기상 증착(physical vapor deposition; PVD)은 소스로부터 다른 성분이 더하거나 감해지지 않고 상변환 과정만을 통해 증착된다. 반면에, 화학 기상 증착(chemical vapor deposition; CVD)은 소스의 화학 반응을 수반하여 막이 증착된다.The deposition process generally refers to a process of solidifying specific atoms or molecules from a gaseous source to form a required thin film. The manufacture of a semiconductor device includes various thin films, such as a polycrystalline silicon film, an oxide film, a nitride film, and a metal film, which are formed by a deposition process. Methods of the deposition process are largely physical vapor deposition and chemical vapor deposition. The physical vapor deposition (PVD) is deposited only through a phase conversion process without adding or subtracting other components from the source. On the other hand, chemical vapor deposition (CVD) involves the deposition of a film along with the chemical reaction of the source.

상기 화학 기상 증착은 증착 압력에 따라 상압 CVD, 고압 CVD, 저압 CVD로 분류된다. 이 중에서, 상기 저압 CVD 공정은 진공 상태에서 가스를 반응시켜 반도체 웨이퍼 상에 박막을 증착하는 공정이다. 상기 저압 CVD 공정을 수행하기 위한 장치는 퍼니스(furnace)형이며, 다수매의 웨이퍼들이 퍼니스형의 반응기 내에 로딩되어 일괄적으로 상기 웨이퍼 상에 막을 증착할 수 있도록 구성된다.The chemical vapor deposition is classified into atmospheric pressure CVD, high pressure CVD, and low pressure CVD depending on the deposition pressure. Among these, the low pressure CVD process is a process of depositing a thin film on a semiconductor wafer by reacting a gas in a vacuum state. The apparatus for performing the low pressure CVD process is furnace type and is configured such that a plurality of wafers can be loaded into the furnace type reactor to deposit films on the wafers in a batch.

미합중국 특허 제4,955,775(issued to Ohkase, et al.)에는 수직형 열처리로를 갖고 불순물 확산(impurity diffusion) 공정, 열산화(thermal oxidation) 공정, 화학 기상 증착(chemical vapor deposition; CVD) 공정 등을 수행하기 위한 박막 형성 장치가 개시되어 있다.U.S. Patent No. 4,955,775 (issued to Ohkase, et al.) Has a vertical heat treatment furnace and performs an impurity diffusion process, a thermal oxidation process, a chemical vapor deposition (CVD) process, and the like. A thin film forming apparatus is disclosed.

상기와 같은 반도체 제조용 확산 설비는 수직형 열처리로의 반응 튜브 내로 반응 가스를 주입시키고, 보트(boat)에 적재되어 상기 반응 튜브 내로 진입된 웨이퍼들이 히터에 의해 가열되는 구성을 갖는다. 히터 열에 의하여 분해된 반응 가스는 웨이퍼의 표면에서 결합되면서 웨이퍼 상에 원하는 막을 형성하게 된다. 여기서, 상기 웨이퍼들은 다수의 로봇암(robot arm)을 갖는 이송 장치에 의해 상기 보트에 일정한 간격으로 형성된 슬롯(slot)에 수평 상태로 적재된다. 8인치 웨이퍼의 경우, 상기 보트는 통상 150매 정도의 웨이퍼들을 수납하도록 되어 있다. Such a diffusion apparatus for manufacturing a semiconductor has a configuration in which a reaction gas is injected into a reaction tube of a vertical heat treatment furnace, and wafers loaded into a boat and entered into the reaction tube are heated by a heater. The reaction gas decomposed by the heater heat is combined at the surface of the wafer to form a desired film on the wafer. Here, the wafers are loaded horizontally in slots formed at regular intervals in the boat by a transfer device having a plurality of robot arms. In the case of 8-inch wafers, the boat is typically designed to hold about 150 wafers.                         

그런데, 상기 이송 장치가 상기 보트에 웨이퍼들을 적재하는 과정에서 문제가 발생할 수 있다. 일 예로, 상기 보트의 I번째 슬롯에 웨이퍼가 수납되어 있는 상태에서, 상기 이송 장치가 다시 상기 I번째 슬롯에 다른 웨이퍼를 로딩시키는 경우 상기 웨이퍼들이 서로 충돌하여 파손될 수 있다. 이는 이송 장치의 동작이 기 설정된 위치로부터 미세하게 틀어져 발생하거나 상기 I번째 슬롯에 안착된 상기 웨이퍼를 감지하지 못하여 일어날 수 있다. 이 때, 작업자는 상기와 같은 문제를 해결하기 위하여 상기 이송 장치를 수동으로 조작함으로써 상기 이송 장치의 틀어짐을 바로잡는 티칭(teaching) 작업을 수행한다. 일반적으로, 상기 이송 장치의 티칭 작업은 상기 웨이퍼 가공 장치의 배면(rear surface)과 연결되는 클린룸(clean room)의 서비스 지역(service area)에서 수행된다. 그런데, 상기 티칭 작업 중에 상기 이송 장치를 정지시키는 제어 버튼이 상기 웨이퍼 가공 장치의 전면(front surface)에만 설치되어 있어 상기 서비스 지역에서 수행되는 티칭 작업이 효율적으로 이루어지지 못하는 문제점이 있다.However, a problem may occur while the transfer device loads wafers into the boat. For example, when the wafer is stored in the I-th slot of the boat, when the transfer device loads another wafer into the I-th slot, the wafers may collide with each other and be damaged. This may occur because the operation of the transfer device is finely distorted from the preset position or may not detect the wafer seated in the I-th slot. At this time, the operator performs a teaching operation to correct the misalignment of the conveying device by manually operating the conveying device to solve the above problem. In general, the teaching operation of the transfer device is performed in a service area of a clean room connected to the rear surface of the wafer processing device. However, a control button for stopping the transfer device is installed only at the front surface of the wafer processing apparatus during the teaching operation, thereby preventing the teaching operation performed in the service area from being efficiently performed.

따라서, 본 발명의 목적은 이송 장치의 티칭 작업을 효율적으로 수행할 수 있도록, 상기 이송 장치의 수동 조작 수단이 클린룸의 서비스 지역에 인접한 설비의 후면에 배치되어 있는 웨이퍼 가공 장치를 제공하는 데에 있다.Accordingly, it is an object of the present invention to provide a wafer processing apparatus in which the manual operation means of the transfer apparatus is arranged on the rear side of a facility adjacent to the service area of the clean room so that the teaching operation of the transfer apparatus can be efficiently performed. have.

상기와 같은 목적을 달성하기 위한 본 발명의 일 측면 따른 웨이퍼 가공 장치는 웨이퍼들에 대한 가공 공정을 수행하기 위한 공정 가스가 공급되며 일측이 개 방된 퍼니스 형태를 갖는 공정 챔버와, 상기 개방된 공정 챔버의 일측에 연결되며 상기 웨이퍼들을 대기시키기 위한 로드록 챔버와, 상기 공정 챔버와 로드록 챔버 사이에서 이동 가능하도록 설치되며 상기 웨이퍼들을 수납하여 상기 공정 챔버에 반입시키기 위한 보트와, 상기 로드록 챔버에 배치되며 상기 웨이퍼들을 상기 보트에 복층으로 수납시키기 위한 이송 장치와, 상기 이송 장치에 대한 티칭 작업이 이루어지는 클린룸의 서비스 지역에 인접하여 상기 로드록 챔버의 외측에 배치되며, 상기 티칭 작업 중에 상기 이송 장치의 동작을 정지시키기 위한 이송장치 정지수단을 포함한다.Wafer processing apparatus according to an aspect of the present invention for achieving the above object is a process chamber which is supplied with a process gas for performing a processing process for the wafers and has a furnace form open on one side, and the open process chamber A load lock chamber connected to one side of the wafer and configured to move between the process chamber and the load lock chamber, the boat configured to receive the wafers into the process chamber, and to the load lock chamber; A transfer device arranged to receive the wafers in multiple layers in the boat, and an outer side of the load lock chamber adjacent to a service area of a clean room where teaching work is performed on the transfer device; A conveying device stopping means for stopping the operation of the device.

본 발명의 일 실시예에 따르면, 상기 이송장치 정지수단은 상기 이송 장치의 구동을 즉각적으로 정지시키기 위한 제1정지 버튼과, 정지시키고자 하는 시점에서 구동 중인 상기 이송 장치의 동작이 완료된 후에 상기 이송 장치의 구동을 정지시키기 위한 제2정지 버튼을 포함할 수 있다. 또한, 상기 이송장치 정지수단은 상기 이송 장치의 비정상적인 구동시 점멸하는 경보 램프 및 경보 발신부를 포함할 수 있다.According to an embodiment of the present invention, the transfer device stop means includes a first stop button for immediately stopping the driving of the transfer device, and the transfer after the operation of the transfer device being driven at the time to stop is completed. And a second stop button for stopping the driving of the device. In addition, the conveying device stop means may include an alarm lamp and an alarm transmitting unit that blinks during abnormal driving of the conveying device.

따라서, 작업자가 상기 웨이퍼 가공 장치의 이송 장치에 대한 티칭 작업을 용이하게 수행할 수 있다.Therefore, the worker can easily perform the teaching operation for the transfer device of the wafer processing apparatus.

이하, 본 발명에 따른 웨이퍼 가공 장치의 바람직한 실시예를 첨부된 도면을 참조하여 상세하게 설명하면 다음과 같다.Hereinafter, a preferred embodiment of the wafer processing apparatus according to the present invention will be described in detail with reference to the accompanying drawings.

도 1은 본 발명의 일 실시예에 따른 웨이퍼 가공 장치를 설명하기 위한 개략적인 구성도이다. 1 is a schematic diagram illustrating a wafer processing apparatus according to an embodiment of the present invention.                     

도 1을 참조하면, 상기 웨이퍼 가공 장치(100)는 일측이 개방된 종형로(vertical type furnace, 112)를 포함하는 공정 챔버(110)와, 상기 공정 챔버(110)의 개방된 일측과 연결되고, 상기 가공 공정이 수행되는 웨이퍼들을 대기시키기 위한 로드록 챔버(140)와, 상기 로드록 챔버(140)에서 상기 웨이퍼들을 수납하여 상기 공정 챔버(110)로 반입하기 위한 보트(180)와, 상기 웨이퍼들을 카세트(C)로부터 상기 보트(180)로 이송하기 위한 이송 장치(160)를 포함한다.Referring to FIG. 1, the wafer processing apparatus 100 is connected to a process chamber 110 including a vertical type furnace 112 having one side open, and an open side of the process chamber 110. A load lock chamber 140 for waiting for wafers on which the processing process is performed, a boat 180 for storing the wafers in the load lock chamber 140 and carrying them into the process chamber 110, and And a transfer device 160 for transferring wafers from the cassette C to the boat 180.

로드록 챔버(140)는 제1로드록 챔버(120)와 제2로드록 챔버(130)로 이루어지고, 제2로드록 챔버(130)는 제1트랜스퍼 챔버(130a) 및 제2트랜스퍼 챔버(130b)로 구성된 형태를 갖는다.The load lock chamber 140 may include a first load lock chamber 120 and a second load lock chamber 130, and the second load lock chamber 130 may include a first transfer chamber 130a and a second transfer chamber ( 130b).

제1로드록 챔버(120)의 전면에는 작업자가 다수의 웨이퍼가 적재된 카세트(C)를 로딩하기 위한 로더부(170)가 형성된다. 로더부(170)는 웨이퍼의 운반용 용기, 즉 카세트(C)를 시스템에 대해 출입시키기 위한 공간이다. 로더부(170)는 제1로드록 챔버(120)의 일 측벽, 즉 전면(front surface, 122)에 배치된 개폐 게이트가 부착된 출입구(172)와, 출입구(172) 근방에 배치되고 카세트(C)를 탑재하기 위한 탑재대(174)를 포함한다. 카세트(C) 내에는 동일 치수, 예를 들면 8인치의 웨이퍼 25장이 수직으로 세운 상태로 수납될 수 있다. 상기 탑재대(174)에는 카세트(C)를 전후에서 가압하는 가압부(176, 178)와, 카세트(C) 내의 웨이퍼의 오리엔테이션 플랫(orientation flat) 정렬을 하는 플랫존 정렬기(도시되지 않음)등이 배치된다. 탑재대(172)는 수직으로 회전 가능하게 배치되고, 카세트(C) 내부의 웨이퍼가 수직 상태에서 수평 상태로 되도록 카세트(C)를 90도 회전시킬 수 있다. On the front surface of the first loadlock chamber 120, a loader unit 170 for a worker to load the cassette C loaded with a plurality of wafers is formed. The loader unit 170 is a space for allowing the wafer carrying container, that is, the cassette C, to enter and exit the system. The loader 170 includes an entrance and exit 172 having an opening and closing gate disposed at one sidewall of the first load lock chamber 120, that is, a front surface 122, and a cassette ( C) a mounting table 174 for mounting. In the cassette C, 25 wafers of the same dimension, for example, 8 inches, can be stored in a vertical position. The mount 174 includes press portions 176 and 178 for pressing the cassette C back and forth, and a flat zone aligner (not shown) for orientation flat alignment of the wafers in the cassette C. Etc. are arranged. The mounting table 172 is disposed to be rotatable vertically, and the cassette C can be rotated 90 degrees so that the wafer inside the cassette C is horizontal from the vertical state.                     

제1로드록 챔버(120) 내에는 승강 동작과 수평면 내에서의 왕복 동작 및 선회 동작이 가능한 복수, 일 예로 5개(도 1에서는 그 일부만을 도시함)의 로봇암(162)을 갖는 이송 장치(160)가 배치된다. 이송 장치(160)의 주위에는 탑재대(172)와 카세트(C)를 수납 배치하기 위한 카세트 배치 선반(도시되지 않음)과, 웨이퍼의 탑재 이송부가 되는 제2로드록 챔버(130)의 제1트랜스퍼 챔버(130a)가 대략 동심원 상으로 배치된다. 이송 장치(160)의 로봇암(162)은 웨이퍼를 한 장씩 탑재하여 운반하는 지지부(도시되지 않음)를 가질 수 있다. 상기 로봇암(162)에 의해 카세트 배치 선반 상의 카세트(C)와 웨이퍼 탑재 이송부인 제1트랜스퍼 챔버(130a) 내의 보트(180) 사이에서 웨이퍼(W)가 운반된다.In the first loadlock chamber 120, a transfer device having a plurality of robot arms 162 (e.g., only a part thereof is shown in FIG. 1) capable of lifting and lowering and reciprocating and turning in a horizontal plane. 160 is disposed. Around the conveying apparatus 160, the cassette mounting shelf (not shown) for accommodating and placing the mounting table 172 and the cassette C, and the 1st of the 2nd load lock chamber 130 which become a loading conveyance part of a wafer Transfer chamber 130a is arranged approximately concentrically. The robot arm 162 of the transfer device 160 may have a support (not shown) that mounts and transports wafers one by one. The robot arm 162 transports the wafer W between the cassette C on the cassette placement shelf and the boat 180 in the first transfer chamber 130a, which is a wafer-mount transfer unit.

탑재대(174), 이송 장치(160) 및 카세트 배치 선반 등이 존재하는 제1로드록 챔버(120)의 공간은 제2로드록 챔버(130)를 거쳐 종형 열처리로(112)에 접속된다. 상기 제1로드록 챔버(120)는 예비 진공실로서 기능함과 동시에, 열처리용의 석영으로 제조된 보트(180)에 대하여 웨이퍼(W)를 출입시키기 위한 탑재 이송부로서 기능한다. 상기 보트(180)에는 동일 치수, 예컨대 8인치 150장의 웨이퍼(W)들이 서로 일정한 간격을 두고 적층되는 복층(multiple stages) 방식으로 지지될 수 있다. 보트(180)는 다수의 웨이퍼들(W)을 적재한 상태로 상기 종형 열처리로(112)로 운반되어, 열처리 공정 중에 상기 웨이퍼들(W)을 지지한다.The space of the first load lock chamber 120 in which the mounting table 174, the transfer device 160, the cassette placing shelf, and the like exist is connected to the vertical heat treatment furnace 112 via the second load lock chamber 130. The first load lock chamber 120 functions as a preliminary vacuum chamber and also functions as a mounting transfer part for entering and exiting the wafer W with respect to the boat 180 made of quartz for heat treatment. The boat 180 may be supported in a multiple stages manner in which the same size, for example, 150 wafers 8 of 8 inches are stacked at regular intervals from each other. The boat 180 is transported to the vertical heat treatment furnace 112 with a plurality of wafers W loaded therein, and supports the wafers W during the heat treatment process.

여기서, 제1트랜스퍼 챔버(130a)는 이송 장치(160)를 사이에 탑재대(172)와 대향하는 위치로 배치된다. 제1로드록 챔버(120)의 이송 장치(160)와 인접한 제1트랜스퍼 챔버(130a)의 측면에는 로드록 도어(136)가 부착된 개구가 형성된다. 또한, 제1트랜스퍼 챔버(130a)의 다른 측면에는 게이트 밸브(138)를 거쳐 제2트랜스퍼 챔버(130b)가 연결된다.Here, the first transfer chamber 130a is disposed at a position facing the mounting table 172 with the transfer device 160 therebetween. An opening to which the load lock door 136 is attached is formed at a side surface of the first transfer chamber 130a adjacent to the transfer device 160 of the first load lock chamber 120. In addition, the second transfer chamber 130b is connected to the other side of the first transfer chamber 130a through the gate valve 138.

상기 제1로드록 챔버(120)의 후방부 상측에는 하부에 입구(114)를 갖는 종형 열처리로(112)가 배치된다. 상기 제2로드록 챔버(130)는 열처리로(112) 하방의 로딩 구역(작업 영역)을 구성한다. 제1 및 제2로드록 챔버(120, 130)는 열처리로(112)의 열처리시에 있어서의 진공도, 일 예로 1Torr와 거의 동일한 진공도까지 감압 설정할 수 있게 된다.A vertical heat treatment furnace 112 having an inlet 114 at a lower portion is disposed above the rear portion of the first load lock chamber 120. The second loadlock chamber 130 constitutes a loading area (working area) below the heat treatment furnace 112. The first and second loadlock chambers 120 and 130 can be decompressed to a vacuum degree at the time of the heat treatment of the heat treatment furnace 112, for example, a vacuum degree substantially equal to 1 Torr.

제1트랜스퍼 챔버(130a)는 게이트 밸브(138)를 열어 제2트랜스퍼 챔버(130b)와 연통하기에 앞서 제2트랜스퍼 챔버(130b)와 동일한 압력으로 설정된다. 또한, 상기 제1트랜스퍼 챔버(130a)는 게이트 밸브(138)를 닫은 상태로 제1로드록 챔버(120)의 로드록 도어(136)를 열어 제1로드록 챔버(120)내의 압력(대기압)으로 설정된다.The first transfer chamber 130a is set to the same pressure as the second transfer chamber 130b before opening the gate valve 138 to communicate with the second transfer chamber 130b. In addition, the first transfer chamber 130a opens the load lock door 136 of the first load lock chamber 120 with the gate valve 138 closed, and the pressure (atmospheric pressure) in the first load lock chamber 120 is maintained. Is set.

상기 열처리로(112)의 하방에는 그 입구를 개폐하는 덮개(117)가 승강 기구의 승강암(118)에 의해 승강 가능하도록 배치된다. 덮개(117)의 상부에는 석영으로 된 보온통(116)을 거쳐 보트(180)가 탑재된다. 상기 제2로드록 챔버(130)내의 제2트랜스퍼 챔버(130b)에는 보트(180)를 보온통(116) 상에서 상기 제1트랜스퍼 챔버(130a)로 또는 그 반대로 운반하기 위한 운반기구(150)가 배치된다.Under the heat treatment furnace 112, a cover 117 for opening and closing the inlet is disposed to be liftable by the lifting arm 118 of the lifting mechanism. The boat 180 is mounted on the top of the cover 117 via a heat insulating tube 116 made of quartz. In the second transfer chamber 130b in the second load lock chamber 130, a carrier 150 for transporting the boat 180 from the thermos 116 to the first transfer chamber 130a or vice versa is disposed. do.

도 2는 도 1에 도시된 이송 장치(160) 및 보트(180)를 설명하기 위한 개략적인 사시도이다.FIG. 2 is a schematic perspective view illustrating the transfer device 160 and the boat 180 shown in FIG. 1.

도 2를 참조하면, 보트(180)는 상하에 대향하여 배치된 천정판(181) 및 바닥 판(182)을 구비한다. 천정판(181)과 바닥판(182) 사이에는 다수개, 예를 들면 4개의 지주(183)가 고정된다. 상기 지주들(183a, 183b) 사이에는 웨이퍼(W)의 입구, 즉 로봇암(162)의 진입구가 형성된다. 보트(180)에 150장의 웨이퍼(W)를 한꺼번에 유지하게 위한 150단의 슬롯(184, 도 2에서는 일부만을 도시함)이 지주(183)에 형성된다. 각 웨이퍼(W)는 그 주연부가 상기 지주의 슬롯(184)에 삽입된 상태로 수평으로 유지된다.Referring to FIG. 2, the boat 180 includes a ceiling plate 181 and a bottom plate 182 disposed to face up and down. A plurality of, for example, four pillars 183 are fixed between the ceiling plate 181 and the bottom plate 182. An inlet of the wafer W, that is, an entrance of the robot arm 162 is formed between the pillars 183a and 183b. 150 stage slots 184 (shown only in part in FIG. 2) are formed in the support 183 to hold 150 wafers W at a time in the boat 180. Each wafer W is kept horizontal with its periphery inserted into the slot 184 of the post.

이송 장치(160)의 각 로봇암(162)의 지지부(164)는 왕복 이동 방향(X방향)을 따라 수평으로 연장되어 나오는 얇은 플레이트들로 이루어지며, 웨이퍼(W)를 소정 위치에 탑재할 수 있도록 상면에 오목부(도시되지 않음)를 갖는다. 상기 로봇암(162)이 5단 배치될 경우, 동시에 5장의 웨이퍼(W)를 운반할 수 있다.The support portions 164 of the robot arms 162 of the transfer device 160 are made of thin plates extending horizontally along the reciprocating direction (X direction), and the wafer W can be mounted at a predetermined position. So that it has a recess (not shown) on its upper surface. When the robot arm 162 is arranged in five stages, five wafers W may be simultaneously carried.

여기서, 이송 장치(160)는 이송암(162)을 각각 X, θ, Z 방향으로 구동하기 위한 X 구동부(165), θ구동부(166), Z 구동부(167)를 갖는다. X 구동부(165)는 로봇암(162)을 지지하는 베이스(168) 상에서 이송암을 수평 방향(X방향)으로 진퇴시킨다. θ구동부(166)는 모든 로봇암(162)을 베이스(168)와 함께 수직축 둘레(θ방향)로 일체적으로 회전시킨다. Z 구동부(167)는 모든 로봇암(162)을 베이스(168)와 함께 수직 방향(Z방향)으로 일체 이동시킨다. 상기 구동부들(165, 166, 167)은 제어부(190)에 의해 제어되고, 이송 장치(160)의 로봇암(162)의 동작은 제어부(190) 내에 설정된 구동 좌표계에 따라 운동한다.Here, the transfer apparatus 160 has an X driver 165, a θ driver 166, and a Z driver 167 for driving the transfer arm 162 in the X, θ, and Z directions, respectively. The X driver 165 advances the transfer arm in the horizontal direction (X direction) on the base 168 supporting the robot arm 162. The θ driving unit 166 rotates all the robot arms 162 integrally with the base 168 around the vertical axis (θ direction). The Z driver 167 integrally moves all the robot arms 162 together with the base 168 in the vertical direction (Z direction). The driving units 165, 166, and 167 are controlled by the controller 190, and the operation of the robot arm 162 of the transfer device 160 moves according to the driving coordinate system set in the controller 190.

이에 따라, 이송 장치(160)는 카세트(C)와 보트(180) 사이를 왕복하며 카세트(C)들에 수납된 웨이퍼(W)를 보트(180)에 인입하거나 또는 보트(180)로부터 인출 하게 된다. 이때, 보트(180)는 대량의 웨이퍼(W)를 동시에 수납할 수 있도록 슬롯(184)의 간격이 매우 좁게 형성되어 있기 때문에, 이송 장치(160)의 로봇암(162)들이 웨이퍼들(W)을 상기 슬롯(184)에 안정적으로 인입시킬수 있도록 기 설정된 구동 좌표에 따라 정확하게 운동해야 한다. 따라서, 로봇암(162)이 기 설정된 구동 좌표로부터 벗어나 공정 사고가 발생하는 것을 방지하게 위하여, 상기 웨이퍼 가공 장치(100)를 담당하는 작업자는 상기 설정된 구동 좌표를 주기적으로 점검해 주어야 하는데, 이를 로봇 티칭(robot teaching) 작업이라 부른다. 티칭 작업은 통상적으로 상기 웨이퍼 가공 장치(100)의 전기적 또는 기계적인 구동을 제어하는 다수의 배선, 제어 장치 및 밸브들이 배치되는 제2로드록 챔버(130)의 배면(rear surface, 도 1의 참조번호 132)에서 이루어진다. 제어부(190) 역시 여기에 설치되는 것이 일반적이다.Accordingly, the transfer device 160 reciprocates between the cassette C and the boat 180 and allows the wafer W stored in the cassettes C to enter or withdraw from the boat 180. do. At this time, since the boat 180 has a very narrow gap between the slots 184 so as to accommodate a large amount of wafers (W) at the same time, the robot arms 162 of the transfer device 160 are the wafers (W) It must be accurately moved according to the preset drive coordinates to be stably introduced into the slot 184. Therefore, in order to prevent the robot arm 162 from moving away from the preset driving coordinates, a worker in charge of the wafer processing apparatus 100 should periodically check the set driving coordinates. It is called a robot teaching task. The teaching operation is typically the rear surface of the second loadlock chamber 130 in which a plurality of wirings, control devices and valves are arranged to control the electrical or mechanical drive of the wafer processing apparatus 100, see FIG. Number 132). The control unit 190 is also generally installed here.

도 3은 도 1에 도시된 웨이퍼 가공 장치(100)가 클린룸 내에 배치되는 모습을 나타내기 위한 개략적인 평면도이다.FIG. 3 is a schematic plan view for illustrating a state in which the wafer processing apparatus 100 illustrated in FIG. 1 is disposed in a clean room.

도 3을 참조하면, 로더부(170)를 포함하는 상기 웨이퍼 가공 장치(100)의 전면(122)은 클린룸에서 작업자의 작업 공간이 되는 베이(10) 방향으로 돌출되도록 배치되어 있다. 따라서, 작업자는 베이(10) 내에서 카세트(C) 로딩 또는 언로딩 작업을 수행한다. 또한, 상기 웨이퍼 가공 장치(100)의 배면(132)은 작업자가 웨이퍼 가공 장치(100)의 보수 유지 작업을 수행하기 위한 클린룸의 서비스 지역(service area, 20)내로 돌출되어 있다. 일반적으로 상기 서비스 지역(20)은 상기 보수 유지 작업 등으로 인하여 파티클의 발생 빈도가 높기 때문에 상기 베이(10)와 분리벽 (15)으로 분리되어 있으며, 작업자가 상기 서비스 지역(10)으로 진입하기 위해서는 별도의 출입구(18)를 통과하도록 되어 있다. 따라서, 작업자는 서비스 지역(20)으로 진입하여 상기 티칭 작업을 수행한다.Referring to FIG. 3, the front surface 122 of the wafer processing apparatus 100 including the loader unit 170 is disposed to protrude toward a bay 10 that becomes a work space for an operator in a clean room. Therefore, the operator performs the loading or unloading of the cassette C in the bay 10. In addition, the back 132 of the wafer processing apparatus 100 protrudes into a service area 20 of a clean room for the operator to perform maintenance work on the wafer processing apparatus 100. In general, the service area 20 is separated into the bay 10 and the partition wall 15 because of the high frequency of particles generated due to the maintenance work, etc., the worker enters the service area 10 In order to pass through a separate entrance (18). Thus, the worker enters the service area 20 to perform the teaching operation.

한편, 상기 로봇암(162)이 웨이퍼들(W)을 이송하는 과정에서 발생될 수 있는 웨이퍼 파손을 방지하기 위하여 여러 가지 감지 수단이 설치될 수 있다. 예를 들면, 상기 로봇암(162)의 일단에 센서(도시되지 않음)가 장착되어 상기 로봇암(164)이 웨이퍼를 보트(180)의 소정의 슬롯(184)에 삽입하기 전에 상기 슬롯(184)에 기 안착된 웨이퍼(W)가 있는지를 감지할 수 있다. 이와는 다르게, 보트(180)와 인접하게 맵핑 센서(mapping sensor)를 설치하여 상기 로봇암(162)이 웨이퍼(W)를 삽입하기 전에 이미 웨이퍼(W)가 적재되지 있지 않은 슬롯(184)들을 파악하여 로봇암(162)이 상기 슬롯(184)들에만 웨이퍼(W)를 삽입하게 할 수도 있다.Meanwhile, various sensing means may be installed to prevent wafer breakage that may occur in the process of transferring the wafers W by the robot arm 162. For example, a sensor (not shown) is mounted at one end of the robot arm 162 such that the slot 184 before the robot arm 164 inserts a wafer into a predetermined slot 184 of the boat 180. It can be detected whether there is a wafer (W) previously seated in the). Alternatively, a mapping sensor is installed adjacent to the boat 180 to identify slots 184 where the wafer W is not already loaded before the robot arm 162 inserts the wafer W. FIG. The robot arm 162 may insert the wafer W only into the slots 184.

상기와 같은 감지 수단에 의해서 이송 장치(160)의 비정상적인 구동을 방지할 수 있다. 이송 장치(160)가 비정상적으로 동작할 경우에는 이송 장치(160)의 구동을 정지시키는 인터록(interlock) 시스템이 작동한다. 이때, 이송 장치(160)의 이상 여부를 진단하고, 이송 장치(160)의 구동 좌표를 바로잡기 위하여 티칭 작업을 실시한다.Abnormal driving of the transfer device 160 may be prevented by the sensing means as described above. When the transfer device 160 operates abnormally, an interlock system for stopping the drive of the transfer device 160 operates. At this time, the teaching operation is performed to diagnose the abnormality of the transfer apparatus 160 and to correct the driving coordinates of the transfer apparatus 160.

도 4를 참조하면, 티칭 작업시에는 이송 장치(160)의 동작을 정지시키기 위한 이송장치 정지수단(192)이 사용된다. 이송장치 정지수단(192)은 작업자가 이송 장치(160)에 대한 티칭 작업이 이루어지는 클린룸의 서비스 지역(20)에 인접하게 배치되는 것이 바람직하다. 예를 들면, 서비스 지역(20)과 연결되는 웨이퍼 가공 장치(100)의 배면(132)의 소정 부위에 장착될 수 있다.Referring to FIG. 4, in the teaching operation, a conveying device stopping means 192 for stopping the operation of the conveying device 160 is used. The conveying device stopping means 192 is preferably arranged adjacent to the service area 20 of the clean room in which the worker teaches the conveying device 160. For example, it may be mounted on a predetermined portion of the back 132 of the wafer processing apparatus 100 connected to the service area 20.

구체적으로, 이송장치 정지수단(192)은 이송 장치(160)의 구동을 즉각적으로 정지시키기 위한 제1정지 버튼('STOP' button, 194)과 작업자가 이송 장치(160)를 정지시키고자 하는 시점에서 수행 중인 이송 장치(160)의 동작이 완료된 후에 이송 장치(160)의 구동을 정지시키기 위한 제2정지 버튼('PAUSE' button, 196)을 포함할 수 있다. 또한, 작업자 티칭 작업 중에 이송 장치(160)의 구동 이상을 즉시 인지할 수 있도록 상기의 인터록 시스템에 의한 경보 램프 및 경보음을 발생시키는 경보부(198)가 이송장치 정지수단(192)에 연결될 수 있다.Specifically, the transfer device stop means 192 is a first stop button ('STOP' button) 194 for immediately stopping the driving of the transfer device 160 and the time when the operator wants to stop the transfer device 160. A second stop button 'PAUSE' button 196 may be included to stop the driving of the transfer apparatus 160 after the operation of the transfer apparatus 160 is performed. In addition, an alarm unit 198 for generating an alarm lamp and an alarm sound by the interlock system may be connected to the transfer device stop means 192 to immediately recognize an abnormal operation of the transfer device 160 during the worker teaching operation. .

상기와 같은 본 발명에 따르면, 티칭 작업을 위한 이송장치 정지수단을 퍼니스형 웨이퍼 가공 장치의 배면, 즉 통상적으로 티칭 작업이 수행되는 클린룸의 서비스 지역(service area)과 연결되는 웨이퍼 가공 장치의 측면에 장착함으로써 상기 티칭 작업을 효율적으로 수행할 수 있다.According to the present invention as described above, the side of the wafer processing apparatus is connected to the back of the furnace-type wafer processing apparatus, that is, the service device for the teaching operation is connected to the service area of the clean room where the teaching operation is normally performed. By mounting on the teaching operation can be performed efficiently.

더 나아가서, 티칭 작업 시간이 단축되어 웨이퍼 가공 장치의 가동율을 향상시킬 수 있다.Furthermore, the teaching work time can be shortened to improve the operation rate of the wafer processing apparatus.

상기에서는 본 발명의 바람직한 실시예를 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.While the foregoing has been described with reference to preferred embodiments of the present invention, those skilled in the art will be able to variously modify and change the present invention without departing from the spirit and scope of the invention as set forth in the claims below. It will be appreciated.

Claims (3)

웨이퍼들에 대한 가공 공정을 수행하기 위한 공정 가스가 공급되며, 일측이 개방된 퍼니스 형태를 갖는 공정 챔버;A process chamber supplied with a process gas for performing a processing process on wafers, the process chamber having an open furnace side on one side; 상기 개방된 공정 챔버의 일측에 연결되며, 상기 웨이퍼들을 대기시키기 위한 로드록 챔버;A load lock chamber connected to one side of the open process chamber and configured to hold the wafers; 상기 공정 챔버와 로드록 챔버 사이에서 이동 가능하도록 설치되며, 상기 웨이퍼들을 수납하여 상기 공정 챔버에 반입시키기 위한 보트;A boat installed to be movable between the process chamber and the load lock chamber, for receiving the wafers and carrying them into the process chamber; 상기 로드록 챔버에 배치되며, 상기 웨이퍼들을 상기 보트에 복층으로 수납시키기 위한 이송 장치; 및A transfer device disposed in the load lock chamber and configured to receive the wafers in multiple layers in the boat; And 상기 이송 장치에 대한 티칭(teaching) 작업이 이루어지는 클린룸(clean room)의 서비스 지역(service area)에 인접하여 상기 로드록 챔버의 외측에 배치되며, 상기 티칭 작업 중에 상기 이송 장치의 동작을 정지시키기 위한 이송장치 정지수단을 포함하는 것을 특징으로 하는 웨이퍼 가공 장치.It is disposed outside the load lock chamber adjacent to a service area of a clean room in which a teaching operation is performed on the transfer device, and stops the operation of the transfer device during the teaching operation. Wafer processing apparatus comprising a feeder stop means for. 제1항에 있어서, 상기 이송장치 정지수단은 상기 이송 장치의 구동을 즉각적으로 정지시키기 위한 제1정지 버튼(stop button); 및2. The apparatus of claim 1, wherein the transfer device stop means comprises: a first stop button for immediately stopping driving of the transfer device; And 정지시키고자 하는 시점에서 구동 중인 상기 이송 장치의 동작이 완료된 후에 상기 이송 장치의 구동을 정지시키기 위한 제2정지 버튼을 포함하는 것을 특징으로 하는 웨이퍼 가공 장치.And a second stop button for stopping the driving of the transfer device after the operation of the transfer device being driven at the time to be stopped is completed. 제1항에 있어서, 상기 이송장치 정지수단은 상기 이송 장치의 비정상적인 구동시 점멸하는 경보 램프 및 경보 발신부를 포함하는 것을 특징으로 하는 웨이퍼 가공 장치.The wafer processing apparatus as claimed in claim 1, wherein the transfer device stop means includes an alarm lamp and an alarm transmitter that blink when an abnormal driving of the transfer device occurs.
KR1020050006707A 2005-01-25 2005-01-25 Apparatus for processing a substrate KR20060085988A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020050006707A KR20060085988A (en) 2005-01-25 2005-01-25 Apparatus for processing a substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020050006707A KR20060085988A (en) 2005-01-25 2005-01-25 Apparatus for processing a substrate

Publications (1)

Publication Number Publication Date
KR20060085988A true KR20060085988A (en) 2006-07-31

Family

ID=37175437

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050006707A KR20060085988A (en) 2005-01-25 2005-01-25 Apparatus for processing a substrate

Country Status (1)

Country Link
KR (1) KR20060085988A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100807032B1 (en) * 2006-08-24 2008-02-25 동부일렉트로닉스 주식회사 Wafer and a method of making thereof

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100807032B1 (en) * 2006-08-24 2008-02-25 동부일렉트로닉스 주식회사 Wafer and a method of making thereof

Similar Documents

Publication Publication Date Title
KR101575406B1 (en) Substrate processing apparatus, purging apparatus, method of manufacturing semiconductor device, and recording medium
US20100147396A1 (en) Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
TWI409906B (en) Substrate processing apparatus
US20080171435A1 (en) Vacuum Processing Apparatus, Method for Manufacturing Semiconductor Device, and System For Manufacturing Semiconductor Device
JP5751690B2 (en) Semiconductor manufacturing equipment
KR100946994B1 (en) Substrate processing apparatus and manufacturing method for semiconductor devices
TW201111258A (en) Automatic substrate loading station
JP5901978B2 (en) Substrate processing apparatus, substrate processing apparatus control program, and semiconductor device manufacturing method
US10971382B2 (en) Loadlock module and semiconductor manufacturing apparatus including the same
KR102377165B1 (en) Semiconductor device manufacturing method, substrate processing apparatus and program
JP2012109333A (en) Substrate processing apparatus
KR20130014304A (en) Apparatus, system and method for treating substrate
KR20180124726A (en) Halogen removal module and associated systems and methods
US11430679B2 (en) Semiconductor manufacturing apparatus
JP6176732B2 (en) Gas supply unit, substrate processing apparatus, and semiconductor device manufacturing method
TW201802999A (en) Transfer chamber and processing system having the same, and corresponding method of processing substrates
CN114496694A (en) Processing system and conveying method
JP2011181817A (en) Substrate processing apparatus
JP3769425B2 (en) Electronic component manufacturing apparatus and electronic component manufacturing method
KR100985723B1 (en) Apparatus and method for treating substrates of multi chamber type
JP2004304116A (en) Substrate processing apparatus
KR20060085988A (en) Apparatus for processing a substrate
KR100916141B1 (en) Aligner chamber and substrate processing equipment of multi chamber type having the same
JP2004119627A (en) Semiconductor device manufacturing apparatus
KR20200108467A (en) Processing device, exhaust system, manufacturing method of semiconductor device

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid