JP7457088B2 - A storage device for storing cassettes for substrates and a processing device equipped with the same - Google Patents

A storage device for storing cassettes for substrates and a processing device equipped with the same Download PDF

Info

Publication number
JP7457088B2
JP7457088B2 JP2022190295A JP2022190295A JP7457088B2 JP 7457088 B2 JP7457088 B2 JP 7457088B2 JP 2022190295 A JP2022190295 A JP 2022190295A JP 2022190295 A JP2022190295 A JP 2022190295A JP 7457088 B2 JP7457088 B2 JP 7457088B2
Authority
JP
Japan
Prior art keywords
cassette
base plate
storage device
movable
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2022190295A
Other languages
Japanese (ja)
Other versions
JP2023014191A (en
Inventor
アドリアーン・ガルセン
エドウィン・デン・ハルトグ-ベッセリンク
Original Assignee
エーエスエム・アイピー・ホールディング・ベー・フェー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/673,110 external-priority patent/US10249524B2/en
Application filed by エーエスエム・アイピー・ホールディング・ベー・フェー filed Critical エーエスエム・アイピー・ホールディング・ベー・フェー
Publication of JP2023014191A publication Critical patent/JP2023014191A/en
Application granted granted Critical
Publication of JP7457088B2 publication Critical patent/JP7457088B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection

Description

関連出願の相互参照
本開示は、2017年8月9日に出願された「CASSETTE HOLDER ASSEMBLY FOR A SUBSTRATE CASSETTE AND HOLDING MEMBER FOR USE IN SUCH ASSEMBLY」と題する米国特許出願第15/673,110号、および2017年11月13日に出願された「STORAGE APPARATUS FOR STORING CASSETTES FOR SUBSTRATES AND PROCESSING APPARATUS EQUIPPED THEREWITH」と題する米国仮特許出願第62/585,283号の優先権を主張し、これらは参照により本明細書に組み込まれる。
CROSS-REFERENCE TO RELATED APPLICATIONS This disclosure is incorporated herein by reference in U.S. patent application no. No. 15/673, 110, and US Provisional Patent Application No. 6 entitled “STORAGE APPARATUS FOR STORING CASSETTES FOR SUBSTRATES AND PROCESSING APPARATUS EQUIPPED THEREWITH” filed on November 13, 2017 2/585,283, which are incorporated herein by reference. incorporated into the book.

本発明は、概ね基材用のカセットを保管するための保管装置に関する。本発明はまた、基材を処理する処理装置、およびこのような保管装置を備える処理装置に関することができる。 FIELD OF THE INVENTION The present invention relates generally to storage devices for storing cassettes for substrates. The invention may also relate to a processing device for processing substrates, and to a processing device comprising such a storage device.

保管装置は、半導体材料基材上の個別半導体製品および集積半導体製品の製造に使用される装置に採用される場合がある。基材を輸送するために、保管装置を必要とする場合のあるカセットを用いることができる。 Storage devices may be employed in equipment used in the manufacture of individual and integrated semiconductor products on semiconductor material substrates. Cassettes, which may require storage devices, can be used to transport the substrates.

基材用のカセットを保管するための保管装置は、
カセットを保持するように構築および配置される可動ベースプレートと;
ベースプレートからカセットを収容するおよび取り外すための開口部を備える外壁と;
開口部に対してベースプレートを移動させるように構築および配置される移動デバイスと;を備える。ベースプレート上に、少なくとも一つの半導体材料基材を保管するための複数のカセットは、外壁の開口部を通って保管されることができる。保持部材は、ベースプレート上に配置されおよびベースプレートによって支持され、カセットをベースプレート上の正しい位置に配置することができる。
A storage device for storing cassettes for substrates is
a movable base plate constructed and arranged to hold a cassette;
an outer wall having an opening for receiving and removing the cassette from the base plate;
a movement device constructed and arranged to move the base plate relative to the opening; On the base plate, a plurality of cassettes for storing at least one semiconductor material substrate can be stored through the openings in the outer wall. A retaining member is disposed on and supported by the base plate to allow the cassette to be placed in the correct position on the base plate.

開口部でベースプレート上の基材カセットの存在および正しい方向のうちの少なくとも一つを検出するために、センサーが必要である場合がある。多数のカセットを保管装置に保管できるため、ベースプレート上の基材カセットの存在および正しい方向を検出するために多数のセンサーが必要である場合がある。センサーは、電源の接続および保管装置の固定部との通信を必要とする場合がある。したがって、メインプレートの移動を可能にするケーブルフィードスルーを必要とする場合がある。ベースプレート上の可動センサーおよびケーブルフィードスルーを用いる設計の欠点は、設計が非常に大きくなる可能性があることである。 A sensor may be required to detect at least one of the presence and correct orientation of the substrate cassette on the base plate at the opening. Because a large number of cassettes can be stored in a storage device, a large number of sensors may be required to detect the presence and correct orientation of the substrate cassettes on the base plate. The sensor may require a power connection and communication with a fixed part of the storage device. Therefore, cable feedthroughs may be required to allow movement of the main plate. A disadvantage of designs with movable sensors and cable feedthroughs on the base plate is that the design can be very large.

したがって、本発明の目的は、ベースプレート上の基材カセットの存在および正しい方向のうちの少なくとも一つを検出するためのセンサーの簡略化された設計を備える保管装置を提供することである。 It is therefore an object of the invention to provide a storage device with a simplified design of a sensor for detecting at least one of the presence and correct orientation of a substrate cassette on a base plate.

したがって、基材用のカセットを保管するための保管装置であって、
カセットを保持するように構築および配置される可動ベースプレートと;
ベースプレートからカセットを収容するおよび取り外すための開口部を備える外壁と;
開口部に対してベースプレートを移動するように構築および配置される移動デバイスと;を備え、保管装置には、開口部の近傍に固定センサーが設けられ、開口部のベースプレート上のカセットの存在および正しい方向のうちの少なくとも一つを検出する、保管装置が提供される。
Therefore, a storage device for storing cassettes for base materials,
a movable base plate constructed and arranged to hold a cassette;
an outer wall having an opening for receiving and removing the cassette from the base plate;
a movement device constructed and arranged to move the base plate relative to the aperture; the storage apparatus is provided with a fixed sensor in the vicinity of the aperture to determine the presence and correctness of the cassette on the base plate of the aperture; A storage device is provided that detects at least one of the directions.

開口部近傍のベースプレート上の基材カセットの存在と正しい方向のうちの少なくとも一つを検出するために、開口部近傍に固定センサーを設けることにより、カセットの存在および正しい位置を検出するために可動ベースプレートに配線する必要はない。それにより、保管装置の設計を簡略化することができる。 movable to detect the presence and correct position of the cassette by providing a fixed sensor near the opening to detect at least one of the presence and correct orientation of the substrate cassette on the base plate near the opening; There is no need to wire to the base plate. Thereby, the design of the storage device can be simplified.

半導体製品の製造のための処理装置に、本発明による保管装置を設けてもよい。こうした装置は、製品が大量に製造されることを可能にすることができ、また維持および/または設置を容易にすることができる。 Processing equipment for the production of semiconductor products may be provided with a storage device according to the invention. Such equipment may allow products to be manufactured in large quantities and may be easy to maintain and/or install.

半導体基材の処理に必要な部品に加えて、装置は、保管装置内にカセットを配置する手段、または保管装置からカセットを取り外す手段を備えることができる。カセット内に基材を配置する、カセットから基材を取り外すための基材ハンドラーもまた、装置に設けることができる。 In addition to the components necessary for processing semiconductor substrates, the apparatus may include means for placing the cassette in or removing the cassette from the storage device. A substrate handler can also be included in the apparatus for placing substrates into and removing substrates from the cassette.

これらおよび他の実施形態は、添付の図面を参照する特定の実施形態の以下の詳細な説明から当業者には容易に明らかになるであろう。本発明は、開示される特定の実施形態に限定されない。 These and other embodiments will be readily apparent to those skilled in the art from the following detailed description of specific embodiments, which refers to the accompanying drawings. The invention is not limited to the particular embodiments disclosed.

当然のことながら、図内の要素は、単純化および明瞭化のために例示されており、必ずしも実寸に比例して描かれていない。例えば、図内の要素のうちの幾つかの寸法は、本開示の例示された実施形態の理解の向上を助けるために他の要素に対して相対的に誇張されている場合がある。 It will be appreciated that elements in the figures are illustrated for simplicity and clarity and are not necessarily drawn to scale. For example, the dimensions of some of the elements in the figures may be exaggerated relative to other elements to aid in improving understanding of the illustrated embodiments of the disclosure.

図1は、処理装置の概略水平断面図を示す。FIG. 1 shows a schematic horizontal cross-sectional view of a processing device. 図2は、別の処理装置の部分的に破断した概略斜視図である。FIG. 2 is a partially cutaway schematic perspective view of another processing device. 図3は、図1または2の装置用の保管装置の部分的に破断した斜視図である。3 is a partially cut away perspective view of a storage device for the device of FIG. 1 or 2; FIG. 図4は、一実施形態によるカセットホルダーアセンブリの正面図である。FIG. 4 is a front view of a cassette holder assembly according to one embodiment. 図5は、一実施形態による比較的小さなサイズの基材を有するカセットを備える図1のカセットホルダーアセンブリの背面図である。FIG. 5 is a rear view of the cassette holder assembly of FIG. 1 with a cassette having a relatively small size substrate according to one embodiment. 図6は、一実施形態による比較的大きなサイズの基材を有するカセットを備える図1のカセットホルダーアセンブリの背面図である。FIG. 6 is a rear view of the cassette holder assembly of FIG. 1 with a cassette having a relatively large size substrate according to one embodiment. 図7は、一実施形態によるベースプレート上に取り付ける前の、カセットを収容するためのベースプレート上の上部斜視図および保持部材上の底部斜視図である。FIG. 7 is a top perspective view on a base plate for accommodating a cassette and a bottom perspective view on a retaining member prior to mounting on the base plate according to one embodiment. 図8は、基材用カセットを保持するためのベースプレート上の正面図である。FIG. 8 is a front view of the base plate for holding the substrate cassette. 図9a、9b、および9cは、一実施形態による可動要素の図4のバーのさらに詳細な上面図、側面断面図および底面断面図である。9a, 9b and 9c are more detailed top, side and bottom cross-sectional views of the bar of FIG. 4 of the movable element according to one embodiment.

図は実寸に比例して描かれておらず、特に明瞭化のために厚さ方向の寸法が誇張されている。対応する区域は、可能な限り同一の参照符号を持つ。 The figures are not drawn to scale, and in particular the thickness dimensions are exaggerated for clarity. Corresponding areas have as far as possible the same reference symbols.

図1は、ウェーハという用語でも示される、半導体基材を処理するための処理装置1を示す。これらのウェーハは、後にウェーハから切断され、さらに処理される多数の集積回路を表面上に形成するために、多数の処理工程に供される。 FIG. 1 shows a processing apparatus 1 for processing semiconductor substrates, also referred to by the term wafer. These wafers are subjected to a number of processing steps to form a number of integrated circuits on the surface which are later cut from the wafer and further processed.

通常、基材を保管装置2の図1の上面図に示されるカセット9内に移動させる。保管装置2は、回転テーブル4を有することによって可動ベースプレートを備え、その上に2~10個、例えば6つのカセットを3段のそれぞれに配置することができ、その結果、例えばこのテーブル4は合計18個のカセットを含むことができる。 Typically, the substrates are transferred into a cassette 9 shown in the top view of FIG. 1 of the storage device 2. The storage device 2 is provided with a movable base plate by having a rotary table 4 on which 2 to 10, for example 6, cassettes can be arranged in each of three tiers, so that for example this table 4 can It can contain 18 cassettes.

保管装置2には、粉塵が非常に低い雰囲気が維持されているクリーンルームからカセットの受け取りおよび取り外しのための入出ポートとして機能する開口部が設けられた外壁3が設けられている。入出ポート5を介して、カセット9はテーブル4の可動ベースプレート上に配置されることができる。 The storage device 2 is provided with an outer wall 3 provided with an opening that serves as an entry/exit port for the receipt and removal of cassettes from a clean room in which a very low dust atmosphere is maintained. Via the input/output port 5, the cassette 9 can be placed on the movable base plate of the table 4.

図が明確に示すように、保管装置2の断面は、少なくとも部分的に正多角形の周囲を有し、すなわち、図1における右側の三つの壁は断面が正八角形の一部を形成する。以下にさらに説明される処理ステーション10、11および12は、断面が少なくとも部分的に正多角形の周囲を有している。ステーション2、10、11および12は、側面の位置で他のステーション上に連結する個別のステーションとして具体化される。 As the figures clearly show, the cross section of the storage device 2 has an at least partially regular polygonal perimeter, ie the three walls on the right in FIG. 1 form part of a regular octagon in cross section. The processing stations 10, 11 and 12, which will be described further below, have a circumference that is at least partially regular polygonal in cross-section. Stations 2, 10, 11 and 12 are embodied as separate stations that connect onto the other stations in lateral positions.

装置1では、基材ハンドラー8を有する中央ステーションが設けられる。この基材ハンドラー8は、カセット9から基材13を取り出し、それらを処理ステーション10、11、12内のウェーハキャリア内に置くことができる。処理後、基材ハンドラーは再び処理ステーションのウェーハキャリアから処理された基材を取り外し、次の処理ステーションに、またはロータリーメインプレート4によって所定位置へ回転された空のカセット9内にそれらを配置する。処理された基材13を有するカセット9は、入出ポート5と同じ様に機能することができる入出ポート6を介して保管装置2から取り外されてもよい。 In the apparatus 1 a central station with a substrate handler 8 is provided. This substrate handler 8 can remove substrates 13 from the cassette 9 and place them into wafer carriers in processing stations 10, 11, 12. After processing, the substrate handler again removes the processed substrates from the wafer carrier of the processing station and places them in the next processing station or in an empty cassette 9 which is rotated into position by the rotary main plate 4. . The cassette 9 with the treated substrate 13 may be removed from the storage device 2 via the input/output port 6, which can function similarly to the input/output port 5.

図1に示す処理ステーション10、11、12のそれぞれには、三つの区画15が画定される回転テーブル14を設けることができる。これらの区画にはそれぞれウェーハキャリア16が設けられる。回転テーブル14は、三つの回転位置で移動および停止することができる。これらの各位置において、ウェーハキャリアの一つは、搬送ステーション8のロボットにアクセス可能である。ウェーハキャリア16を三つの区画15のうちの一つに装填した後、回転テーブル14は時計回りに1/3回転動かされる。充填されたばかりのウェーハキャリアは、本明細書では昇降デバイス17の上およびオーブンの下に置かれる。昇降デバイス17によって、充填されたウェーハキャリアは、ウェーハの処理が行われるオーブン内に上げられる。処理が完了すると、ウェーハキャリアは再び下向きにテーブル14内に移動し、そしてテーブル14はさらに1/3回転する。一方、次のウェーハキャリア16は再び充填され、昇降デバイス17を用いてオーブン内に配置されることができる。処理されたばかりのウェーハを暫くの間冷却することができる。処理サイクルが再度終了した後、回転テーブルは再び1/3回転され、処理され冷却されたウェーハを有するウェーハキャリア16が搬送ステーション8に隣接する開始位置に戻る。その後、処理されたウェーハはウェーハキャリアから取り出され、処理ステーション11の準備完了ウェーハキャリア内または準備完了したカセット9内に置かれる。処理ステーション11および12の作動は、この場合は同一である。 Each of the processing stations 10, 11, 12 shown in FIG. 1 can be provided with a rotating table 14 in which three compartments 15 are defined. A wafer carrier 16 is provided in each of these compartments. The rotary table 14 can move and stop at three rotational positions. In each of these positions, one of the wafer carriers is accessible to the robot of the transfer station 8. After loading the wafer carrier 16 into one of the three compartments 15, the rotary table 14 is moved 1/3 turn clockwise. The freshly filled wafer carrier is here placed above the lifting device 17 and below the oven. By means of a lifting device 17, the filled wafer carrier is raised into the oven where the wafers are processed. When processing is complete, the wafer carrier is again moved downwardly into the table 14, and the table 14 is rotated another third of a revolution. Meanwhile, the next wafer carrier 16 can be filled again and placed in the oven using the lifting device 17. The freshly processed wafer can be cooled down for a period of time. After the processing cycle has finished again, the rotary table is again rotated one-third of a turn and the wafer carrier 16 with the processed and cooled wafer returns to its starting position adjacent to the transfer station 8. The processed wafer is then removed from the wafer carrier and placed in a ready wafer carrier at processing station 11 or in a ready cassette 9. The operation of processing stations 11 and 12 is identical in this case.

保管装置2は、処理ステーション10、11または12のうちの二つまたは一つのみと組み合わせられてもよい。処理ステーション10、11、12の代わりに、別の処理ステーションを用いることができる。実施形態の結果として、個別の連結可能なユニットは断面が少なくとも部分的に正多角形の周囲を有するので、ユニットは正多角形の周囲の側面の位置で別のユニットに接続し、デバイスの構造の大きな自由度が所望の処理に従って可能である。 The storage device 2 may be combined with two or only one of the processing stations 10, 11 or 12. Instead of processing stations 10, 11, 12, other processing stations can be used. As a result of the embodiment, the individual connectable units have a perimeter that is at least partially regular polygonal in cross-section, so that the unit connects to another unit at a lateral position around the regular polygonal perimeter, and the structure of the device A large degree of freedom is possible according to the desired processing.

図1にさらに示すように、基材ハンドラー8は、正方形の周囲および保管装置2で具体化され、処理ステーション10、11および12のそれぞれは、135度の角度を有し、基材ハンドラー8の長さと等しい長さの辺を有する、部分的に正八角形の周囲を有する。ここで保管装置2および処理ステーション10、11および12は、一つの基材ハンドラー8と組み合わせて接続されてもよい。 As further shown in FIG. It has a partially regular octagonal perimeter with sides of length equal to length. Here the storage device 2 and the processing stations 10, 11 and 12 may be connected in combination with one substrate handler 8.

しかし、本発明による構造から、別の組立品も可能である。図2は、例えば、図1の断面で示されるものに対応する一つの保管装置21および二つの処理ステーションからなるデバイスを示し、そのそれぞれは処理ステーション10、11および12に対応することができる。ここでは基材ハンドラー22も配置されている。この基材ハンドラーは、上述のように、ウェーハ13をカセット9から取り出し、処理ステーションのウェーハキャリア27内にそれらを置くことができ、その逆もできるロボット25を備える。ロボット25は、それ自体が既知のロボットであってもよく、その作動アーム自体が下部カセットの底部ウェーハと上部カセット9の上部ウェーハとの間の高さの差を埋めることができるように、昇降デバイス上に取り付けられる。その他の点では、通常、ウェーハの移動は最低位置から最高位置まで連続して行われる、すなわちウェーハ13はカセット9またはウェーハキャリア27から取り出され、底部から始まり最上部へ続くが、一方でこれらは最上部から始まり底部に続き再度ウェーハキャリアまたはカセットに再配置される。これにより、粉塵粒子が下にあるウェーハ上に落下する可能性がなくなる。 However, from the structure according to the invention, other assemblies are also possible. Figure 2 shows, for example, a device consisting of a storage device 21 corresponding to that shown in cross section in Figure 1 and two processing stations, each of which can correspond to processing stations 10, 11 and 12. Here, a substrate handler 22 is also arranged. This substrate handler comprises a robot 25, which, as mentioned above, is able to remove the wafers 13 from the cassette 9 and place them in the wafer carrier 27 of the processing station and vice versa. The robot 25 may be a robot known per se and is mounted on a lifting device so that its working arm itself can bridge the height difference between the bottom wafers of the lower cassette and the top wafers of the upper cassette 9. Otherwise, the movement of the wafers is usually performed continuously from the lowest position to the highest position, i.e. the wafers 13 are removed from the cassette 9 or wafer carrier 27, starting from the bottom and continuing to the top, while they are again placed in the wafer carrier or cassette, starting from the top and continuing to the bottom. This prevents dust particles from falling onto the wafers below.

図2に明瞭に示されるように、この実施形態では、それぞれの連結可能なステーションは、正多角形の周囲を有する部分の側面のうちの少なくとも一つにおいて閉鎖可能な通路開口部を有する密閉筐体を有する。この実施形態では、処理ステーション23、32のそれぞれは一つの通路開口部26を有する。保管装置21には三つの通路開口部24が設けられている。通路開口部は、ガイド30に収容されるハッチ29を用いて開閉されることができ、これは線形アクチュエータ、例えば、空気圧シリンダー31によって上下に移動されることができる。膨張可能なシールをガイド30に組み込んでもよい。ハッチ29を閉じた状況において、このシールは膨張し、したがって密着シール接触が得られる。ハッチ29を移動させる必要がある場合、膨張可能なシールは無圧力にされ、それによりそれ自体がハッチ29から除去される。結果として、ハッチ29が開かれる場合、滑り接触が全くないか、または最小限にしかならないため、本発明によるデバイスの環境において特に望ましくない、開放される粉塵粒子の形成の危険は非常に小さい。また、図2で概略的に指定されるように、ロータリーテーブル28内に収容されるウェーハキャリア27がある。 As clearly shown in FIG. 2, in this embodiment each connectable station has a closed enclosure with a closable passage opening on at least one of the sides of the part having a regular polygonal perimeter. have a body In this embodiment, each of the processing stations 23, 32 has one passage opening 26. The storage device 21 is provided with three passage openings 24. The passage opening can be opened and closed using a hatch 29 housed in the guide 30, which can be moved up and down by a linear actuator, for example a pneumatic cylinder 31. An inflatable seal may be incorporated into the guide 30. In the closed hatch 29 situation, this seal expands and thus provides a tight sealing contact. If the hatch 29 needs to be moved, the inflatable seal is depressurized, thereby removing itself from the hatch 29. As a result, when the hatch 29 is opened, there is no or only a minimal sliding contact, so that the risk of the formation of opened dust particles, which is particularly undesirable in the environment of the device according to the invention, is very small. Also, as schematically designated in FIG. 2, there is a wafer carrier 27 housed within a rotary table 28.

図3は、本発明による保管装置を示す。この装置35は、断面が等しい辺を有する完全に正八角形である。保管装置35には、図2に示すユニット21の通路開口部24に類似の四つの通路開口部38を設けることができる。装置35は、ウェーハ13用の8つのカセット9が3段のそれぞれに置かれることができる回転テーブル36を備えることができる。テーブル36は垂直軸の周りで回転駆動され、装置35の閉じた区画に収容される駆動デバイス37を用いて異なる回転位置に固定されてもよい。 FIG. 3 shows a storage device according to the invention. This device 35 is completely octagonal in cross section with equal sides. The storage device 35 can be provided with four passage openings 38 similar to the passage openings 24 of the unit 21 shown in FIG. The apparatus 35 can comprise a rotating table 36 on which eight cassettes 9 for wafers 13 can be placed in each of three stages. The table 36 is driven in rotation around a vertical axis and may be fixed in different rotational positions using a drive device 37 housed in the closed compartment of the device 35.

装置35には、独自のガス循環デバイスを設けることができる。これは、中央に配置される円筒形フィルター39の内部空間につながる回転テーブルのシャフト40を経由するセントラルガス供給を備える。シャフト40を経由して供給されるガスは、カセット9を通る層流でこのフィルター39を通って水平な半径方向に流れる。外周付近では、ガスは上方に流れ、保管装置35の上部に配置された出口41から排出される。このように実現されたガスのセントラル供給により、装置35のすべての側面は、おそらく他のユニットとの連結に利用可能である。装置内の非常に低い粉塵粒子レベルを維持するためのパージには、ガス循環が必要な場合がある。 The apparatus 35 can be provided with its own gas circulation device. This comprises a central gas supply via the shaft 40 of the rotary table leading to the interior space of a centrally arranged cylindrical filter 39. The gas supplied via the shaft 40 flows in a horizontal radial direction through this filter 39 in laminar flow through the cassette 9. Near the outer periphery, the gas flows upwards and is discharged through an outlet 41 located at the top of the storage device 35. With the central supply of gas realized in this way, all sides of the device 35 are possibly available for connection with other units. Gas circulation may be required for purging to maintain very low dust particle levels within the equipment.

図4は、一実施形態による、基材13を有するカセット9を保管するための保管装置2、35(図1および3)用のカセットホルダーアセンブリの正面図である。カセットホルダーアセンブリ61は、図1および3の回転テーブル4、36に供給される可動ベースプレート63を備える。 FIG. 4 is a front view of a cassette holder assembly for storage device 2, 35 (FIGS. 1 and 3) for storing cassettes 9 with substrates 13, according to one embodiment. The cassette holder assembly 61 comprises a movable base plate 63 that is fed to the rotary table 4, 36 of FIGS. 1 and 3.

カセットホルダー61は、可動ベースプレート63に支持される同等の左保持部材65aおよび右保持部材65bを備え、正面Fから見てそれぞれ右Rと左Lにカセットを位置決めする。左右の保持部材65a、65bは、互いに実質的に同一である。保持部材65a、65bのそれぞれは、保持部材の中心を通る正面Fから背面Bまでの線Jに対して鏡面対称である。 The cassette holder 61 includes identical left and right holding members 65a and 65b supported by a movable base plate 63, which position the cassette on the right R and left L, respectively, as viewed from the front face F. The left and right holding members 65a and 65b are substantially identical to each other. Each of the holding members 65a and 65b is mirror symmetrical with respect to a line J from the front face F to the back face B, which passes through the center of the holding member.

バー66の形態の追加の保持部材をベースプレート63上に設けて、カセットを位置決めすることができる。バー66には、カセットホルダー61上のカセットの存在および/または正しい位置決めを感知するためにセンサーによって用いられるホール68を設けることができる。 Additional retaining members in the form of bars 66 can be provided on the base plate 63 to position the cassette. The bar 66 can be provided with holes 68 that are used by sensors to sense the presence and/or correct positioning of the cassette on the cassette holder 61.

保持部材65a、65bのそれぞれは、カセットと係合し、ベースプレート63に実質的に平行で、正面Fから背面B方向にカセットの位置を制限するための端面67LB、67LF、67RB、67RFを有してもよい。各保持部材は、左端面67LB、67LFおよび右端面67RB、67RFを有してもよい。右端面67RB、67RFは、正面Fから見て保持部材65a、65bの右側に位置し、左端面67LB、67LFは、保持部材の左側に位置することができる。保持部材65a、65bの左端面67LB、67LFおよび右端面67RB、76RFは、実質的に平行であってもよい。 Each of the retaining members 65a, 65b has an end face 67LB, 67LF, 67RB, 67RF for engaging the cassette, being substantially parallel to the base plate 63, and for limiting the position of the cassette in the direction from the front F to the back B. It's okay. Each holding member may have left end faces 67LB, 67LF and right end faces 67RB, 67RF. The right end surfaces 67RB, 67RF may be located on the right side of the holding members 65a, 65b when viewed from the front F, and the left end surfaces 67LB, 67LF may be located on the left side of the holding members. Left end surfaces 67LB, 67LF and right end surfaces 67RB, 76RF of holding members 65a, 65b may be substantially parallel.

右保持部材65bの右端面67RB、67RFおよび左保持部材65aの左端面67LB、67LFは、カセット69と係合するように配置されることができる(図5および図6参照)。左保持部材65aの右端面67RB、67RFおよび右保持部材65bの左端面67LB、67LFは、カセット9と係合するように配置されていなくてもよい。端面に保持部材の摩耗がある場合、保持部材65a、65bの位置を交換して、他の端面を用いてもよい。 Right end surfaces 67RB, 67RF of right holding member 65b and left end surfaces 67LB, 67LF of left holding member 65a can be arranged to engage with cassette 69 (see FIGS. 5 and 6). The right end surfaces 67RB, 67RF of the left holding member 65a and the left end surfaces 67LB, 67LF of the right holding member 65b may not be arranged to engage with the cassette 9. If there is wear of the holding members on the end faces, the positions of the holding members 65a, 65b may be exchanged and other end faces may be used.

基材のサイズ、およびカセットを用いるファブ所有者の好みに依存することができる様々なサイズのカセットが利用でき、保持部材は様々なサイズに適応できるように構築されることができる。保持部材65a、65bのそれぞれは、直径150mmの基材Wのためのカセット9用の小さなカセット端面67RF、67LF(図5参照)、および直径200mmの基材Wのためのカセット9用の大きなカセット端面67RB、67LB(図6参照)として画定される少なくとも二つの端面を有することができる。小さなカセット端面67RF、67LFは、比較的小さなサイズのカセットと係合するために、大きなカセット端面67RB、67LBに対してベースプレート63の正面Fに向かって配置されてもよい。 Cassettes of various sizes are available, which can depend on the size of the substrate and the preferences of the fab owner using the cassette, and the retaining member can be constructed to accommodate various sizes. Each of the holding members 65a and 65b has small cassette end faces 67RF and 67LF (see FIG. 5) for a cassette 9 for a base material W with a diameter of 150 mm, and a large cassette end face for a cassette 9 for a base material W with a diameter of 200 mm. It can have at least two end faces defined as end faces 67RB and 67LB (see FIG. 6). The small cassette end faces 67RF, 67LF may be positioned toward the front F of the base plate 63 relative to the large cassette end faces 67RB, 67LB to engage relatively small sized cassettes.

保持部材65a、65bは、カセット9と係合し、ベースプレート63に実質的に平行で、正面Fから背面B方向に実質的に垂直な、右Rから左Lの方向にカセットの位置を制限するための側面71LF、71LB、71RF、71RBを有してもよい。右側面71RF、71RBおよび左側面71LF、71LBとして画定される二つの側面を設けてもよい。右側面71RF、71RBは、正面から見て保持部材の右側に位置し、左側面71LF、71LBは、正面Fから見て保持部材65a、65bの左側に位置することができる。 The retaining members 65a, 65b engage the cassette 9 and limit the position of the cassette in a right R to left L direction that is substantially parallel to the base plate 63 and substantially perpendicular to the front F to back B direction. It may have side surfaces 71LF, 71LB, 71RF, and 71RB for. Two sides may be provided, defined as right side 71RF, 71RB and left side 71LF, 71LB. The right side surfaces 71RF and 71RB can be located on the right side of the holding member when viewed from the front, and the left side surfaces 71LF and 71LB can be located on the left side of the holding members 65a and 65b when viewed from the front F.

右保持部材65bの右側面71RF、71RBおよび左保持部材65aの左側面71LF、71LBは、カセット9と係合するように配置されてもよい。左保持部材65aの右側面71RF、71RBおよび右保持部材65bの左側面71LF、71FBは、カセット9と係合するように配置されていなくてもよい。 The right side surfaces 71RF, 71RB of the right holding member 65b and the left side surfaces 71LF, 71LB of the left holding member 65a may be positioned to engage with the cassette 9. The right side surfaces 71RF, 71RB of the left holding member 65a and the left side surfaces 71LF, 71FB of the right holding member 65b do not have to be positioned to engage with the cassette 9.

保持部材は、小さなカセット側面71RF、71LFおよび大きなカセット側面71RB、71LBとして画定される少なくとも二つの側面を備える。小さなカセット側面71RF、71LFは、比較的小さなサイズのカセット9と係合するために、大きなカセット側面71RB、71LBに対してベースプレート63の正面Fに向かって配置されてもよい(図5参照)。大きなカセット側面71RB、71LBは、比較的小さいサイズのカセット9と係合するために、小さいカセット側面71RF、71LFに対してベースプレート63の背面Bに向かって配置されてもよい(図4参照)。 The retaining member comprises at least two sides defined as small cassette sides 71RF, 71LF and large cassette sides 71RB, 71LB. The small cassette sides 71RF, 71LF may be positioned toward the front F of the base plate 63 relative to the large cassette sides 71RB, 71LB in order to engage a relatively small sized cassette 9 (see FIG. 5). The large cassette sides 71RB, 71LB may be positioned toward the back surface B of the base plate 63 relative to the small cassette sides 71RF, 71LF in order to engage a relatively small sized cassette 9 (see FIG. 4).

保持部材65a、65bの両方は、カセットと係合し、右Rから左Lの反対方向にカセットの位置を制限するための側面を有してもよい。これにより、保持部材65a、65bによりカセットを左から右方向に位置決めすることができる。 Both retaining members 65a, 65b may have sides for engaging the cassette and limiting the position of the cassette in opposite directions from right R to left L. Thereby, the cassette can be positioned from left to right using the holding members 65a and 65b.

保持部材65a、65bは、締結具、例えばねじ部品、例えばスロットホール77を通るボルト75によって、ベースプレート63に取り外し可能に固定されることができる。スロットホール77は、ベースプレート63上の保持部材65a、65bの位置を調整するために、正面Fから背面Bへの線に垂直な方向を有することができる。 The retaining members 65a, 65b can be removably fixed to the base plate 63 by fasteners, for example threaded fittings, for example bolts 75 passing through slotted holes 77. The slot holes 77 can have a direction perpendicular to the line from the front F to the back B in order to adjust the position of the holding members 65a, 65b on the base plate 63.

図7は、カセットを収容するための可動ベースプレート3の上面斜視図、およびベースプレート63に取り付けるための右保持部材65bの底面斜視図である。図7は、部材65bの正面Fから背面Bへの線Jに垂直な方向を有するスロットホール77を示すことができる。保持部材65bには、ベースプレート63に設けられたガイドスロット83および/または位置決めスロット85に適合する細長いバー79、81を設けることができる。2本の細長いガイドバー79は、正面Fから背面Bへの線Jに垂直な方向の2本のガイドスロット83よりも小さくすることができ、保持部材65bが調節可能に固定され、ベースプレート63上でその方向に導かれる。 FIG. 7 is a top perspective view of the movable base plate 3 for accommodating a cassette, and a bottom perspective view of the right holding member 65b for attachment to the base plate 63. FIG. 7 can show a slotted hole 77 having a direction perpendicular to the line J from the front face F to the back face B of the member 65b. The retaining member 65b can be provided with elongated bars 79, 81 that fit into guide slots 83 and/or positioning slots 85 provided in the base plate 63. The two elongated guide bars 79 can be smaller than the two guide slots 83 in the direction perpendicular to the line J from the front F to the back B, to which the retaining member 65b is adjustably fixed, and which are arranged on the base plate 63. will lead you in that direction.

一つの細長い位置決めバー81は、正面Fから背面Bへの線Jに実質的に垂直な方向および実質的に平行な方向において、位置決めスロット85と同じサイズとすることができる。保持部材65bがベースプレート63に取り付けられると、細長い位置決めバー81および位置決めスロット85は、保持部材の部分をベースプレート63上に左から右および前から後方向に固定することができる。 One elongated locating bar 81 may be the same size as the locating slot 85 in a direction substantially perpendicular to and substantially parallel to the front F to back B line J. When the retaining member 65b is attached to the base plate 63, the elongated locating bar 81 and locating slot 85 can secure portions of the retaining member on the base plate 63 in a left-to-right and front-to-back direction.

標準サイズのカセットから少し外れる可能性のあるサイズを有するカセットの場合、保持部材65a、65b間の距離を少し調整する必要がある場合がある。保持部材65a、65b間の左から右への方向の距離の小さな調整のために、細長い位置決めバー81は(部分的に)取り外し可能であってもよい。例えば、保持部材65bをベースプレート63上に左から右方向に調節可能に固定できるように、バー81の上部を切り落とすことができる。ガイドスロット83よりも左から右方向で小さくてもよい細長いガイドバー79は、保持部材65bがその方向に調整可能に固定されることを可能にする。前から後ろ方向で、細長いガイドバー79はベースプレート63上に保持部材65bをさらに固定することができる。留め具、例えば(図4の)ボルト75によって、スロットホール77を通るネジ付きホール89と係合し、保持部材を固定することができる。 For cassettes with sizes that may deviate slightly from standard size cassettes, it may be necessary to adjust the distance between the holding members 65a, 65b slightly. For small adjustments of the distance between the retaining members 65a, 65b in the left-to-right direction, the elongated positioning bar 81 may be (partially) removable. For example, the top of the bar 81 can be cut off so that the retaining member 65b can be fixed on the base plate 63 in an adjustable manner from left to right. The elongated guide bar 79, which may be smaller in the left-to-right direction than the guide slot 83, allows the retaining member 65b to be adjustably fixed in that direction. From front to back, the elongated guide bar 79 can further secure the retaining member 65b on the base plate 63. A fastener, such as bolt 75 (of FIG. 4), may engage a threaded hole 89 through slotted hole 77 to secure the retaining member.

図7に示すように、保持部材65bは、保持部材の中心を通る正面Fから背面Bまでの線Jに対して鏡面対称であることができる。保持部材65a、65bの設計における対称性により、同じ保持部材65a、65bがベースプレート63上の左側と右側に用いられることができることが保証される。 As shown in FIG. 7, the holding member 65b can have mirror symmetry with respect to a line J from the front F to the back B passing through the center of the holding member. The symmetry in the design of the retaining members 65a, 65b ensures that the same retaining members 65a, 65b can be used on the left and right sides on the base plate 63.

保持部材65aは、少なくとも二つ、例えば、四つの実質的に平行な端面67LB、67LF、67RB、67RF(図4参照)を備えてもよい。二つの端面は、正面Fから見て保持部材の右側に位置する右端面67RB、67RFであってもよく、別の二つの端面は、正面から見て保持部材の左側に位置する左端面67LB、67LFであってもよい。端面67LB、67LF、67RB、67RFは、互いに実質的に平行であってもよい。さらに保持部材65aは、少なくとも二つ、例えば、四つの実質的に平行な側面71LF、71LB、71RF、71RBを備えることができる。正面から見て、二つの側面は、保持部材の右側に位置する右側面71RF、71RBであってもよく、別の二つの側面は、保持部材の左側に位置する左側面71LF、71LBであってもよい。側面は、互いに実質的に平行であってもよい。 The retaining member 65a may include at least two, for example four, substantially parallel end surfaces 67LB, 67LF, 67RB, 67RF (see FIG. 4). The two end surfaces may be right end surfaces 67RB and 67RF located on the right side of the holding member when viewed from the front F, and the other two end surfaces may be a left end surface 67LB and 67RF located on the left side of the holding member when viewed from the front. It may be 67LF. End surfaces 67LB, 67LF, 67RB, and 67RF may be substantially parallel to each other. Further, the retaining member 65a may comprise at least two, for example four, substantially parallel sides 71LF, 71LB, 71RF, 71RB. When viewed from the front, the two side surfaces may be right side surfaces 71RF and 71RB located on the right side of the holding member, and the other two side surfaces may be left side surfaces 71LF and 71LB located on the left side of the holding member. Good too. The sides may be substantially parallel to each other.

保持部材65aの側面は、端面と直角であってもよい。側面は、端面と交差していてもよい。保持部材65aは、側面および/または端面に隣接する少なくとも一つのガイド面87を有することができる。ガイド面87は、端面または側面で15~75度の角度を有することができる。 The side surface of the holding member 65a may be perpendicular to the end surface. The side surface may intersect with the end surface. The holding member 65a can have at least one guide surface 87 adjacent to a side surface and/or an end surface. The guide surface 87 can have an angle of 15 to 75 degrees on the end or side surfaces.

保持部材65aには、締結具のためのスロットホール77が設けられてもよく、スロットホール77は、保持部材の正面Fから背面Bまでの線と垂直な方向を有する。保持部材65aには、部材の底面から下向きに延在する少なくとも一つの細長いバーが設けられることができる。 The retaining member 65a may be provided with a slotted hole 77 for a fastener, the slotted hole 77 having a direction perpendicular to the line from the front face F to the rear face B of the retaining member. The retaining member 65a may be provided with at least one elongated bar extending downwardly from the bottom surface of the member.

保持部材65a、65bは射出成形されうる。保持部材はポリマーを含むことができる。例えば、保持部材は、その強度および柔軟性のためにアクリロニトリルブタジエンスチレン材料を含むことができる。 The retaining members 65a, 65b may be injection molded. The retaining member can include a polymer. For example, the retaining member can include an acrylonitrile butadiene styrene material for its strength and flexibility.

保持部材65aは、正面Fから見た左側と右側との対称性により容易に製造されることができる。また、保持部材65aをベース表面63上に取り付けるために一つの締結具、例えばボルト75を用いる必要があるだけなので、用いることはより簡単である。 The holding member 65a can be easily manufactured due to the symmetry between the left side and the right side when viewed from the front F. It is also simpler to use, as only one fastener, such as bolt 75, needs to be used to attach retaining member 65a onto base surface 63.

保持部材は、プラスチックまたは金属から作製されることができる。金属はアルミニウムまたは鋼であってもよい。プラスチックは、アクリロニトリルブタジエンスチレン(ABS)、ポリプロピレン(PP)、またはポリエチレン(PE)であってもよい。これらの材料は、摩擦係数が低く、他の好ましい特性、例えば良好な加工能力を有する場合がある。さらに、材料を適切に洗浄することができるように、材料は洗浄剤に対して安定していることができる。 The retaining member can be made from plastic or metal. The metal may be aluminum or steel. The plastic may be acrylonitrile butadiene styrene (ABS), polypropylene (PP), or polyethylene (PE). These materials may have a low coefficient of friction and other favorable properties, such as good processing ability. Furthermore, the material can be stable to cleaning agents so that it can be properly cleaned.

カセットホルダー61の寸法を、前面が開いている箱形の本体であるカセット9の寸法に適合させることができ、その寸法は、その中に配置される基材Wの数および直径、例えば200mmによって決定されることができる。ベースプレート63は、0.2~4mm、好ましくは0.3~3mmの厚さを有することができ、カセットホルダー65aおよび65bをカセットホルダー61が一部を形成する装置に固定するためのホールが設けられた鋼から製造されることができる。 The dimensions of the cassette holder 61 can be adapted to the dimensions of the cassette 9, which is a box-shaped main body with an open front, and the dimensions depend on the number and diameter of the substrates W arranged therein, for example 200 mm. can be determined. The base plate 63 can have a thickness of 0.2 to 4 mm, preferably 0.3 to 3 mm, and is provided with holes for fixing the cassette holders 65a and 65b to the device of which the cassette holder 61 forms a part. can be manufactured from steel.

カセットホルダー部材65a、65bの高さ寸法は、5~25mmであってもよい。カセットホルダー65aおよび65bには、ポリマー、例えばアクリロニトリルブタジエンスチレン、ポリプロピレンまたはポリエチレンを用いることができ、これらは、作動中に優位性を有し、例えばICの製造プロセスに有害となる可能性のある金属や粉塵粒子は形成されない。カセットホルダー65aおよび65bに用いることができるさらなる材料は、アルミニウムまたは鋼であってもよい。 The height dimension of the cassette holder members 65a, 65b may be 5 to 25 mm. For the cassette holders 65a and 65b, polymers can be used, such as acrylonitrile butadiene styrene, polypropylene or polyethylene, which have a predominance during operation and which can be harmful to the manufacturing process of e.g. ICs. No dust particles are formed. Further materials that can be used for the cassette holders 65a and 65b may be aluminum or steel.

カセットホルダーは、半導体基材を処理する装置で用いられることができ、装置間で基材を搬送することができる。このような装置、例えば高温炉では、反応チャンバーを用いて、半導体基材上に微細な寸法の構造、例えば集積回路を形成することができる。 Cassette holders can be used in equipment that processes semiconductor substrates and can transport substrates between equipment. In such devices, such as high-temperature furnaces, reaction chambers can be used to form fine-scale structures, such as integrated circuits, on semiconductor substrates.

図8は、(図1の)保管装置2内に基材用のカセットを保持するための可動ベースプレート63の正面図を示す。示されるカセット9は、下部可動ベースプレート(図示せず)のカセットホルダーによって保持される。保管装置には、可動ベースプレートからカセット9を収容して取り外すための開口部5、6を備える外壁が設けられている。 FIG. 8 shows a front view of a movable base plate 63 for holding cassettes for substrates in the storage device 2 (of FIG. 1). The cassette 9 shown is held by a cassette holder on a lower movable base plate (not shown). The storage device is provided with an outer wall provided with openings 5, 6 for receiving and removing the cassettes 9 from the movable base plate.

ベースプレートおよびその上のカセットを移動させるように構築および配置される移動デバイスを、例えば、図3の駆動デバイス37(例えば、回転モータ)の形態で設けてもよい。移動デバイスは、ベースプレート63上のカセットを開口部に向かってまたは開口部から離れて移動させることができる。 A movement device constructed and arranged to move the base plate and the cassette thereon may be provided, for example in the form of drive device 37 (eg, a rotary motor) in FIG. 3. The movement device can move the cassette on the base plate 63 towards or away from the opening.

保管装置1は、開口部の可動ベースプレート63上の基材カセットの存在および正しい方向のうちの少なくとも一つを検出するために、開口部近傍に固定センサー91(図8参照)を備えることができる。センサー91は、保管装置の外壁の内側に取り付けられてもよく、または装置の固定フレーム部分に取り付けられてもよい。センサー91は、ベースプレート63上の基材カセットの存在および正しい方向のうちの少なくとも一つを光学的に検出するための光学センサーであってもよい。ベースプレート63は、前述のカセットが前述の可動ベースプレート63上で正しい方向に置かれた場合に、前述のカセットに接触して移動可能であるように配置された可動要素93を有することができる。 The storage device 1 may include a fixed sensor 91 (see FIG. 8) near the opening to detect at least one of the presence and correct orientation of the substrate cassette on the movable base plate 63 at the opening. . The sensor 91 may be mounted inside the outer wall of the storage device or may be mounted on a fixed frame portion of the device. Sensor 91 may be an optical sensor for optically detecting at least one of the presence and correct orientation of the substrate cassette on base plate 63. The base plate 63 may have a movable element 93 arranged to be movable in contact with the aforementioned cassette when the aforementioned cassette is placed in the correct orientation on the aforementioned movable base plate 63.

インジケータ95は、前述のカセットが前述の正しい方向に存在することを示すために、移動可能な要素93によって移動可能であってもよい。ベースプレート上の基材カセットの存在および正しい方向を光学センサーに示すために、インジケータ95を光学センサー91の視野角内に構築および配置することができる。インジケータ95は、反射デバイス、例えばセンサー91からセンサー91に戻る放射ビームを反射するミラーであってもよい。(光学)センサー91は、放射ビーム(例えば、640nmのレーザビーム)をミラーに向けるための放射源と、放射ビームの反射を検出するためのセンサーとを有してもよい。ベースプレート63は移動可能であり、可動要素93およびインジケータ95はベースプレートに接続されているため、同様に移動可能であることができる。センサーは固定されており、保管装置は、入出ポートの近くでベースプレート63を動かすことにより、様々なインジケータ95をセンサー91の前で移動させることができるように構築および配置されることができる。これは、複数のベースプレート上のカセットの存在および/または正しい配置を測定するために一つのセンサー91だけが必要であるという利点を有することができる。さらに、すべての能動部品が保管装置の固定部品に設けられるため、保管装置の可動部品に電気ケーブルを提供する必要がない場合がある。可動ベースプレートには、受動部品、例えば可動要素93およびインジケータ95だけが設けられる。 Indicator 95 may be movable by movable element 93 to indicate that said cassette is present in said correct orientation. An indicator 95 can be constructed and placed within the viewing angle of the optical sensor 91 to indicate to the optical sensor the presence and correct orientation of the substrate cassette on the base plate. Indicator 95 may be a reflective device, for example a mirror that reflects the radiation beam from sensor 91 back to sensor 91 . The (optical) sensor 91 may have a radiation source for directing a radiation beam (for example a 640 nm laser beam) onto a mirror and a sensor for detecting the reflection of the radiation beam. The base plate 63 is movable and the movable element 93 and indicator 95 are connected to the base plate and can therefore be movable as well. The sensor is fixed and the storage device can be constructed and arranged such that the various indicators 95 can be moved in front of the sensor 91 by moving the base plate 63 near the entry/exit port. This may have the advantage that only one sensor 91 is needed to measure the presence and/or correct placement of cassettes on multiple baseplates. Furthermore, since all active parts are provided on fixed parts of the storage device, there may be no need to provide electrical cables to the moving parts of the storage device. The movable base plate is provided with only passive components, such as movable element 93 and indicator 95.

図9a~9cは、一実施形態による可動要素93のさらに詳細な図4のバー66上の上面図、側面断面図、および底面図を開示する。可動要素93は旋回可能なアーム97を有することができ、バー66には、前述の旋回可能なアーム97をベースプレート63の下に旋回可能に取り付けるための旋回軸99が設けられることができる。インジケータ95は、前述のアームの一端に設けられてもよく、前述のインジケータは、前述のアームが旋回時に移動可能であり、前述のカセットが前述の正しい方向にあることを示す。旋回可能なアームは、アームの他端にカウンターウェイト98および止め具を有し、止め具は前述の可動要素の動きを制限し、カウンターウェイトは可動要素をカセットに押し付ける。ベースプレート63はホールを有し、前述の可動要素93はカセットと協働し前述のホール内で移動可能に構成されるピン100を有してもよい。バー66にはホール68が設けられてもよく、ピンはホールを通して移動可能であってもよい。バーはベースプレート63の開口部内に部分的に構成および配置されてもよい。この構成では、可動要素93をベースプレート63の下側に設けてもよい。 9a-9c disclose more detailed top, side sectional, and bottom views on bar 66 of FIG. 4 of movable element 93 according to one embodiment. The movable element 93 can have a pivotable arm 97 and the bar 66 can be provided with a pivot axis 99 for pivotably mounting the aforementioned pivotable arm 97 under the base plate 63 . An indicator 95 may be provided at one end of said arm, said indicator indicating that said arm is movable in pivoting and said cassette is in said correct orientation. The pivotable arm has a counterweight 98 and a stop at the other end of the arm, the stop limiting the movement of said movable element and the counterweight pressing the movable element against the cassette. The base plate 63 has a hole and the aforementioned movable element 93 may have a pin 100 that cooperates with the cassette and is configured to be movable within the aforementioned hole. A hole 68 may be provided in the bar 66 and the pin may be movable through the hole. The bar may be partially configured and located within an opening in base plate 63. In this configuration, the movable element 93 may be provided below the base plate 63.

保管装置には、ベースプレート63から上方に延在する壁70、72(図4参照)を設けて、カセットでベースプレート63にアクセスするために一方の側を正面Fで開いたままにすることができる。開放位置と反対側の壁72には、カセットから粒子を除去するために、カセットをパージするためのホールが設けられてもよい。ベースプレートは、カセットを収容するための水平面を有してもよく、装置は、ベースプレートが垂直回転軸の周りを水平方向に回転可能に構成および配置されてもよい。壁は、ベースプレート63から上方に延在し、ベースプレート上に等脚台形の形状を画定していてもよい。 The storage device may be provided with walls 70, 72 (see FIG. 4) extending upwardly from the base plate 63 to leave one side open at the front F for accessing the base plate 63 with cassettes. . The wall 72 opposite the open position may be provided with holes for purging the cassette to remove particles from the cassette. The base plate may have a horizontal surface for accommodating the cassette, and the apparatus may be constructed and arranged such that the base plate is horizontally rotatable about a vertical axis of rotation. The wall may extend upwardly from the base plate 63 and define an isosceles trapezoid shape on the base plate.

壁70、72、およびベースプレート63は、L字形のスロットホールまたは真っ直ぐなスロットホールを備える金属板で構成されてもよい。壁70、72、およびベースプレート63には、L字形リップを設けることもできる。L字形リップは、L字形スロットホールまたは直線状スロットホールに適合することができる。L字型スロットホールまたは直線状スロットホールに突き通すリップを曲げた後、壁70、72とベースプレート63との間で確実に連結することができる。壁70、72のそれぞれの間、および壁70、72の一つまたはそれぞれとベースプレート63との間にこの安全な連結を複数回設けることにより、単純に金属薄板を使用してカルーセルの堅固な構造が作成される。 The walls 70, 72 and the base plate 63 may be constructed of metal plates with L-shaped slot holes or straight slot holes. Walls 70, 72 and base plate 63 may also be provided with L-shaped lips. The L-shaped lip can fit into an L-shaped slotted hole or a straight slotted hole. After bending the lip that passes through the L-shaped slot hole or the straight slot hole, a secure connection can be made between the walls 70, 72 and the base plate 63. By providing this secure connection multiple times between each of the walls 70, 72 and between one or each of the walls 70, 72 and the base plate 63, the rigid construction of the carousel is achieved simply by using sheet metal. is created.

可動ベースプレート上の基材カセットの存在および正しい方向のうちの少なくとも一つを検出するために、光学センサー91は、カメラの視野角内に入出ポートの開口部近傍のベースプレート63上にカセットを有するように構築および配置されるカメラであってもよい。 To detect at least one of the presence and correct orientation of the substrate cassette on the movable base plate, the optical sensor 91 may be a camera constructed and positioned to have the cassette on the base plate 63 near the opening of the input/output port within the camera's viewing angle.

(図1の)保管装置2は、カメラと接続されて操作可能であり、プロセッサおよびメモリを備えるコンピュータを有してもよく、メモリには、ベースプレート上の基材カセットの存在および正しい方向のうちの少なくとも一つを検出するためのマシンビジョンソフトウェアを備える。 The storage device 2 (of FIG. 1) is operable in connection with the camera and may have a computer with a processor and a memory, including the presence and correct orientation of the substrate cassettes on the base plate. machine vision software for detecting at least one of the following:

保管装置2は、基材を処理するための処理装置1の一部であってもよく、基材を処理するための処理デバイスを有してもよい。基材をカセットから処理デバイスに移動するため、および処理後に基材を処理デバイスからカセットに移動するために、基材ハンドラーを設けてもよい。処理デバイスは、複数の基材を処理するための反応チャンバーを備える反応器を有してもよい。 The storage device 2 may be part of the processing device 1 for processing the substrate, and may include a processing device for processing the substrate. A substrate handler may be provided to move the substrate from the cassette to the processing device and to move the substrate from the processing device to the cassette after processing. The processing device may have a reactor with a reaction chamber for processing multiple substrates.

いくつかの基材、例えばシリコンウェーハは、反応器内の基材ラックまたはボートに配置されることができる。あるいは、単一の基材を反応器内の基材サセプタ上に配置してもよい。基材およびラックまたはボートの両方を所望の温度に加熱してもよい。典型的な基材処理工程では、反応ガスを加熱された基材上を通過させ、基材上に反応物質材料のまたはガスの反応物質の薄い層を堆積させる。 Several substrates, such as silicon wafers, can be placed in substrate racks or boats within the reactor. Alternatively, a single substrate may be placed on a substrate susceptor within the reactor. Both the substrate and the rack or boat may be heated to the desired temperature. A typical substrate treatment process involves passing a reactive gas over a heated substrate to deposit a thin layer of reactant material or gaseous reactant onto the substrate.

基材上の一連のこのような処理工程は、レシピと呼ばれる。堆積層が下にあるシリコン基材と同じ結晶構造を有する場合、それはエピタキシャル層と呼ばれる。これはまた、ただ一つの結晶構造を有するため、単結晶層と呼ばれることもある。その後の堆積、ドーピング、リソグラフィー、エッチングおよびその他のプロセスによって、これらの層は集積回路になり、基材のサイズ及び回路の複雑さに応じて、数十から数千、または数百万もの集積素子を製造する。 A series of such processing steps on a substrate is called a recipe. If the deposited layer has the same crystal structure as the underlying silicon substrate, it is called an epitaxial layer. It is also sometimes referred to as a single crystal layer, since it has only one crystal structure. Through subsequent deposition, doping, lithography, etching, and other processes, these layers become integrated circuits that can contain tens, thousands, or even millions of integrated elements, depending on the size of the substrate and the complexity of the circuit. Manufacture.

得られる層の高品質を保証するために、様々な処理パラメータが注意深く制御される。このような重要なパラメータの一つは、各レシピ工程中の基材温度である。例えば、CVD中、堆積ガスは、特定の温度ウインドウ内で反応し、基材上へ堆積する。異なる温度はまた、異なる堆積速度をもたらす。 Various processing parameters are carefully controlled to ensure high quality of the resulting layer. One such important parameter is the substrate temperature during each recipe step. For example, during CVD, a deposition gas reacts and deposits onto a substrate within a certain temperature window. Different temperatures also result in different deposition rates.

示され説明された特定の実施形態は、本発明およびその最良の形態の例示であり、別途態様および実施形態の範囲をいかなるやり方でも限定することを意図しない。実際、簡潔さのために、従来の製造、関連、調製、およびシステムの他の機能的態様を詳細には説明していない場合がある。さらに、様々な図に示される接続線は、様々な要素間の例示的な機能的関係および/または物理的連結を表すことを意図する。多くの代替的もしくは追加の機能的関係、もしくは物理的接続が実際のシステムに存在してもよく、および/または幾つかの実施形態では存在しなくてもよい。 The specific embodiments shown and described are exemplifications of the invention and its best mode and are not intended to otherwise limit the scope of aspects and embodiments in any way. Indeed, in the interest of brevity, conventional manufacturing, related, preparation, and other functional aspects of the system may not be described in detail. Moreover, the connecting lines shown in the various figures are intended to represent example functional relationships and/or physical connections between the various elements. Many alternative or additional functional relationships or physical connections may exist in an actual system and/or may not exist in some embodiments.

本発明の特定の実施形態を上述したが、記載した以外の方法で本発明を実施できることが理解されよう。例えば、以下の番号を付けた項目で記載の通りである: Although specific embodiments of the invention have been described above, it will be understood that the invention may be practiced otherwise than as described. For example, as described in the numbered items below:

1.カセットの正面端からアクセス可能な内部空間内に少なくとも一つの半導体材料基材を格納するためのカセットを保持するためのカセットホルダーアセンブリであって、
カセットを収容するためのベースプレートと;
カセットを正面から見て右および左それぞれに位置決めするために、ベースプレートに支持される右および左の保持部材であって、右および左の保持部材は互いに実質的に同一である、右および左の保持部材と;を備える、カセットホルダーアセンブリ。
1. A cassette holder assembly for holding a cassette for storing at least one semiconductor material substrate within an interior space accessible from a front end of the cassette, the assembly comprising:
a base plate for accommodating a cassette;
right and left retaining members supported on the base plate for positioning the cassette to the right and left, respectively, when viewed from the front, the right and left retaining members being substantially identical to each other; A cassette holder assembly comprising: a retaining member;

2.保持部材のそれぞれは、保持部材の中心を通る背面から正面までの線に対して鏡面対称である、項目1に記載のカセットホルダーアセンブリ。 2. The cassette holder assembly of item 1, wherein each of the retaining members is mirror symmetrical with respect to a back to front line passing through the center of the retaining member.

3.保持部材のそれぞれは、カセットと係合し、ベースプレートに実質的に平行な正面から背面方向にカセットの位置を制限する少なくとも二つの端面を有し、少なくとも二つの端面は少なくとも一つの右面と少なくとも一つの左面とを備え、これにより、正面から見て右端面は保持部材の右側に位置し、左端面は保持部材の左側に位置する、項目1に記載のカセットホルダーアセンブリ。 3. A cassette holder assembly as described in item 1, in which each of the holding members has at least two end faces that engage with the cassette and limit the position of the cassette in a front-to-rear direction substantially parallel to the base plate, and the at least two end faces include at least one right face and at least one left face, such that, when viewed from the front, the right end face is located on the right side of the holding member and the left end face is located on the left side of the holding member.

4.右保持部材の右端面および左保持部材の左端面は、カセットと係合するように配置される、項目3に記載のカセットホルダーアセンブリ。 4. 4. The cassette holder assembly of item 3, wherein the right end surface of the right holding member and the left end surface of the left holding member are arranged to engage the cassette.

5.左保持部材の右端面および右保持部材の左端面は、カセットと係合するようには配置されない、項目4に記載のカセットホルダーアセンブリ。 5. A cassette holder assembly as described in item 4, in which the right end surface of the left retaining member and the left end surface of the right retaining member are not positioned to engage with the cassette.

6.保持部材のそれぞれは、カセットと係合し、ベースプレートに実質的に平行な正面から背面方向にカセットの位置を制限する少なくとも四つの端面、カセットの右側と左側のそれぞれに小さなカセット端面および大きなカセット端面を有し、小さいカセットの端面は、比較的小さいサイズのカセットと係合するために、大きいカセットの端面に対してベースプレートの正面に向かって配置される、項目3に記載のカセットホルダーアセンブリ。 6. Each of the retaining members has at least four end faces that engage the cassette and limit the position of the cassette in a front-to-back direction substantially parallel to the base plate, a small cassette end face and a large cassette end face on each of the right and left sides of the cassette. 4. The cassette holder assembly of item 3, wherein the end face of the smaller cassette is positioned toward the front of the base plate relative to the end face of the larger cassette for engaging a relatively smaller sized cassette.

7.保持部材のそれぞれは、カセットと係合し、ベースプレートに実質的に平行に、および正面から背面方向に実質的に垂直に右から左方向にカセットの位置を制限する側面を有する、項目1に記載のカセットホルダーアセンブリ。 7. Each of the retaining members has a side surface that engages the cassette and limits the position of the cassette in a right-to-left direction substantially parallel to the base plate and substantially perpendicular in a front-to-back direction. cassette holder assembly.

8.保持部材のそれぞれは、右側面および左側面を備える少なくとも二つの側面を備え、正面から見て、右側面は保持部材の右側に位置し、左側面は保持部材の左側に位置する、項目7に記載のカセットホルダーアセンブリ。 8. According to item 7, each of the retaining members comprises at least two sides comprising a right side and a left side, the right side being located on the right side of the retaining member and the left side being located on the left side of the retaining member when viewed from the front. Cassette holder assembly as described.

9.右保持部材の右側面および左保持部材の左側面は、カセットと係合するように配置される、項目8に記載のカセットホルダーアセンブリ。 9. 9. The cassette holder assembly of item 8, wherein the right side of the right retention member and the left side of the left retention member are arranged to engage the cassette.

10.左保持部材の右側面および右保持部材の左側面は、カセットと係合するようには配置されない、項目9に記載のカセットホルダーアセンブリ。 10. 10. The cassette holder assembly of item 9, wherein the right side of the left retention member and the left side of the right retention member are not arranged to engage the cassette.

11.保持部材のそれぞれは、小さなカセット側面および大きなカセット側面を備える少なくとも二つの側面を備え、これにより、小さなカセットの側面は、比較的小さなサイズのカセットと係合するために、大きなカセットの側面に対してベースプレートの正面に向かって配置される、項目7に記載のカセットホルダーアセンブリ。 11. Each of the retaining members includes at least two sides comprising a small cassette side and a large cassette side, such that the small cassette side faces the large cassette side for engaging a relatively small sized cassette. 8. The cassette holder assembly of item 7, wherein the cassette holder assembly is positioned toward the front of the base plate.

12.保持部材の両方は、カセットと係合し、右から左の反対方向のカセットの位置を制限するための側面を有する、項目1に記載のカセットホルダーアセンブリ。 12. The cassette holder assembly of item 1, wherein both of the retaining members have sides for engaging the cassette and limiting the position of the cassette in opposite right-to-left directions.

13.保持部材の少なくとも一つは、スロットホールを通る締結具によってベースプレートに取り外し可能に固定され、スロットは、正面から背面への線に垂直な方向を有する、項目1に記載のカセットホルダーアセンブリ。 13. The cassette holder assembly of item 1, wherein at least one of the retention members is removably secured to the base plate by a fastener passing through a slotted hole, the slot having a direction perpendicular to the front to back line.

14.保持部材は、保持部材の底面から延在する少なくとも一つの細長いバーが設けられ、ベースプレートに設けられたスロットに適合するように構築される、項目1に記載のカセットホルダーアセンブリ。 14. The cassette holder assembly described in item 1, wherein the holding member is provided with at least one elongated bar extending from a bottom surface of the holding member and is constructed to fit into a slot provided in the base plate.

15.少なくとも一つの細長いバーは、正面から背面への線に垂直な方向においてスロットよりも短く、保持部材をその方向に調節可能に固定できる、項目14に記載のカセットホルダーアセンブリ。 15. 15. A cassette holder assembly according to item 14, wherein the at least one elongate bar is shorter than the slot in a direction perpendicular to the front to back line and is capable of adjustably securing the retaining member in that direction.

16.少なくとも一つの細長いバーは、正面から背面への線に実質的に垂直な方向のスロットと同じサイズであり、保持部材をその方向に調節可能に固定できるように少なくとも部分的に取り外し可能である、項目14に記載のカセットホルダーアセンブリ。 16. the at least one elongated bar is of the same size as the slot in a direction substantially perpendicular to the front to back line and is at least partially removable to allow adjustable fixation of the retaining member in that direction; The cassette holder assembly according to item 14.

17.カセットホルダーアセンブリのベースプレート上に少なくとも一つの半導体材料基材を保管するためのカセットを位置決めするための保持部材であって、保持部材は、正面と背面を有し、保持部材の中心を通る正面から背面までの線に対して実質的に鏡面対称である、保持部材。 17. A retaining member for positioning a cassette for storing at least one semiconductor material substrate on a base plate of a cassette holder assembly, the retaining member having a front face and a back face, the retaining member having a front face passing through a center of the retaining member. A retaining member having substantially mirror symmetry with respect to a line to the back surface.

18.保持部材は、少なくとも二つの実質的に平行な端面であって、正面から見て、一つの端面は保持部材の右側に位置する右端面であり、別の端面は保持部材の左側に位置する左端面である、端面と;
少なくとも二つの実質的に平行な側面であって、正面から見て、一つの側面は保持部材の右側に位置する右側面であり、別の側面は保持部材の左側に位置する左側面である、側面と;を備える、項目17に記載の保持部材。
18. The retaining member has at least two substantially parallel end faces, one end face being a right end face located on the right side of the retaining member and the other end face being a left end face located on the left side of the retaining member when viewed from the front. An end surface that is a surface;
at least two substantially parallel sides, viewed from the front, one side is a right side located on the right side of the retaining member and the other side is a left side located on the left side of the retaining member; The holding member according to item 17, comprising: a side surface;

19.側面は端面に垂直であり、側面のうちの少なくとも一つは端面のちの少なくとも一つと交差する、項目18に記載の保持部材。 19. 19. The retaining member according to item 18, wherein the side surfaces are perpendicular to the end surfaces, and at least one of the side surfaces intersects at least one of the end surfaces.

20.保持部材には、締結具用のスロットホールが設けられ、スロットは、保持部材の正面から背面への線に垂直な方向を有する、項目17に記載の保持部材。 20. The retaining member according to item 17, wherein the retaining member is provided with slotted holes for fasteners, the slots having a direction perpendicular to a line from the front to the back of the retaining member.

21.保持部材には、保持部材の底面から延在する少なくとも一つの細長いバーが設けられる、項目17に記載の保持部材。 21. 18. A retaining member according to item 17, wherein the retaining member is provided with at least one elongated bar extending from the bottom surface of the retaining member.

22.保持部材は射出成形ポリマー材料を含む、項目17に記載の保持部材。 22. 18. A retaining member according to item 17, wherein the retaining member comprises an injection molded polymeric material.

本明細書に記載される構成および/または方法は本質的に例示的であり、これらの特定の実施形態または実施例は、数多くの変形が可能であるので、限定的な意味で考えられるべきではないことが理解されるべきである。本明細書に記載される特定のルーチンまたは方法は、任意の数の加工方策のうちの一つまたは複数を表す場合がある。それ故に、例示された様々な動作は、例示される逐次で実施されてもよく、他の逐次で実施されてもよく、または場合によっては省略されてもよい。 It should be understood that the configurations and/or methods described herein are exemplary in nature, and that these specific embodiments or examples are not to be considered in a limiting sense, as numerous variations are possible. The specific routines or methods described herein may represent one or more of any number of processing strategies. Thus, the various illustrated operations may be performed in the illustrated sequence, in other sequences, or may be omitted in some cases.

本開示の主題は、本明細書で開示される様々なプロセス、システム、および構成、ならびに他の特徴、機能、動作および/または特性の、すべての新規かつ自明でない組み合わせおよび部分的組み合わせ、ならびにその任意のおよびすべての均等物を含む。 The subject matter of the present disclosure is all novel and nonobvious combinations and subcombinations of the various processes, systems, and configurations, and other features, functions, operations, and/or characteristics disclosed herein, and the like. including any and all equivalents.

1 処理装置
2 保管装置
4 回転テーブル
5、6 入出ポート
8 基材ハンドラー
9 カセット
10、11、12 処理ステーション
13 基材
14 回転テーブル
15 区画
16 ウェーハキャリア
17 昇降デバイス
21 保管装置
22 基材ハンドラー
23 処理ステーション
24、26 通路開口部
27 ウェーハキャリア
28 ロータリーテーブル
29 ハッチ
30 ガイド
31 空気圧シリンダー
32 処理ステーション
35 保管装置
36 回転テーブル
37 駆動デバイス
38 通路開口部
39 円筒形フィルター
40 シャフト
41 出口
61 カセットホルダーアセンブリ
63 可動ベースプレート
65a、65b 保持部材
66 バー
67LB、67LF、67RB、67RF 端面
68 ホール
69 カセット
70、72 壁
71LF、71LB、71RF、71RB 側面
75 ボルト
77 スロットホール
79、81 バー
83 ガイドスロット
85 位置決めスロット
87 ガイド面
91 固定センサー
93 移動可能な要素
95 インジケータ
97 旋回可能なアーム
98 カウンターウェイト
1 Processing device 2 Storage device 4 Rotary table 5, 6 Input/output port 8 Substrate handler 9 Cassette 10, 11, 12 Processing station 13 Substrate 14 Rotary table 15 Section 16 Wafer carrier 17 Lifting device 21 Storage device 22 Substrate handler 23 Processing Stations 24, 26 Passage opening 27 Wafer carrier 28 Rotary table 29 Hatch 30 Guide 31 Pneumatic cylinder 32 Processing station 35 Storage device 36 Rotary table 37 Drive device 38 Passage opening 39 Cylindrical filter 40 Shaft 41 Outlet 61 Cassette holder assembly 63 Movable Base plate 65a, 65b Holding member 66 Bar 67LB, 67LF, 67RB, 67RF End surface 68 Hole 69 Cassette 70, 72 Wall 71LF, 71LB, 71RF, 71RB Side surface 75 Bolt 77 Slot hole 79, 81 Bar 83 Guide slot 85 Positioning slot 87 Guide surface 91 fixed sensor 93 movable element 95 indicator 97 pivotable arm 98 counterweight

Claims (20)

基材用のカセットを保管するための保管装置であって、
カセットを保持するように構築および配置される可動ベースプレートと;
カセットを位置決めするために前記可動ベースプレートに設けられたバーと;
前記バーに結合され、前記カセットが前記可動ベースプレート上で正しい向きに存在する場合、前記カセットと接触して移動可能であるように配置される可動要素と;
前記可動要素によって移動可能であり、前記カセットが前記正しい方向に存在することを示すインジケータと;
前記ベースプレートからカセットを収容するおよび取り外すための開口部を備える外壁と;
前記開口部に対して前記ベースプレートを移動するように構築および配置される移動デバイスと;を備え、前記保管装置には、前記開口部の近傍に固定センサーが設けられ、前記開口部の前記ベースプレート上の前記カセットの存在および正しい方向のうちの少なくとも一つを検出する、保管装置。
A storage device for storing cassettes for base materials, the storage device comprising:
a movable base plate constructed and arranged to hold a cassette;
a bar provided on the movable base plate for positioning the cassette;
a movable element coupled to the bar and arranged to be movable in contact with the cassette when the cassette is in the correct orientation on the movable base plate;
an indicator movable by the movable element to indicate that the cassette is in the correct orientation;
an outer wall comprising an opening for receiving and removing a cassette from the base plate;
a movement device constructed and arranged to move the base plate relative to the opening; the storage device being provided with a fixed sensor proximate the opening; detecting at least one of the presence and correct orientation of said cassette.
前記センサーは、前記ベースプレート上の前記カセットの前記存在および前記正しい方向を光学的に検出する光学センサーである、請求項1に記載の保管装置。 2. The storage device of claim 1, wherein the sensor is an optical sensor that optically detects the presence and correct orientation of the cassette on the base plate. 前記インジケータは、前記光学センサーの視野角内にあり、前記ベースプレート上の前記カセットの前記存在および前記正しい方向を前記光学センサーに示す、請求項2に記載の保管装置。 The storage device of claim 2, wherein the indicator is within a viewing angle of the optical sensor and indicates to the optical sensor the presence and correct orientation of the cassette on the base plate. 前記可動要素は、旋回可能なアームを備え、前記バーは、前記旋回可能なアームを旋回可能に前記ベースプレートに取り付けるための旋回軸が設けられる、請求項1に記載の保管装置。 Storage device according to claim 1, wherein the movable element comprises a pivotable arm and the bar is provided with a pivot for pivotably attaching the pivotable arm to the base plate. 前記旋回可能なアームは前記インジケータを備え、前記インジケータは、前記アームが旋回時に移動可能であり、前記カセットが前記正しい方向にあることを示す、請求項4に記載の保管装置。 5. The storage device of claim 4, wherein the pivotable arm includes the indicator, the indicator being movable when pivoting and indicating that the cassette is in the correct orientation. 前記旋回可能なアームは、前記可動要素を前記カセットに押し付けるカウンターウェイトを備える、請求項5に記載の保管装置。 6. A storage device according to claim 5, wherein the pivotable arm comprises a counterweight that presses the movable element against the cassette. 前記ベースプレートは、前記ベースプレートにホールを備え、前記可動要素は前記カセットと協働し前記ホール内で移動可能に構成されるピンを備える、請求項3に記載の保管装置。 4. A storage device according to claim 3, wherein the base plate comprises a hole in the base plate, and the movable element comprises a pin cooperating with the cassette and configured to be movable within the hole. 前記可動要素は止め具を備え、前記止め具は前記可動要素の動きを制限する、請求項3に記載の保管装置。 4. The storage device of claim 3, wherein the movable element comprises a stop, the stop limiting movement of the movable element. 前記可動要素は前記ベースプレートの下側に設けられる、請求項3に記載の保管装置。 4. A storage device according to claim 3, wherein the movable element is provided on the underside of the base plate. 前記インジケータはリフレクタを備え、前記光学センサーは、放射ビームを前記リフレクタに向ける放射源と、前記放射ビームの反射を検出するセンサーとを備える、請求項3に記載の保管装置。 4. The storage device of claim 3, wherein the indicator comprises a reflector and the optical sensor comprises a radiation source that directs a beam of radiation toward the reflector and a sensor that detects reflection of the radiation beam. 前記バーはホールを備え、前記ピンは前記ホールを通して可動である、請求項7に記載の保管装置。 8. The storage device of claim 7, wherein the bar includes a hole and the pin is movable through the hole. 前記ベースプレートから上方に延在する前記ベースプレートに壁を設け、前記ベースプレートにアクセスするために一方の側を開放側の状態のままにする、請求項1に記載の保管装置。 2. The storage device of claim 1, wherein the base plate is provided with a wall extending upwardly from the base plate, leaving one side open for accessing the base plate. 前記開放側の反対側の壁は、前記カセットをパージするためのホールが設けられる、請求項12に記載の保管装置。 13. The storage device according to claim 12, wherein a wall opposite the open side is provided with a hole for purging the cassette. 前記壁は、前記ベースプレート上で等脚台形を画定する、請求項12に記載の保管装置。 13. The storage device of claim 12, wherein the wall defines an isosceles trapezoid on the base plate. 前記ベースプレートは、前記カセットを保持するための複数の領域を備える、請求項1に記載の保管装置。 2. The storage device of claim 1, wherein the base plate comprises multiple areas for holding the cassettes. 前記ベースプレートは、前記カセットを収容するための水平面を有し、前記装置は、前記ベースプレートが水平方向に移動可能に構築および配置される、請求項1に記載の保管装置。 2. The storage device of claim 1, wherein the base plate has a horizontal surface for accommodating the cassette, and the device is constructed and arranged such that the base plate is movable in a horizontal direction. 前記光学センサーは、前記ベースプレート上の前記カセットの前記存在および前記正しい方向のうちの少なくとも一つを検出するために、カメラの視野角内に前記開口部近傍の前記ベースプレート上に前記カセットを有するように構築および配置される前記カメラである、請求項に記載の保管装置。 The optical sensor is configured to have the cassette on the base plate near the opening within a viewing angle of a camera to detect at least one of the presence of the cassette on the base plate and the correct orientation. 3. A storage device according to claim 2 , wherein the camera is constructed and arranged in a. 前記装置は、前記カメラと接続されて操作可能であり、プロセッサおよびメモリを備えるコンピュータを備え、前記メモリは、前記ベースプレート上の前記カセットの前記存在および前記正しい方向のうちの少なくとも一つを検出するためのマシンビジョンソフトウェアを備える、請求項17に記載の保管装置。 The apparatus includes a computer operable in communication with the camera and having a processor and a memory, the memory detecting at least one of the presence of the cassette on the base plate and the correct orientation. 18. The storage device of claim 17, comprising machine vision software for. 基材を処理するための処理装置であって、
請求項1に記載のカセットを保管するための保管装置と;
基材を処理するための処理デバイスと;
基材を前記カセットから前記処理デバイスに移動させる、および処理後に前記基材を前記処理デバイスから前記カセットへ移動させるように構築および配置される基材ハンドラーと;を備える、処理装置。
A processing device for processing a base material, the processing device comprising:
A storage device for storing the cassette according to claim 1;
a processing device for processing a substrate;
a substrate handler constructed and arranged to move substrates from the cassette to the processing device, and to move the substrates from the processing device to the cassette after processing.
請求項19に記載の基材を処理するための処理装置であって、複数の基材を処理するための反応チャンバーを有する反応器を備える、処理装置。 A processing apparatus for processing a substrate according to claim 19, comprising a reactor having a reaction chamber for processing a plurality of substrates.
JP2022190295A 2017-08-09 2022-11-29 A storage device for storing cassettes for substrates and a processing device equipped with the same Active JP7457088B2 (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US15/673,110 US10249524B2 (en) 2017-08-09 2017-08-09 Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US15/673,110 2017-08-09
US201762585283P 2017-11-13 2017-11-13
US62/585,283 2017-11-13
PCT/IB2018/001003 WO2019030565A1 (en) 2017-08-09 2018-08-07 Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
JP2020506161A JP7187536B2 (en) 2017-08-09 2018-08-07 Storage device for storing cassettes for substrates and processing device provided therewith

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2020506161A Division JP7187536B2 (en) 2017-08-09 2018-08-07 Storage device for storing cassettes for substrates and processing device provided therewith

Publications (2)

Publication Number Publication Date
JP2023014191A JP2023014191A (en) 2023-01-26
JP7457088B2 true JP7457088B2 (en) 2024-03-27

Family

ID=63762564

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020506161A Active JP7187536B2 (en) 2017-08-09 2018-08-07 Storage device for storing cassettes for substrates and processing device provided therewith
JP2022190295A Active JP7457088B2 (en) 2017-08-09 2022-11-29 A storage device for storing cassettes for substrates and a processing device equipped with the same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2020506161A Active JP7187536B2 (en) 2017-08-09 2018-08-07 Storage device for storing cassettes for substrates and processing device provided therewith

Country Status (5)

Country Link
JP (2) JP7187536B2 (en)
KR (1) KR102621997B1 (en)
CN (1) CN110998817B (en)
TW (2) TWI813430B (en)
WO (1) WO2019030565A1 (en)

Families Citing this family (248)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (en) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TW202344708A (en) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
CN112992667A (en) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002151565A (en) 2000-09-27 2002-05-24 Asm Internatl Nv Wafer handling system
JP2009267153A (en) 2008-04-25 2009-11-12 Hitachi Kokusai Electric Inc Substrate processing apparatus and method for manufacturing semiconductor device
WO2013157462A1 (en) 2012-04-16 2013-10-24 ローツェ株式会社 Accommodating container, shutter opening and closing unit for accommodating container, and wafer stocker using same

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3067325B2 (en) * 1991-10-17 2000-07-17 神鋼電機株式会社 Airtight stocker for clean room
JPH09221203A (en) * 1996-02-19 1997-08-26 Hitachi Ltd Automatic conveying system
NL1009327C2 (en) 1998-06-05 1999-12-10 Asm Int Method and device for transferring wafers.
JP4096213B2 (en) * 1998-07-17 2008-06-04 株式会社安川電機 Wafer transfer device
JP2002098586A (en) * 2000-09-27 2002-04-05 Sunx Ltd Reflective sensor
US6990380B2 (en) * 2000-12-27 2006-01-24 Tokyo Electron Limited Substrate processing apparatus and information storage apparatus and method
US7031792B2 (en) * 2001-04-04 2006-04-18 Tokyo Electron Limited Processing apparatus and information storage apparatus and method
JP2003007800A (en) * 2001-06-21 2003-01-10 Hitachi Kokusai Electric Inc Substrate treatment device and method of manufacturing semiconductor device
JP2003060011A (en) * 2001-08-08 2003-02-28 Tokyo Electron Ltd Substrate conveyance apparatus and substrate treatment system
US20030110649A1 (en) 2001-12-19 2003-06-19 Applied Materials, Inc. Automatic calibration method for substrate carrier handling robot and jig for performing the method
JP4010891B2 (en) * 2002-07-03 2007-11-21 Necエレクトロニクス株式会社 Semiconductor wafer transfer method
JP2005011966A (en) * 2003-06-18 2005-01-13 Dainippon Screen Mfg Co Ltd Substrate transporting device, substrate processing device, conveyance reference position teaching method and sensor jig used for them
JP4849804B2 (en) * 2004-09-28 2012-01-11 日本電産サンキョー株式会社 Robot operation method
US8322533B2 (en) * 2007-07-11 2012-12-04 Shin-Etsu Polymer Co., Ltd. Lid body for substrate storage container and substrate storage container
JP2009076856A (en) * 2007-08-28 2009-04-09 Dainippon Screen Mfg Co Ltd Substrate-treating equipment
CN101533796B (en) * 2009-03-26 2010-12-08 上海微电子装备有限公司 Control system for silicone chip transmission and method
JP2011140366A (en) * 2010-01-06 2011-07-21 Muratec Automation Co Ltd Conveying vehicle system
JP5785712B2 (en) * 2010-12-28 2015-09-30 株式会社日立ハイテクノロジーズ Vacuum processing equipment
CN102394226A (en) * 2011-10-19 2012-03-28 东莞宏威数码机械有限公司 Solar panel delivery and cache equipment
JP6009832B2 (en) * 2012-06-18 2016-10-19 株式会社Screenホールディングス Substrate processing equipment
JP5689096B2 (en) * 2012-08-10 2015-03-25 東京エレクトロン株式会社 Substrate transfer apparatus, substrate transfer method, and substrate transfer storage medium
CN103904008B (en) * 2014-03-20 2016-08-17 上海华力微电子有限公司 A kind of dynamic pickup structure of the mechanical arm of semiconductor equipment

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002151565A (en) 2000-09-27 2002-05-24 Asm Internatl Nv Wafer handling system
JP2009267153A (en) 2008-04-25 2009-11-12 Hitachi Kokusai Electric Inc Substrate processing apparatus and method for manufacturing semiconductor device
WO2013157462A1 (en) 2012-04-16 2013-10-24 ローツェ株式会社 Accommodating container, shutter opening and closing unit for accommodating container, and wafer stocker using same

Also Published As

Publication number Publication date
TWI778102B (en) 2022-09-21
TWI813430B (en) 2023-08-21
JP7187536B2 (en) 2022-12-12
WO2019030565A1 (en) 2019-02-14
JP2020529740A (en) 2020-10-08
KR102621997B1 (en) 2024-01-08
KR20200033870A (en) 2020-03-30
TW201923942A (en) 2019-06-16
TW202249157A (en) 2022-12-16
JP2023014191A (en) 2023-01-26
CN110998817B (en) 2023-11-10
CN110998817A (en) 2020-04-10

Similar Documents

Publication Publication Date Title
JP7457088B2 (en) A storage device for storing cassettes for substrates and a processing device equipped with the same
US11139191B2 (en) Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US6247245B1 (en) Processing unit for substrate manufacture
JP3888608B2 (en) Substrate double-sided processing equipment
JP4451854B2 (en) Vertical heat treatment apparatus and control method of transfer mechanism in vertical heat treatment apparatus
TWI806837B (en) Apparatus and methods for atomic layer deposition
JP2022095763A (en) Lateral storage pod, apparatus front end module, and substrate processing method
TWI677051B (en) Wafer boat support table and heat treatment apparatus using the same
CN102130033A (en) Workpiece support structures and apparatus for accessing same
WO2005093821A1 (en) Vertical heat treating apparatus and automatic teaching method for transfer mechanism
TW200414941A (en) Wafer container cleaning system
US11769682B2 (en) Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10991601B2 (en) Apparatus and method for treating substrate
KR20040012825A (en) Device for loading and unloading silicon wafers in an oven from a multiple-cassette station
US20160027674A1 (en) Carousel Gas Distribution Assembly With Optical Measurements
KR101671851B1 (en) Heater Block and Substrate Processing Apparatus having the same
KR101817213B1 (en) Apparatus for treating substrate
KR20200031996A (en) Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
KR102139616B1 (en) Apparatus and Method for treating substrate
TW201740499A (en) Substrate supporting holder and substrate processing apparatus using the same
JP2857232B2 (en) Wafer transfer device and vertical heat treatment device
JPH1167873A (en) Method and apparatus for treatment of semiconductor wafer
KR101391250B1 (en) Apparatus for transferring substrates in batch type processing chamber
JPH0484419A (en) Vertical heat treatment equipment
JP2023044661A (en) End effector and substrate processing apparatus including end effector

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221130

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20221130

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20231211

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240124

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240213

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240314

R150 Certificate of patent or registration of utility model

Ref document number: 7457088

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150