JP2010251444A - Method of manufacturing soi wafer - Google Patents

Method of manufacturing soi wafer Download PDF

Info

Publication number
JP2010251444A
JP2010251444A JP2009097752A JP2009097752A JP2010251444A JP 2010251444 A JP2010251444 A JP 2010251444A JP 2009097752 A JP2009097752 A JP 2009097752A JP 2009097752 A JP2009097752 A JP 2009097752A JP 2010251444 A JP2010251444 A JP 2010251444A
Authority
JP
Japan
Prior art keywords
wafer
single crystal
film
insulating film
soi
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009097752A
Other languages
Japanese (ja)
Other versions
JP5338443B2 (en
Inventor
Norihiro Kobayashi
徳弘 小林
Toru Ishizuka
徹 石塚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shin Etsu Handotai Co Ltd
Original Assignee
Shin Etsu Handotai Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shin Etsu Handotai Co Ltd filed Critical Shin Etsu Handotai Co Ltd
Priority to JP2009097752A priority Critical patent/JP5338443B2/en
Publication of JP2010251444A publication Critical patent/JP2010251444A/en
Application granted granted Critical
Publication of JP5338443B2 publication Critical patent/JP5338443B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide a method of manufacturing an SOI wafer with a partial SOI structure at low cost in an easy manner. <P>SOLUTION: The method of manufacturing the SOI wafer with the partial SOI structure includes steps of: forming a first insulating film on a surface of a silicon single-crystal wafer; forming a non-single-crystal film on a surface of the first insulating film; forming an opening that reaches the surface of the surface of the silicon single-crystal wafer from a surface of the non-single-crystal silicon film to obtain a silicon single-crystal wafer having the first insulating film and non-single-crystal silicon film laminated partially on the surface; and forming the partial SOI structure including the first insulating film as a buried insulating film by causing the non-single-crystal silicon film to migrate so as to come into contact with a part of the opening where the silicon single-crystal wafer is exposed by carrying out RTA processing on the silicon single-crystal wafer in an atmosphere of hydrogen gas, inert gas or a mixed gas of the hydrogen gas and the inert gas, and changing the non-single-crystal silicon film into a single-crystal film. <P>COPYRIGHT: (C)2011,JPO&INPIT

Description

本発明は、SOIウェーハの製造方法に関する。   The present invention relates to a method for manufacturing an SOI wafer.

半導体集積回路等のデバイスを作製するための基板としては、主にチョクラルスキー法(CZ法)等によって育成されたシリコン単結晶ウェーハが用いられている。このウェーハ上にデバイスを作製する際、p−nジャンクションや絶縁体を形成することにより各素子間の分離を行っていた。   As a substrate for manufacturing a device such as a semiconductor integrated circuit, a silicon single crystal wafer grown mainly by the Czochralski method (CZ method) or the like is used. When manufacturing a device on this wafer, separation between elements was performed by forming a pn junction or an insulator.

しかし近年、素子分離用絶縁体を予め基板ウェーハ内に形成しておく構造の基板が使われてきた。この代表的なものがSOI(Silicon on insulator)ウェーハである。SOIウェーハの具体的構造はウェーハの深さ方向に対して、表層のシリコン単結晶層(シリコン活性層、SOI層)の下に酸化膜等の絶縁体層(埋め込み絶縁膜)をはさみ、その下部にまたシリコン単結晶層をもつ三層構造になっている。   However, in recent years, a substrate having a structure in which an element isolation insulator is previously formed in a substrate wafer has been used. A typical example is an SOI (Silicon on Insulator) wafer. The specific structure of the SOI wafer is that an insulator layer (embedded insulating film) such as an oxide film is sandwiched under the surface silicon single crystal layer (silicon active layer, SOI layer) with respect to the depth direction of the wafer, and its lower part. Furthermore, it has a three-layer structure having a silicon single crystal layer.

このSOIウェーハの製法には大きく分けて、以下の三種類の方法がある。
第一に、一般に貼り合わせ法と呼ばれる方法で、少なくとも1枚のシリコン単結晶ウェーハに酸化膜を形成し、2枚のシリコン単結晶ウェーハを貼り合わせる方法であり、特に、一方から研磨して所定の厚さのSOI層を形成する方法である(特許文献1等参照)。
This SOI wafer manufacturing method is roughly divided into the following three methods.
The first is a method generally called a bonding method, in which an oxide film is formed on at least one silicon single crystal wafer, and two silicon single crystal wafers are bonded together. This is a method of forming an SOI layer having a thickness of 10 mm (see Patent Document 1).

第二に、イオン注入剥離法(スマートカット(登録商標)法とも呼ばれる)と呼ばれる方法であり、2枚のシリコン単結晶ウェーハを準備し、どちらか一方のウェーハを酸化し、どちらか一方のウェーハに水素イオンを打ち込み、その後2枚のウェーハを貼り合せて熱処理を行い、水素イオンを打ち込んだ領域を脆弱化して剥離することによりシリコン単結晶薄膜が転写され、SOI構造を形成する方法である(特許文献2等参照)。   Second, it is a method called ion implantation delamination method (also called smart cut (registered trademark) method). Two silicon single crystal wafers are prepared, one of the wafers is oxidized, and one of the wafers is oxidized. This is a method in which a silicon single crystal thin film is transferred to form an SOI structure by weakening and exfoliating a region where hydrogen ions are implanted, and then performing heat treatment by bonding two wafers to each other and then heat-treating them. (See Patent Document 2 etc.).

第三に、SIMOX(Separation by Implanted Oxygen)法と呼ばれる方法であり、シリコン単結晶ウェーハに表層から酸素イオンを打ち込み、1300℃程度以上の高温で熱処理することにより埋め込み酸化膜を形成してSOIウェーハとする方法である。
その他の方法も提案されているが現在、これらが主なSOIウェーハの製造方法である。
Third, there is a method called SIMOX (Separation by Implanted Oxygen) method. An SOI wafer is formed by implanting oxygen ions from a surface layer into a silicon single crystal wafer and heat-treating it at a high temperature of about 1300 ° C. to form a buried oxide film. It is a method.
Other methods have been proposed, but these are the main methods for manufacturing SOI wafers.

しかしながら、これらのSOIウェーハの製造方法には、以下のような問題点があった。
貼り合わせ法、イオン注入剥離法を用いた場合、ウェーハ面内に部分的にSOI構造(以下、部分SOI構造と言うことがある)を形成した、部分SOIウェーハを製造することは、その製造方法の制限からして極めて困難である。さらに、貼り合わせ法は、膜厚均一性が他の方法と比較して劣り、また、膜厚がナノメートルオーダーのような薄膜になると製造自体が極めて困難である上、1枚のSOIウェーハを製造するために2枚のシリコン単結晶ウェーハを必要とするため、製造コストも高い。また、イオン注入剥離法は、高濃度に水素イオン等を注入する必要がある上、やはり1枚のSOIウェーハを製造するために2枚のシリコン単結晶ウェーハを必要とするため、製造コストも高い。
However, these SOI wafer manufacturing methods have the following problems.
When a bonding method or an ion implantation separation method is used, manufacturing a partial SOI wafer in which an SOI structure (hereinafter sometimes referred to as a partial SOI structure) is partially formed in the wafer surface is a manufacturing method thereof. It is extremely difficult due to the limitation of Furthermore, the bonding method is inferior in film thickness uniformity to other methods, and when the film thickness is a thin film of nanometer order, the manufacturing itself is extremely difficult. Since two silicon single crystal wafers are required for manufacturing, the manufacturing cost is high. In addition, the ion implantation delamination method needs to implant hydrogen ions or the like at a high concentration, and also requires two silicon single crystal wafers to produce one SOI wafer. Therefore, the production cost is high. .

一方、SIMOX法は、酸素イオンを高濃度に注入する必要があることに加え、1300℃程度以上の高温で長時間の熱処理が必要であるため、製造コストが高い。また、SOI層の結晶品質が他の方法と比較して劣るという問題がある。   On the other hand, the SIMOX method has a high manufacturing cost because it requires oxygen ions to be implanted at a high concentration, and requires a long-time heat treatment at a high temperature of about 1300 ° C. or higher. In addition, there is a problem that the crystal quality of the SOI layer is inferior to other methods.

さらに、デバイス製造工程中に部分SOI構造を作製する場合には、シリコン単結晶ウェーハ上にアモルファスシリコンを堆積させアニールすることによってアモルファスシリコンを単結晶とすることが提案されている(特許文献3)。
しかしこの方法では単結晶化させたシリコンの中に結晶欠陥が多く存在するという問題があった。また、アモルファスシリコンの替わりに多結晶シリコンを堆積させてアニールするとアモルファスシリコンの場合より欠陥が非常に多い単結晶シリコンが成長してしまうという問題があった。
Furthermore, when a partial SOI structure is manufactured during a device manufacturing process, it has been proposed that amorphous silicon is deposited on a silicon single crystal wafer and annealed to form a single crystal (Patent Document 3). .
However, this method has a problem that many crystal defects exist in the single-crystallized silicon. In addition, when polycrystalline silicon is deposited instead of amorphous silicon and annealed, single crystal silicon having much more defects than amorphous silicon grows.

特公平5−46086号公報Japanese Patent Publication No. 5-46086 特許第3048201号公報Japanese Patent No. 3048201 特開2009−10041号公報JP 2009-10041 A

本発明は、このような問題点に鑑みてなされたもので、容易な方法により低コストで部分SOI構造を有するSOIウェーハを製造する方法を提供することを主な目的とする。   The present invention has been made in view of such problems, and a main object of the present invention is to provide a method for manufacturing an SOI wafer having a partial SOI structure at a low cost by an easy method.

本発明は、上記課題を解決するためになされたもので、シリコン単結晶ウェーハからSOIウェーハを製造する方法であって、少なくとも、前記シリコン単結晶ウェーハの表面に第1絶縁膜を形成する工程と、該第1絶縁膜の表面に非単結晶シリコン膜を形成する工程と、前記非単結晶シリコン膜の表面から、前記第1絶縁膜を貫通し、前記シリコン単結晶ウェーハの表面まで達する開口部を形成することにより、前記第1絶縁膜及び前記非単結晶シリコン膜が部分的に表面上に積層されたシリコン単結晶ウェーハを得る工程と、前記第1絶縁膜及び非単結晶シリコン膜が部分的に表面上に積層されたシリコン単結晶ウェーハに対し、水素ガス若しくは不活性ガス又はこれらの混合ガス雰囲気下でRTA処理を行うことにより、前記非単結晶シリコン膜を前記開口部の前記シリコン単結晶ウェーハが露出された部分に接するようにマイグレーションさせ、前記非単結晶シリコン膜を単結晶化して、前記第1絶縁膜を埋め込み絶縁膜とし、該第1絶縁膜上の単結晶化されたシリコン膜を部分SOI層とする部分SOI構造を形成する工程とを含み、部分SOI構造を有するSOIウェーハを製造することを特徴とするSOIウェーハの製造方法を提供する。   The present invention has been made to solve the above-described problems, and is a method of manufacturing an SOI wafer from a silicon single crystal wafer, comprising at least a step of forming a first insulating film on the surface of the silicon single crystal wafer; A step of forming a non-single-crystal silicon film on the surface of the first insulating film, and an opening extending from the surface of the non-single-crystal silicon film to the surface of the silicon single-crystal wafer through the first insulating film Forming a silicon single crystal wafer in which the first insulating film and the non-single-crystal silicon film are partially stacked on the surface, and the first insulating film and the non-single-crystal silicon film are partially formed. The non-single crystal is obtained by subjecting a silicon single crystal wafer laminated on the surface to RTA treatment in an atmosphere of hydrogen gas, inert gas, or a mixed gas thereof. The recon film is migrated so as to be in contact with the exposed portion of the silicon single crystal wafer in the opening, the non-single crystal silicon film is single crystallized, the first insulating film is used as a buried insulating film, and the first A method of manufacturing an SOI wafer comprising: manufacturing a SOI wafer having a partial SOI structure, comprising: forming a partial SOI structure using a single-crystallized silicon film on an insulating film as a partial SOI layer. To do.

このような工程を含み、部分SOI構造を有するSOIウェーハを製造するSOIウェーハの製造方法であれば、シリコン単結晶ウェーハに部分SOI構造を低コストで容易に形成することができる。また、1枚のシリコン単結晶ウェーハから1枚のSOIウェーハを製造することができるため、SOIウェーハの製造コストを低減することができる。また、この方法であれば、得られた部分SOI層には欠陥が少なく、その結晶性を良好とすることができる。   If it is the manufacturing method of the SOI wafer which includes such a process and manufactures the SOI wafer which has a partial SOI structure, a partial SOI structure can be easily formed in a silicon single crystal wafer at low cost. Further, since one SOI wafer can be manufactured from one silicon single crystal wafer, the manufacturing cost of the SOI wafer can be reduced. Further, according to this method, the obtained partial SOI layer has few defects and the crystallinity can be improved.

また、本発明は、上記のSOIウェーハの製造方法により製造された、部分SOI構造を有するSOIウェーハから、全面SOI構造を有するSOIウェーハを製造する方法であって、前記部分SOI構造を有するSOIウェーハの、前記第1絶縁膜のない領域の前記シリコン単結晶ウェーハを露出させる工程と、該露出した前記シリコン単結晶ウェーハの表面及び前記部分SOI層の表面に第2絶縁膜を形成する工程と、該第2絶縁膜の表面に非単結晶シリコン膜を形成する工程と、前記シリコン単結晶ウェーハの第1絶縁膜のない表面に形成された前記第2絶縁膜及び非単結晶シリコン膜を残し、前記部分SOI層の表面に形成された前記第2絶縁膜及び非単結晶シリコン膜を除去することにより、該部分SOI層の表面を露出させる工程と、該部分SOI層の表面を露出させたSOIウェーハに対し、水素ガス若しくは不活性ガス又はこれらの混合ガス雰囲気下でRTA処理を行うことにより、前記非単結晶シリコン膜を前記部分SOI層に接するようにマイグレーションさせ、前記非単結晶シリコン膜を単結晶化して前記部分SOI層と複合した全面SOI層を形成し、前記第1絶縁膜及び前記第2絶縁膜を全面埋め込み絶縁膜とする全面SOI構造を形成する工程とを含み、全面SOI構造を有するSOIウェーハを製造することを特徴とするSOIウェーハの製造方法を提供する。   The present invention is also a method for manufacturing an SOI wafer having a full SOI structure from an SOI wafer having a partial SOI structure manufactured by the above SOI wafer manufacturing method, wherein the SOI wafer has the partial SOI structure. Exposing the silicon single crystal wafer in a region without the first insulating film, and forming a second insulating film on the exposed surface of the silicon single crystal wafer and the surface of the partial SOI layer, Forming a non-single crystalline silicon film on the surface of the second insulating film, leaving the second insulating film and the non-single crystalline silicon film formed on the surface of the silicon single crystal wafer without the first insulating film; A process of exposing the surface of the partial SOI layer by removing the second insulating film and the non-single-crystal silicon film formed on the surface of the partial SOI layer. Then, by subjecting the SOI wafer with the surface of the partial SOI layer exposed to RTA treatment in an atmosphere of hydrogen gas, inert gas, or a mixed gas thereof, the non-single crystal silicon film is formed into the partial SOI layer. Migration is performed in contact with each other, and the non-single-crystal silicon film is single-crystallized to form a whole surface SOI layer composited with the partial SOI layer, and the first insulating film and the second insulating film are used as a whole buried insulating film. The present invention provides a method for manufacturing an SOI wafer, which includes a step of forming an SOI structure, and manufacturing an SOI wafer having an entire SOI structure.

このような工程を含む全面SOI構造を有するSOIウェーハを製造するSOIウェーハの製造方法であれば、シリコン単結晶ウェーハに全面SOI構造を低コストで形成することができる。また、1枚のシリコン単結晶ウェーハから1枚のSOIウェーハを製造することができるため、SOIウェーハの製造コストを低減することができる。   With an SOI wafer manufacturing method for manufacturing an SOI wafer having an entire surface SOI structure including such steps, the entire surface SOI structure can be formed on a silicon single crystal wafer at a low cost. Further, since one SOI wafer can be manufactured from one silicon single crystal wafer, the manufacturing cost of the SOI wafer can be reduced.

また、本発明に係るSOIウェーハの製造方法では、前記非単結晶シリコン膜を、多結晶シリコン膜又はアモルファスシリコン膜とすることが好ましい。
このように、本発明に係るSOIウェーハの製造方法において用いる非単結晶シリコン膜を多結晶シリコン膜又はアモルファスシリコン膜とし、これを単結晶化させてSOI層を形成すれば、部分SOI構造又は全面SOI構造を低コストで形成することができる。
In the SOI wafer manufacturing method according to the present invention, the non-single crystal silicon film is preferably a polycrystalline silicon film or an amorphous silicon film.
As described above, if the non-single crystal silicon film used in the method for manufacturing an SOI wafer according to the present invention is a polycrystalline silicon film or an amorphous silicon film, and is formed into a single crystal to form an SOI layer, the partial SOI structure or the entire surface is formed. An SOI structure can be formed at low cost.

また、前記RTA処理を、1000℃以上1300℃以下の温度、1秒以上600秒以下の時間で行うことが好ましい。
このようにRTA処理を、1000℃以上1300℃以下の温度、1秒以上600秒以下の時間で行えば、より効率的に、非単結晶シリコン膜を単結晶化し第1絶縁膜又は第2絶縁膜上にSOI層を形成することができる。
The RTA treatment is preferably performed at a temperature of 1000 ° C. to 1300 ° C. and a time of 1 second to 600 seconds.
When the RTA treatment is performed at a temperature of 1000 ° C. or higher and 1300 ° C. or lower and a time of 1 second or longer and 600 seconds or shorter as described above, the non-single crystal silicon film is more efficiently converted into a single crystal and the first insulating film or the second insulating film. An SOI layer can be formed on the film.

本発明に係るSOIウェーハの製造方法によれば、容易な方法により低コストで部分SOI構造を有するSOIウェーハを製造することができる。また、この方法を利用して全面SOI構造を有するSOIウェーハを製造することもできる。そして、1枚のシリコン単結晶ウェーハから1枚のSOIウェーハを製造することができるため、SOIウェーハの製造コストを著しく低減することができる。   According to the method for manufacturing an SOI wafer according to the present invention, an SOI wafer having a partial SOI structure can be manufactured at a low cost by an easy method. In addition, an SOI wafer having a whole surface SOI structure can be manufactured by using this method. And since one SOI wafer can be manufactured from one silicon single crystal wafer, the manufacturing cost of SOI wafer can be reduced significantly.

本発明に係る、部分SOI構造を有するSOIウェーハを製造する方法の一例を示す説明図である。It is explanatory drawing which shows an example of the method of manufacturing the SOI wafer which has a partial SOI structure based on this invention. 本発明に係る、部分SOI構造を有するSOIウェーハから全面SOI構造を有するSOIウェーハを製造する方法の一例を示す説明図である。It is explanatory drawing which shows an example of the method of manufacturing the SOI wafer which has a whole surface SOI structure from the SOI wafer which has a partial SOI structure based on this invention.

以下、本発明に係るSOIウェーハの製造方法の一例について、図面を参照して説明するが、本発明はこれに限定されるものではない。   Hereinafter, although an example of the manufacturing method of the SOI wafer which concerns on this invention is demonstrated with reference to drawings, this invention is not limited to this.

図1は、本発明に係る部分SOI構造を有するSOIウェーハの製造方法の一例を示す説明図である。
まず、図1(a)に示すように、シリコン単結晶ウェーハ100を準備する(工程1−a)。
ここで準備するシリコン単結晶ウェーハ100は鏡面研磨されたものが好ましく、また、種々の寸法、物性等を有するものとすることができ、用途に応じて適宜選択することができる。
FIG. 1 is an explanatory view showing an example of a method for manufacturing an SOI wafer having a partial SOI structure according to the present invention.
First, as shown in FIG. 1A, a silicon single crystal wafer 100 is prepared (step 1-a).
The silicon single crystal wafer 100 prepared here is preferably mirror-polished and can have various dimensions, physical properties, and the like, and can be appropriately selected depending on the application.

次に、図1(b)に示すように、シリコン単結晶ウェーハ100の表面に第1絶縁膜110を形成する(工程1−b)。
第1絶縁膜110は絶縁膜であればよいが、シリコン酸化膜とすれば容易に絶縁膜を得ることができ、好ましい。シリコン酸化膜の形成方法は熱酸化、CVD(Chemical Vapor Deposition)法のいずれでもよい。
また、シリコン酸化膜以外の絶縁膜を形成する場合も公知の方法を適宜用いることができる。
ここで形成する第1絶縁膜110の厚さは、後述するRTA処理(工程1−e)において、非単結晶シリコン膜をマイグレーションする際にシリコン単結晶ウェーハの露出した表面まで達しやすくするために、1000nm以下とすることが好ましい。
Next, as shown in FIG. 1B, a first insulating film 110 is formed on the surface of the silicon single crystal wafer 100 (step 1-b).
The first insulating film 110 may be an insulating film, but a silicon oxide film is preferable because an insulating film can be easily obtained. The silicon oxide film may be formed by either thermal oxidation or CVD (Chemical Vapor Deposition).
Also, a known method can be used as appropriate when an insulating film other than a silicon oxide film is formed.
The thickness of the first insulating film 110 formed here is to easily reach the exposed surface of the silicon single crystal wafer when migrating the non-single crystal silicon film in the RTA process (step 1-e) described later. , 1000 nm or less is preferable.

次に、図1(c)に示すように、第1絶縁膜110の表面に非単結晶シリコン膜120を形成する(工程1−c)。
非単結晶シリコン膜120としては、例えば、多結晶シリコン(ポリシリコン)膜又はアモルファスシリコン膜とすることが好ましい。
Next, as shown in FIG. 1C, a non-single-crystal silicon film 120 is formed on the surface of the first insulating film 110 (step 1-c).
The non-single crystal silicon film 120 is preferably a polycrystalline silicon (polysilicon) film or an amorphous silicon film, for example.

ここで形成する非単結晶シリコン膜120の厚さは、50nm以上500nm以下の範囲とすることが好ましい。非単結晶シリコン膜120の厚さを50nm以上とすれば、後述するRTA処理(工程1−e)において、非単結晶シリコン膜をマイグレーションする際にシリコン単結晶ウェーハの露出した表面まで達しやすくすることができる。一方、500nm以下であれば、RTA処理による単結晶化をより確実に十分に行うことができる。   The thickness of the non-single-crystal silicon film 120 formed here is preferably in the range of 50 nm to 500 nm. When the thickness of the non-single-crystal silicon film 120 is 50 nm or more, it is easy to reach the exposed surface of the silicon single-crystal wafer when the non-single-crystal silicon film is migrated in the RTA process (step 1-e) described later. be able to. On the other hand, if it is 500 nm or less, single crystallization by the RTA treatment can be performed more reliably and sufficiently.

次に、図1(d)に示すように、非単結晶シリコン膜120の表面から、第1絶縁膜110を貫通し、シリコン単結晶ウェーハ100の表面101まで達する開口部130を形成する。これにより、第1絶縁膜111及び非単結晶シリコン膜121が部分的に表面上に積層されたシリコン単結晶ウェーハを得る(工程1−d)。   Next, as shown in FIG. 1 (d), an opening 130 is formed that extends from the surface of the non-single crystal silicon film 120 through the first insulating film 110 to the surface 101 of the silicon single crystal wafer 100. Thereby, a silicon single crystal wafer in which the first insulating film 111 and the non-single crystal silicon film 121 are partially laminated on the surface is obtained (step 1-d).

この開口部130の形成方法は特に限定されないが、例えば、通常のフォトリソグラフィ技術を用いて、以下のように行うことができる。すなわち、非単結晶シリコン膜120の表面にフォトレジスト膜を形成し、所望のパターンで露光を行って開口部130に相当する領域のフォトレジスト膜を除去する。その後、残ったフォトレジスト膜パターン部分をマスクとしてエッチングにより非単結晶シリコン膜120と第1絶縁膜110を除去すればよい。   The method for forming the opening 130 is not particularly limited, and can be performed as follows using, for example, a normal photolithography technique. That is, a photoresist film is formed on the surface of the non-single crystal silicon film 120, and exposure is performed with a desired pattern to remove the photoresist film in a region corresponding to the opening 130. Thereafter, the non-single crystal silicon film 120 and the first insulating film 110 may be removed by etching using the remaining photoresist film pattern portion as a mask.

この際、開口部130の幅や面積は特に限定されないが、開口部130以外の領域(残された部分的な第1絶縁膜111と部分的な非単結晶シリコン膜121の積層膜のパターンが形成されている領域)の幅(ストライプパターンや矩形パターンの場合は短辺の幅)を、1000nm以下とすることが好ましい。
上記のように、開口部130以外の領域の幅を1000nm以下とすることによって、後述のRTA処理(工程1−e)の際にパターン上の非単結晶シリコン膜121を容易に全て単結晶化することが可能となる。
なお、開口部130以外の領域を1000nmを超える幅とした場合、パターン上の非単結晶シリコン膜121を全て単結晶化することは比較的難しくなるが、少なくともパターンの外周部(パターンのうち、開口部130に直接的に隣接する部分)の非単結晶シリコン膜121は単結晶化されるので、第1絶縁膜111上に単結晶シリコン層からなるSOI層を有する部分SOI構造が形成される。
At this time, the width and area of the opening 130 are not particularly limited, but the region other than the opening 130 (the pattern of the stacked film of the remaining partial first insulating film 111 and the partial non-single-crystal silicon film 121 is formed. The width of the formed region) (the width of the short side in the case of a stripe pattern or a rectangular pattern) is preferably 1000 nm or less.
As described above, by setting the width of the region other than the opening 130 to 1000 nm or less, the non-single-crystal silicon film 121 on the pattern can be easily single-crystallized in the RTA process (step 1-e) described later. It becomes possible to do.
Note that when the region other than the opening 130 has a width exceeding 1000 nm, it is relatively difficult to single-crystalize all the non-single-crystal silicon film 121 on the pattern, but at least the outer periphery of the pattern (of the pattern, Since the non-single-crystal silicon film 121 in the portion directly adjacent to the opening 130 is single-crystallized, a partial SOI structure having an SOI layer made of a single-crystal silicon layer is formed on the first insulating film 111. .

なお、最終的に全面SOIウェーハを製造する場合には、後述する理由により、開口部130の幅を1000nm以下とすることが好ましい。   In addition, when finally manufacturing a whole surface SOI wafer, it is preferable to set the width of the opening 130 to 1000 nm or less for the reason described later.

次に、図1(e)に示すように、第1絶縁膜111及び非単結晶シリコン膜121が部分的に表面上に積層されたシリコン単結晶ウェーハ100に対し、水素ガス、若しくはヘリウム、ネオン、アルゴンなどの不活性ガス又はこれらの混合ガス雰囲気下でRTA(Rapid Thermal Annealing)処理を行う。このRTA処理により、部分的な第1絶縁膜111の表面に形成されている非単結晶シリコン膜121にマイグレーション(移動)が生じる。この非単結晶シリコン膜121が開口部130に達し、下地のシリコン単結晶ウェーハ100の露出された部分101に接触すると、その接触面から単結晶シリコンの固相成長が発生する。その結果、部分的な第1絶縁膜111の表面上に形成されている部分的な非単結晶シリコン膜121を単結晶化することができる。この段階で、第1絶縁膜111を埋め込み絶縁膜とし、非単結晶シリコン膜121が単結晶化されたシリコン膜140のうち、第1絶縁膜111上の部分を部分SOI層141とする部分SOI構造150が形成される(工程1−e)。   Next, as shown in FIG. 1E, hydrogen gas or helium, neon is applied to the silicon single crystal wafer 100 in which the first insulating film 111 and the non-single crystal silicon film 121 are partially stacked on the surface. RTA (Rapid Thermal Annealing) treatment is performed in an inert gas atmosphere such as argon or a mixed gas atmosphere thereof. By this RTA process, migration occurs in the non-single-crystal silicon film 121 formed on the surface of the partial first insulating film 111. When this non-single-crystal silicon film 121 reaches the opening 130 and comes into contact with the exposed portion 101 of the underlying silicon single-crystal wafer 100, solid-phase growth of single-crystal silicon occurs from the contact surface. As a result, the partial non-single-crystal silicon film 121 formed on the surface of the partial first insulating film 111 can be single-crystallized. At this stage, the first insulating film 111 is used as a buried insulating film, and a portion of the silicon film 140 in which the non-single-crystal silicon film 121 is monocrystallized is a partial SOI in which a portion on the first insulating film 111 is a partial SOI layer 141. Structure 150 is formed (step 1-e).

上記の水素ガス若しくは不活性ガス又はこれらの混合ガス雰囲気下で行うRTA処理の条件としては、部分的な第1絶縁膜111の表面上の部分的な非単結晶シリコン膜121にマイグレーションが生じ、開口部130に達して下地の単結晶シリコンウェーハ100の表面101に接触する条件であれば特に限定されないが、1000℃以上1300℃以下の温度、1秒以上600秒以下の時間で行うことが好ましい。
RTA処理の温度が1000℃以上であれば非単結晶シリコン膜121のマイグレーションをより確実に発生させることができ、1300℃以下の温度であればスリップ転位の発生や金属汚染を抑制することができる。
また、RTA処理で十分なマイグレーションを短時間で発生させるためには1100℃以上とすることがより好ましく、1150℃以上とすることがさらに好ましい。また、マイグレーションを比較的低温で発生しやすくするためには、水素ガス100%雰囲気下、又は、水素ガスを5%以上含む不活性ガス雰囲気下とすることがより好ましい。
As conditions for the RTA treatment performed in the hydrogen gas or inert gas atmosphere or a mixed gas atmosphere thereof, migration occurs in the partial non-single-crystal silicon film 121 on the surface of the partial first insulating film 111, The conditions are not particularly limited as long as they reach the opening 130 and come into contact with the surface 101 of the underlying single crystal silicon wafer 100, but it is preferably performed at a temperature of 1000 ° C. to 1300 ° C. and a time of 1 second to 600 seconds. .
If the temperature of the RTA treatment is 1000 ° C. or higher, the migration of the non-single crystal silicon film 121 can be generated more reliably, and if the temperature is 1300 ° C. or lower, the occurrence of slip dislocation and metal contamination can be suppressed. .
In order to generate sufficient migration in a short time by the RTA treatment, the temperature is more preferably 1100 ° C. or higher, and further preferably 1150 ° C. or higher. Moreover, in order to make migration easy to occur at a relatively low temperature, it is more preferable to use a 100% hydrogen gas atmosphere or an inert gas atmosphere containing 5% or more hydrogen gas.

以上のような工程1−a〜工程1−eを経ることにより、図1(e)に示すような、部分SOI構造150を有するSOIウェーハ180(部分SOIウェーハ)を製造することができる。以上のような工程を経て部分SOI構造を有するSOIウェーハを製造するSOIウェーハの製造方法であれば、シリコン単結晶ウェーハに部分SOI構造を低コストで容易に形成することができる。また、1枚のシリコン単結晶ウェーハから1枚のSOIウェーハを製造することができるため、SOIウェーハの製造コストを低減することができる。また、以上のような工程による部分SOIウェーハの製造方法であれば、非単結晶シリコン膜から単結晶化されたシリコン膜140には欠陥が少なく、その結晶性を良好とすることができる。従って、部分SOI構造150を構成する部分SOI層141についても、欠陥が少なく、その結晶性を良好とすることができる。   Through the steps 1-a to 1-e as described above, an SOI wafer 180 (partial SOI wafer) having a partial SOI structure 150 as shown in FIG. 1E can be manufactured. With an SOI wafer manufacturing method for manufacturing an SOI wafer having a partial SOI structure through the above-described steps, a partial SOI structure can be easily formed on a silicon single crystal wafer at a low cost. Further, since one SOI wafer can be manufactured from one silicon single crystal wafer, the manufacturing cost of the SOI wafer can be reduced. In addition, according to the method for manufacturing a partial SOI wafer according to the above-described process, the silicon film 140 that is single-crystallized from the non-single-crystal silicon film has few defects and can have good crystallinity. Therefore, the partial SOI layer 141 constituting the partial SOI structure 150 also has few defects and can have good crystallinity.

なお、この後さらに、工程1−eで作製された部分SOIウェーハ180の非単結晶シリコン膜から単結晶化されたシリコン膜140の表面の平坦性を高めるために、RTA炉又は抵抗加熱炉を用いて、水素ガス、若しくは不活性ガス又はこれらの混合ガス雰囲気下で高温熱処理を行ったり、非単結晶シリコン膜から単結晶化されたシリコン膜140表面の微量研磨を行ったりしてもよい。   Thereafter, in order to further improve the flatness of the surface of the silicon film 140 that has been single-crystallized from the non-single-crystal silicon film of the partial SOI wafer 180 produced in step 1-e, an RTA furnace or a resistance heating furnace is used. Alternatively, high-temperature heat treatment may be performed in an atmosphere of hydrogen gas, an inert gas, or a mixed gas thereof, or a small amount of polishing may be performed on the surface of the silicon film 140 that has been single-crystallized from a non-single-crystal silicon film.

次に、上記のような部分SOI構造150を有するSOIウェーハ180から全面SOI構造を有するSOIウェーハ(全面SOIウェーハ)を製造する方法を説明する。
図2に、本発明に係る、部分SOI構造を有するSOIウェーハから全面SOI構造を有するSOIウェーハの製造方法の一例を示した。
Next, a method for manufacturing an SOI wafer having a full SOI structure (full SOI wafer) from the SOI wafer 180 having the partial SOI structure 150 as described above will be described.
FIG. 2 shows an example of a method for manufacturing an SOI wafer having an entire SOI structure from an SOI wafer having a partial SOI structure according to the present invention.

まず、図2(a)に示すように、図1(e)に示した部分SOI構造150を有するSOIウェーハ180において、第1絶縁膜111のない領域のシリコン単結晶ウェーハ100の表面102を露出させる(工程2−a)。すなわち、非単結晶シリコン膜から単結晶化されたシリコン膜140のうち、第1絶縁膜111上の部分SOI層141以外の部分を除去する。   First, as shown in FIG. 2A, in the SOI wafer 180 having the partial SOI structure 150 shown in FIG. 1E, the surface 102 of the silicon single crystal wafer 100 in the region where the first insulating film 111 is not exposed is exposed. (Step 2-a). That is, a portion other than the partial SOI layer 141 on the first insulating film 111 is removed from the silicon film 140 that is single-crystallized from the non-single-crystal silicon film.

この工程2−aは、工程1−dの場合と同様にフォトリソグラフィ技術を用いて行うことができる。すなわち、非単結晶シリコン膜から単結晶化したシリコン膜140の表面にフォトレジスト膜を形成し、非単結晶シリコン膜から単結晶化したシリコン膜140のうち除去しようとする部分に相当する領域のフォトレジスト膜を除去する。その後、残ったフォトレジスト膜パターン部分をマスクとして選択エッチングにより、除去しようとする非単結晶シリコン膜から単結晶化したシリコン膜140のみを除去し、下地のシリコン単結晶ウェーハ100の表面102を露出すればよい。   This step 2-a can be performed using a photolithography technique as in the case of the step 1-d. That is, a photoresist film is formed on the surface of the silicon film 140 single-crystallized from the non-single-crystal silicon film, and a region corresponding to a portion to be removed of the silicon film 140 single-crystallized from the non-single-crystal silicon film. The photoresist film is removed. Thereafter, by selectively etching using the remaining photoresist film pattern portion as a mask, only the single-crystallized silicon film 140 is removed from the non-single-crystal silicon film to be removed, and the surface 102 of the underlying silicon single-crystal wafer 100 is exposed. do it.

なお、この際、下地のシリコン単結晶ウェーハ100を残し、非単結晶シリコン膜から単結晶化したシリコン膜140のみを選択エッチングで除去するため、例えば、前述の工程1−aで準備するシリコン単結晶ウェーハ100として、高ドーパント濃度基板(ボロンが高濃度にドープされたp基板や、リン、アンチモン、ヒ素が高濃度にドープされたn基板)を用い、一方で、前述の工程1−cで形成する非単結晶シリコン膜120をノンドープ又は低ドーパント濃度の膜として形成することもできる。また、前述の工程1−aで準備するシリコン単結晶ウェーハ100として、アルカリ水溶液に対してエッチング速度が遅い、主表面の面方位を(111)面とした、(111)基板を用いることもできる。 At this time, since the underlying silicon single crystal wafer 100 is left and only the silicon film 140 single-crystallized from the non-single crystal silicon film is removed by selective etching, for example, the silicon single crystal prepared in the above-described step 1-a is used. As the crystal wafer 100, a high dopant concentration substrate (a p + substrate doped with boron at a high concentration or an n + substrate doped with phosphorus, antimony, or arsenic at a high concentration) is used. The non-single crystal silicon film 120 formed by c can also be formed as a non-doped or low dopant concentration film. Further, as the silicon single crystal wafer 100 prepared in the above-described step 1-a, a (111) substrate having a slow etching rate with respect to an alkaline aqueous solution and a plane orientation of the main surface as the (111) plane can also be used. .

次に、図2(b)に示すように、露出したシリコン単結晶ウェーハ100の表面102及び部分SOI層141の表面に第2絶縁膜210を形成する(工程2−b)。
第2絶縁膜210としては、工程1−bで形成した第1絶縁膜110と同様に、絶縁膜であればよいが、例えばシリコン酸化膜とすることが好ましい。シリコン酸化膜の形成方法は熱酸化、CVD法のいずれでもよい。
形成する第2絶縁膜210の厚さは、部分SOI層141の下部に形成されている部分的な第1絶縁膜111(埋め込み絶縁膜)と同一の厚さとすることができるが、用途に応じて異なる厚さの絶縁膜を形成してもよい。
Next, as shown in FIG. 2B, a second insulating film 210 is formed on the exposed surface 102 of the silicon single crystal wafer 100 and the surface of the partial SOI layer 141 (step 2-b).
The second insulating film 210 may be an insulating film as in the case of the first insulating film 110 formed in step 1-b, but is preferably a silicon oxide film, for example. The method for forming the silicon oxide film may be either thermal oxidation or CVD.
The thickness of the second insulating film 210 to be formed can be the same as that of the partial first insulating film 111 (embedded insulating film) formed under the partial SOI layer 141, depending on the application. Insulating films having different thicknesses may be formed.

次に、図2(c)に示すように、第2絶縁膜210の表面に非単結晶シリコン膜220を形成する(工程2−c)。
ここで形成する非単結晶シリコン膜220の厚さは、既に形成されている部分SOI層141の厚さと同等の厚さとすることが好ましい。
Next, as shown in FIG. 2C, a non-single-crystal silicon film 220 is formed on the surface of the second insulating film 210 (step 2-c).
The thickness of the non-single-crystal silicon film 220 formed here is preferably equal to the thickness of the already formed partial SOI layer 141.

次に、図2(d)に示すように、シリコン単結晶ウェーハ100の第1絶縁膜111のない表面に形成された第2絶縁膜211及び非単結晶シリコン膜221を残し、部分SOI層141の表面に形成された第2絶縁膜210及び非単結晶シリコン膜220を除去する。これにより、部分SOI層141の表面142を露出させる(工程2−d)。   Next, as shown in FIG. 2D, the second insulating film 211 and the non-single-crystal silicon film 221 formed on the surface without the first insulating film 111 of the silicon single crystal wafer 100 are left, and the partial SOI layer 141 is left. The second insulating film 210 and the non-single crystal silicon film 220 formed on the surface are removed. This exposes the surface 142 of the partial SOI layer 141 (step 2-d).

この工程2−dは、前述の工程1−d、工程2−aと同様にフォトリソグラフィ技術を用いて行うことができる。すなわち、非単結晶シリコン膜220の表面にフォトレジスト膜を形成し、部分SOI層141の上部に相当する領域のフォトレジスト膜を除去した後、残ったフォトレジスト膜パターン部分をマスクとしてエッチングにより非単結晶シリコン膜220と第2絶縁膜210を除去すればよい。このようにして、図2(d)に示したように、シリコン単結晶ウェーハ100の表面に形成された絶縁膜(部分的な第1絶縁膜111と第2絶縁膜211とからなり、シリコン単結晶ウェーハ100全面を覆う絶縁膜)上に、部分SOI層141と部分的な非単結晶シリコン膜221が交互に形成されたSOIウェーハを得ることができる。   This step 2-d can be performed using the photolithography technique in the same manner as the above-described step 1-d and step 2-a. That is, after forming a photoresist film on the surface of the non-single crystal silicon film 220 and removing the photoresist film in the region corresponding to the upper portion of the partial SOI layer 141, the remaining photoresist film pattern portion is used as a mask to remove the photoresist film. The single crystal silicon film 220 and the second insulating film 210 may be removed. In this way, as shown in FIG. 2D, the insulating film (partial first insulating film 111 and second insulating film 211 formed on the surface of the silicon single crystal wafer 100 is formed. An SOI wafer in which partial SOI layers 141 and partial non-single crystal silicon films 221 are alternately formed on an insulating film covering the entire surface of the crystal wafer 100 can be obtained.

次に、図2(d)に示した部分SOI層141の表面を露出させたSOIウェーハに対し、水素ガス若しくは不活性ガス又はこれらの混合ガス雰囲気下でRTA処理を行う。このRTA処理により、非単結晶シリコン膜221と部分SOI層141にマイグレーションが生じ、非単結晶シリコン膜221と部分SOI層141が接触する。部分SOI層141は単結晶化されたシリコン膜であるので、非単結晶シリコン膜221側において非単結晶シリコン膜221と部分SOI層141の接触面から単結晶シリコンの固相成長が発生する。その結果、図2(e)に示したように、非単結晶シリコン膜221が単結晶化され、部分SOI層141と複合した全面SOI層260を形成し、第1絶縁膜111及び第2絶縁膜211を併せて全面埋め込み絶縁膜とする全面SOI構造を形成される(工程2−e)。   Next, an RTA process is performed in an atmosphere of hydrogen gas, inert gas, or a mixed gas thereof on the SOI wafer from which the surface of the partial SOI layer 141 shown in FIG. 2D is exposed. By this RTA treatment, migration occurs in the non-single-crystal silicon film 221 and the partial SOI layer 141, and the non-single-crystal silicon film 221 and the partial SOI layer 141 come into contact with each other. Since the partial SOI layer 141 is a single crystal silicon film, solid crystal growth of single crystal silicon occurs from the contact surface between the non-single crystal silicon film 221 and the partial SOI layer 141 on the non-single crystal silicon film 221 side. As a result, as shown in FIG. 2E, the non-single-crystal silicon film 221 is single-crystallized to form the entire SOI layer 260 combined with the partial SOI layer 141, and the first insulating film 111 and the second insulating film 260 are formed. A full-surface SOI structure is formed in which the film 211 is combined with the whole-surface buried insulating film (step 2-e).

この工程2−eにおけるRTA処理は、工程1−eのRTA処理の場合と同様の条件下、すなわち、1000℃以上1300℃以下の温度、1秒以上600秒以下の時間で行うことが好ましい。また、RTA処理において十分なマイグレーションを短時間で発生させるためには1100℃以上とすることがより好ましく、1150℃以上とすることがさらに好ましい。また、マイグレーションを比較的低温で発生しやすくするためには、水素ガス100%雰囲気下、又は、水素ガスを5%以上含む不活性ガス雰囲気とすることがより好ましい。   The RTA treatment in Step 2-e is preferably performed under the same conditions as in the RTA treatment in Step 1-e, that is, at a temperature of 1000 ° C. to 1300 ° C. and a time of 1 second to 600 seconds. In order to generate sufficient migration in the RTA process in a short time, the temperature is more preferably 1100 ° C. or higher, and further preferably 1150 ° C. or higher. In order to easily cause migration at a relatively low temperature, it is more preferable to use an atmosphere of 100% hydrogen gas or an inert gas atmosphere containing 5% or more of hydrogen gas.

なお、この際、RTA処理を行う前の部分的な非単結晶シリコン膜221の幅(ストライプパターンや矩形パターンの場合は短辺の幅)が1000nm以下になるようにすれば、RTA処理により部分的な非単結晶シリコン膜221をより容易に全て単結晶化することができる。従って、全面SOI構造を有するSOIウェーハを得るためには、部分的な非単結晶シリコン膜221の幅を1000nm以下とすることが好ましい。このようにするためには、前述の工程1−dにおいて、図1(d)に示した開口部130を形成する際の開口部130の幅を1000nm以下とすることが必要となる。   At this time, if the width of the partial non-single-crystal silicon film 221 before performing the RTA process (the width of the short side in the case of a stripe pattern or a rectangular pattern) is set to 1000 nm or less, the RTA process performs partial processing. All of the typical non-single-crystal silicon film 221 can be single-crystallized more easily. Therefore, in order to obtain an SOI wafer having a full-face SOI structure, the width of the partial non-single-crystal silicon film 221 is preferably set to 1000 nm or less. In order to do this, in the above-described step 1-d, it is necessary that the width of the opening 130 when forming the opening 130 shown in FIG.

以上のような工程を経て、図2(e)に示したような、全面SOI構造を有するSOIウェーハ280を製造することができる。
なお、この後さらに、工程2−eで作製された全面SOI構造を有するSOIウェーハ280の全面SOI層260表面の平坦性を高めるため、RTA炉又は抵抗加熱炉を用いて、水素ガス、若しくは不活性ガス又はこれらの混合ガス雰囲気下で高温熱処理を行ったり、全面SOI層260表面の微量研磨を行ったりしてもよい。
Through the steps as described above, an SOI wafer 280 having a full surface SOI structure as shown in FIG. 2E can be manufactured.
Thereafter, in order to further improve the flatness of the surface of the entire SOI layer 260 of the SOI wafer 280 having the entire SOI structure manufactured in Step 2-e, hydrogen gas or non-reactor is used by using an RTA furnace or a resistance heating furnace. High-temperature heat treatment may be performed in an active gas or a mixed gas atmosphere thereof, or a small amount of polishing of the entire surface of the SOI layer 260 may be performed.

以上のような工程を経て全面SOI構造を有するSOIウェーハを製造するSOIウェーハの製造方法であれば、シリコン単結晶ウェーハに全面SOI構造を低コストで形成することができる。そして、1枚のシリコン単結晶ウェーハから1枚のSOIウェーハを製造することができるため、SOIウェーハの製造コストを低減することができる。また、以上のような工程による全面SOIウェーハの製造方法であれば、欠陥が少なく結晶性が良好な部分SOI層141を有する部分SOIウェーハから全面SOIウェーハの製造を行うため、最終的に形成した全面SOI層260についても、欠陥が少なく、その結晶性を良好とすることができる。   With an SOI wafer manufacturing method for manufacturing an SOI wafer having an entire SOI structure through the above-described steps, the entire SOI structure can be formed on a silicon single crystal wafer at low cost. Since one SOI wafer can be manufactured from one silicon single crystal wafer, the manufacturing cost of the SOI wafer can be reduced. In addition, if the method for manufacturing a full-scale SOI wafer according to the above-described process is used, a full-scale SOI wafer is manufactured from a partial SOI wafer having a partial SOI layer 141 with few defects and good crystallinity. The entire SOI layer 260 also has few defects and can have good crystallinity.

以下、本発明を実施例を挙げて具体的に説明するが、本発明はこれに限定されるものではない。
(実施例)
図1(a)〜(e)、図2(a)〜(e)に示した本発明の全面SOIウェーハの製造方法に従い、以下のように部分SOIウェーハ及び全面SOIウェーハを製造した。
Hereinafter, the present invention will be specifically described with reference to examples, but the present invention is not limited thereto.
(Example)
In accordance with the method for manufacturing a full surface SOI wafer of the present invention shown in FIGS. 1A to 1E and FIGS. 2A to 2E, a partial SOI wafer and a full surface SOI wafer were manufactured as follows.

まず、図1(a)に示したように、シリコン単結晶ウェーハ100として、導電型p型、抵抗率0.01Ωcm、直径300mm、厚さ775μm、面方位(100)のCZウェーハを準備した(工程1−a)。   First, as shown in FIG. 1A, a CZ wafer having a conductivity type p-type, a resistivity of 0.01 Ωcm, a diameter of 300 mm, a thickness of 775 μm, and a plane orientation (100) was prepared as a silicon single crystal wafer 100 ( Step 1-a).

次に、図1(b)に示したように、シリコン単結晶ウェーハ100の表面に、第1絶縁膜110としてドライ酸化により熱酸化膜(シリコン酸化膜)を10nmの厚さで形成した(工程1−b)。   Next, as shown in FIG. 1B, a thermal oxide film (silicon oxide film) having a thickness of 10 nm is formed as a first insulating film 110 on the surface of the silicon single crystal wafer 100 by dry oxidation (process). 1-b).

次に、図1(c)に示したように、シリコン酸化膜(第1絶縁膜)110の表面に、非単結晶シリコン膜120としてノンドープの多結晶シリコン膜を、減圧CVD法によって堆積温度を620℃として300nmの厚さで形成した(工程1−c)。   Next, as shown in FIG. 1C, a non-doped polycrystalline silicon film as a non-single crystalline silicon film 120 is deposited on the surface of the silicon oxide film (first insulating film) 110 by a low pressure CVD method. The film was formed at a temperature of 620 ° C. and a thickness of 300 nm (step 1-c).

次に、図1(d)に示したように、フォトリソグラフィ技術により、非単結晶シリコン膜(多結晶シリコン膜)120の表面から、シリコン酸化膜(第1絶縁膜)110を貫通し、シリコン単結晶ウェーハ100の表面101まで達する開口部130を形成した。
具体的には、パターン形状をライン&スペース(それぞれ1000nm幅)のストライプパターンとした。
また、多結晶シリコン膜(非単結晶シリコン膜)120のエッチングにはTMAH(水酸化テトラメチルアンモニウム)水溶液を、シリコン酸化膜(第1絶縁膜)110のエッチングにはフッ化水素酸(HF)1%水溶液を用いた。
Next, as shown in FIG. 1D, the silicon oxide film (first insulating film) 110 is penetrated from the surface of the non-single-crystal silicon film (polycrystalline silicon film) 120 by photolithography, and silicon An opening 130 reaching the surface 101 of the single crystal wafer 100 was formed.
Specifically, the pattern shape was a stripe pattern of lines and spaces (each 1000 nm width).
Further, a TMAH (tetramethylammonium hydroxide) aqueous solution is used for etching the polycrystalline silicon film (non-single crystal silicon film) 120, and hydrofluoric acid (HF) is used for etching the silicon oxide film (first insulating film) 110. A 1% aqueous solution was used.

次に、このシリコン酸化膜(第1絶縁膜)111及び多結晶シリコン膜(非単結晶シリコン膜)121が部分的に表面上に積層されたシリコン単結晶ウェーハ100に対し、RTA処理を水素ガス100%雰囲気下、1150℃、30秒の条件で行った。これにより、図1(e)に示したように、部分的な多結晶シリコン膜(非単結晶シリコン膜)121が単結晶化されたシリコン膜140が形成され、埋め込み酸化膜(部分的な第1絶縁膜)111の上に部分SOI層141が形成された部分SOIウェーハ180を得た。   Next, RTA treatment is performed on the silicon single crystal wafer 100 in which the silicon oxide film (first insulating film) 111 and the polycrystalline silicon film (non-single crystal silicon film) 121 are partially stacked on the surface by hydrogen gas. The test was performed in a 100% atmosphere at 1150 ° C. for 30 seconds. As a result, as shown in FIG. 1E, a silicon film 140 in which a partial polycrystalline silicon film (non-single crystal silicon film) 121 is monocrystallized is formed, and a buried oxide film (partial first film) is formed. A partial SOI wafer 180 having a partial SOI layer 141 formed on (1 insulating film) 111 was obtained.

次に、図1(e)に示した部分SOI構造150を有するSOIウェーハ180において、フォトリソグラフィ技術により、埋め込み酸化膜(第1絶縁膜)111のない領域を除去し、図2(a)に示したように、シリコン単結晶ウェーハ100の表面102を露出させた(工程2−a)。
多結晶シリコン膜から単結晶化されたシリコン膜140のエッチングにはTMAH水溶液を用いた。
Next, in the SOI wafer 180 having the partial SOI structure 150 shown in FIG. 1E, the region without the buried oxide film (first insulating film) 111 is removed by photolithography, and the structure shown in FIG. As shown, the surface 102 of the silicon single crystal wafer 100 was exposed (step 2-a).
A TMAH aqueous solution was used for etching the silicon film 140 that was single-crystallized from the polycrystalline silicon film.

次に、図2(b)に示したように、露出したシリコン単結晶ウェーハ100の表面102及び部分SOI層141の表面に、第2絶縁膜210として熱酸化膜(シリコン酸化膜)を形成した(工程2−b)。この熱酸化膜はドライ酸化により形成し、膜厚は10nmとした。   Next, as shown in FIG. 2B, a thermal oxide film (silicon oxide film) is formed as the second insulating film 210 on the exposed surface 102 of the silicon single crystal wafer 100 and the surface of the partial SOI layer 141. (Step 2-b). This thermal oxide film was formed by dry oxidation, and the film thickness was 10 nm.

次に、図2(c)に示したように、第2絶縁膜(シリコン酸化膜)210の表面に、非単結晶シリコン膜220としてノンドープの多結晶シリコン膜を、減圧CVD法によって堆積温度を620℃として300nmの厚さで形成した(工程2−c)。   Next, as shown in FIG. 2C, a non-doped polycrystalline silicon film as a non-single crystalline silicon film 220 is deposited on the surface of the second insulating film (silicon oxide film) 210 by a low pressure CVD method. The film was formed at 620 ° C. and a thickness of 300 nm (step 2-c).

次に、図2(d)に示したように、フォトリソグラフィ技術により、シリコン単結晶ウェーハ100の第1絶縁膜111のない表面に形成された第2絶縁膜211及び多結晶シリコン膜(非単結晶シリコン膜)221を残し、部分SOI層141の表面に形成された第2絶縁膜210及び多結晶シリコン膜(非単結晶シリコン膜)220を除去した。これにより、部分SOI層141の表面142を露出させた(工程2−d)。
なお、多結晶シリコン膜(非単結晶シリコン膜)220のエッチングにはTMAH水溶液を、シリコン酸化膜(第2絶縁膜)210のエッチングにはHF1%水溶液を用いた。
Next, as shown in FIG. 2D, the second insulating film 211 and the polycrystalline silicon film (non-single) formed on the surface without the first insulating film 111 of the silicon single crystal wafer 100 by the photolithography technique. The second insulating film 210 and the polycrystalline silicon film (non-single crystal silicon film) 220 formed on the surface of the partial SOI layer 141 were removed while leaving the crystalline silicon film 221. Thereby, the surface 142 of the partial SOI layer 141 was exposed (step 2-d).
Note that a TMAH aqueous solution was used for etching the polycrystalline silicon film (non-single-crystal silicon film) 220, and an HF 1% aqueous solution was used for etching the silicon oxide film (second insulating film) 210.

次に、この部分SOI層141の表面142を露出させたSOIウェーハに対しRTA処理を水素ガス100%雰囲気下、1150℃、30秒の条件で行った(工程2−e)。
これにより、図2(e)に示したように、第1絶縁膜111及び第2絶縁膜211を併せて全面埋め込み絶縁膜(全面埋め込み酸化膜)とし、該全面埋め込み絶縁膜上に全面SOI層260が形成されたSOIウェーハ(全面SOIウェーハ)280を製造した。
Next, the RTA process was performed on the SOI wafer from which the surface 142 of the partial SOI layer 141 was exposed in a hydrogen gas 100% atmosphere at 1150 ° C. for 30 seconds (step 2-e).
As a result, as shown in FIG. 2E, the first insulating film 111 and the second insulating film 211 are combined into a full buried insulating film (full buried oxide film), and a full SOI layer is formed on the full buried insulating film. An SOI wafer (entire SOI wafer) 280 formed with 260 was manufactured.

<評価>
このようにして工程1−a〜1−e、2−a〜2−eを経て作製された全面SOI層260をTEM(透過型電子顕微鏡)の高倍率観察により評価した。その結果、RTA処理前のポリシリコン膜にはポリシリコンの粒界が観察されていたのに対し、RTA処理後の全面SOI層260には多結晶シリコンの粒界や欠陥が観察されなかったことから、全面SOI層260は良好な単結晶になっていることが確認された。
<Evaluation>
Thus, the whole surface SOI layer 260 produced through steps 1-a to 1-e and 2-a to 2-e was evaluated by high-magnification observation with a TEM (transmission electron microscope). As a result, polysilicon grain boundaries were observed in the polysilicon film before the RTA process, whereas no polycrystalline silicon grain boundaries or defects were observed in the entire SOI layer 260 after the RTA process. From this, it was confirmed that the entire SOI layer 260 was a good single crystal.

なお、本発明は、上記実施形態に限定されるものではない。上記実施形態は、例示であり、本発明の特許請求の範囲に記載された技術的思想と実質的に同一な構成を有し、同様な作用効果を奏するものは、いかなるものであっても本発明の技術的範囲に包含される。   The present invention is not limited to the above embodiment. The above-described embodiment is an exemplification, and the present invention has substantially the same configuration as the technical idea described in the claims of the present invention, and any device that exhibits the same function and effect is the present invention. It is included in the technical scope of the invention.

100…シリコン単結晶ウェーハ、
101、102…シリコン単結晶ウェーハの表面(露出面)、
110…第1絶縁膜、 111…部分的な第1絶縁膜、
120…非単結晶シリコン膜、 121…部分的な非単結晶シリコン膜、
130…開口部、
140…非単結晶シリコン膜から単結晶化された単結晶シリコン膜、
141…部分SOI層、
150…部分SOI構造、 180…部分SOIウェーハ、
210…第2絶縁膜、 211…部分的な第2絶縁膜、
220…非単結晶シリコン膜、 221…部分的な非単結晶シリコン膜、
260…全面SOI層、 280…全面SOIウェーハ。
100: Silicon single crystal wafer,
101, 102 ... surface of silicon single crystal wafer (exposed surface),
110 ... first insulating film, 111 ... partial first insulating film,
120 ... Non-single-crystal silicon film 121 ... Partial non-single-crystal silicon film,
130 ... opening,
140... A single crystal silicon film that has been single crystallized from a non-single crystal silicon film,
141... Partial SOI layer,
150 ... Partial SOI structure, 180 ... Partial SOI wafer,
210 ... second insulating film 211 ... partial second insulating film,
220 ... non-single-crystal silicon film, 221 ... partial non-single-crystal silicon film,
260... Whole surface SOI layer, 280... Whole surface SOI wafer.

Claims (4)

シリコン単結晶ウェーハからSOIウェーハを製造する方法であって、少なくとも、
前記シリコン単結晶ウェーハの表面に第1絶縁膜を形成する工程と、
該第1絶縁膜の表面に非単結晶シリコン膜を形成する工程と、
前記非単結晶シリコン膜の表面から、前記第1絶縁膜を貫通し、前記シリコン単結晶ウェーハの表面まで達する開口部を形成することにより、前記第1絶縁膜及び前記非単結晶シリコン膜が部分的に表面上に積層されたシリコン単結晶ウェーハを得る工程と、
前記第1絶縁膜及び非単結晶シリコン膜が部分的に表面上に積層されたシリコン単結晶ウェーハに対し、水素ガス若しくは不活性ガス又はこれらの混合ガス雰囲気下でRTA処理を行うことにより、前記非単結晶シリコン膜を前記開口部の前記シリコン単結晶ウェーハが露出された部分に接するようにマイグレーションさせ、前記非単結晶シリコン膜を単結晶化して、前記第1絶縁膜を埋め込み絶縁膜とし、該第1絶縁膜上の単結晶化されたシリコン膜を部分SOI層とする部分SOI構造を形成する工程と
を含み、部分SOI構造を有するSOIウェーハを製造することを特徴とするSOIウェーハの製造方法。
A method of manufacturing an SOI wafer from a silicon single crystal wafer, comprising:
Forming a first insulating film on the surface of the silicon single crystal wafer;
Forming a non-single crystal silicon film on the surface of the first insulating film;
By forming an opening extending from the surface of the non-single crystal silicon film to the surface of the silicon single crystal wafer through the first insulating film, the first insulating film and the non-single crystal silicon film are partially To obtain a silicon single crystal wafer laminated on the surface,
The silicon single crystal wafer in which the first insulating film and the non-single crystal silicon film are partially laminated on the surface is subjected to RTA treatment in an atmosphere of hydrogen gas, inert gas, or a mixed gas thereof, The non-single crystal silicon film is migrated so as to be in contact with the exposed portion of the silicon single crystal wafer in the opening, the non-single crystal silicon film is monocrystallized, and the first insulating film is used as a buried insulating film, Forming a partial SOI structure using a single-crystallized silicon film on the first insulating film as a partial SOI layer, and manufacturing an SOI wafer having a partial SOI structure. Method.
請求項1に記載のSOIウェーハの製造方法により製造された、部分SOI構造を有するSOIウェーハから、全面SOI構造を有するSOIウェーハを製造する方法であって、
前記部分SOI構造を有するSOIウェーハの、前記第1絶縁膜のない領域の前記シリコン単結晶ウェーハを露出させる工程と、
該露出した前記シリコン単結晶ウェーハの表面及び前記部分SOI層の表面に第2絶縁膜を形成する工程と、
該第2絶縁膜の表面に非単結晶シリコン膜を形成する工程と、
前記シリコン単結晶ウェーハの第1絶縁膜のない表面に形成された前記第2絶縁膜及び非単結晶シリコン膜を残し、前記部分SOI層の表面に形成された前記第2絶縁膜及び非単結晶シリコン膜を除去することにより、該部分SOI層の表面を露出させる工程と、
該部分SOI層の表面を露出させたSOIウェーハに対し、水素ガス若しくは不活性ガス又はこれらの混合ガス雰囲気下でRTA処理を行うことにより、前記非単結晶シリコン膜を前記部分SOI層に接するようにマイグレーションさせ、前記非単結晶シリコン膜を単結晶化して前記部分SOI層と複合した全面SOI層を形成し、前記第1絶縁膜及び前記第2絶縁膜を全面埋め込み絶縁膜とする全面SOI構造を形成する工程と
を含み、全面SOI構造を有するSOIウェーハを製造することを特徴とするSOIウェーハの製造方法。
A method for manufacturing an SOI wafer having a full-scale SOI structure from an SOI wafer having a partial SOI structure manufactured by the method for manufacturing an SOI wafer according to claim 1,
Exposing the silicon single crystal wafer in a region without the first insulating film of the SOI wafer having the partial SOI structure;
Forming a second insulating film on the exposed surface of the silicon single crystal wafer and the surface of the partial SOI layer;
Forming a non-single crystal silicon film on the surface of the second insulating film;
The second insulating film and non-single crystal formed on the surface of the partial SOI layer, leaving the second insulating film and non-single crystal silicon film formed on the surface without the first insulating film of the silicon single crystal wafer. Exposing the surface of the partial SOI layer by removing the silicon film;
By subjecting the SOI wafer with the surface of the partial SOI layer exposed to RTA treatment in an atmosphere of hydrogen gas, inert gas, or a mixed gas thereof, the non-single crystal silicon film is brought into contact with the partial SOI layer. The entire SOI structure in which the non-single crystal silicon film is monocrystallized to form a whole surface SOI layer combined with the partial SOI layer, and the first insulating film and the second insulating film are entirely buried insulating films. A method for manufacturing an SOI wafer, comprising: manufacturing an SOI wafer having an entire SOI structure.
前記非単結晶シリコン膜を、多結晶シリコン膜又はアモルファスシリコン膜とすることを特徴とする請求項1又は請求項2に記載のSOIウェーハの製造方法。   3. The method for manufacturing an SOI wafer according to claim 1, wherein the non-single crystal silicon film is a polycrystalline silicon film or an amorphous silicon film. 前記RTA処理を、1000℃以上1300℃以下の温度、1秒以上600秒以下の時間で行うことを特徴とする請求項1ないし請求項3のいずれか一項に記載のSOIウェーハの製造方法。   4. The method for manufacturing an SOI wafer according to claim 1, wherein the RTA treatment is performed at a temperature of 1000 ° C. to 1300 ° C. and a time of 1 second to 600 seconds. 5.
JP2009097752A 2009-04-14 2009-04-14 Manufacturing method of SOI wafer Active JP5338443B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2009097752A JP5338443B2 (en) 2009-04-14 2009-04-14 Manufacturing method of SOI wafer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009097752A JP5338443B2 (en) 2009-04-14 2009-04-14 Manufacturing method of SOI wafer

Publications (2)

Publication Number Publication Date
JP2010251444A true JP2010251444A (en) 2010-11-04
JP5338443B2 JP5338443B2 (en) 2013-11-13

Family

ID=43313479

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009097752A Active JP5338443B2 (en) 2009-04-14 2009-04-14 Manufacturing method of SOI wafer

Country Status (1)

Country Link
JP (1) JP5338443B2 (en)

Cited By (318)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11952658B2 (en) 2022-10-24 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02151019A (en) * 1988-12-02 1990-06-11 Toshiba Corp Manufacture of semiconductor single crystal layer
JPH07249574A (en) * 1994-01-19 1995-09-26 Semiconductor Energy Lab Co Ltd Manufacture of semiconductor and manufacture of thin film transistor
JPH1131659A (en) * 1997-07-10 1999-02-02 Toshiba Corp Manufacture of semiconductor device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02151019A (en) * 1988-12-02 1990-06-11 Toshiba Corp Manufacture of semiconductor single crystal layer
JPH07249574A (en) * 1994-01-19 1995-09-26 Semiconductor Energy Lab Co Ltd Manufacture of semiconductor and manufacture of thin film transistor
JPH1131659A (en) * 1997-07-10 1999-02-02 Toshiba Corp Manufacture of semiconductor device

Cited By (409)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2021-04-26 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11956977B2 (en) 2021-08-31 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11952658B2 (en) 2022-10-24 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material

Also Published As

Publication number Publication date
JP5338443B2 (en) 2013-11-13

Similar Documents

Publication Publication Date Title
JP5338443B2 (en) Manufacturing method of SOI wafer
JP5050185B2 (en) Method for producing oriented low defect density Si
JP5706391B2 (en) Manufacturing method of SOI wafer
TWI310962B (en)
US7629666B2 (en) Method and structure for implanting bonded substrates for electrical conductivity
JP2007123875A (en) Method for forming germanium-on-insulator semiconductor structure using porous layer and semiconductor structure formed by the method
JP2006173568A (en) Method of manufacturing soi substrate
KR101340002B1 (en) SOI Wafer Manufacturing Method
JP5183958B2 (en) Manufacturing method of SOI wafer
TW201916251A (en) Methods of forming soi substrates
JP5499455B2 (en) SOI (Siliconon Insulator) Structure Semiconductor Device and Method of Manufacturing the Same
JP5292810B2 (en) Manufacturing method of SOI substrate
TW201725173A (en) Method for producing a multilayer MEMS component and corresponding multilayer MEMS component
JP2009218381A (en) Method for manufacturing soi (silicon on insulator) substrate
JP2007299976A (en) Process for fabricating semiconductor device
JP5200412B2 (en) Manufacturing method of SOI substrate
JP5096780B2 (en) Manufacturing method of SOI wafer
KR100704146B1 (en) Manufacturing method of silicon on insulator wafer
JP5565128B2 (en) Manufacturing method of bonded wafer
JP7334698B2 (en) SOI WAFER MANUFACTURING METHOD AND SOI WAFER
JP2010040638A (en) Method of manufacturing soi substrate
JP2022067962A (en) Manufacturing method for soi wafer, and soi wafer
KR20050079808A (en) Method of manufacturing silicon on insulator wafer
JP2023510285A (en) The process of making an image sensor
JP2005268511A (en) Manufacturing method of soi substrate

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110621

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130709

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130711

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130722

R150 Certificate of patent or registration of utility model

Ref document number: 5338443

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250