US20030066541A1 - Method and apparatus for enhanced chamber cleaning - Google Patents

Method and apparatus for enhanced chamber cleaning Download PDF

Info

Publication number
US20030066541A1
US20030066541A1 US10/303,374 US30337402A US2003066541A1 US 20030066541 A1 US20030066541 A1 US 20030066541A1 US 30337402 A US30337402 A US 30337402A US 2003066541 A1 US2003066541 A1 US 2003066541A1
Authority
US
United States
Prior art keywords
chamber
fluoropolymer
cleaning
components
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/303,374
Inventor
Sheng Sun
Quanyuan Shang
Sanjay Yadav
William Harshbarger
Kam Law
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/303,374 priority Critical patent/US20030066541A1/en
Publication of US20030066541A1 publication Critical patent/US20030066541A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B17/00Methods preventing fouling
    • B08B17/02Preventing deposition of fouling or of dust
    • B08B17/06Preventing deposition of fouling or of dust by giving articles subject to fouling a special shape or arrangement
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like

Definitions

  • the present invention relates to an improved method and apparatus for enhancing chamber cleaning rates. More specifically, the present invention relates to a method and apparatus for enhancing the effective etch rate of a reactive chemical species which etches accumulated materials from process chamber components.
  • an in-situ dry cleaning process is preferred.
  • one or more gases are dissociated to form one or more reactive gas species (e.g., fluorine ions, radicals).
  • the reactive species clean chamber surfaces by forming volatile compounds with the material accumulated on those surfaces.
  • chamber cleaning processes conventionally require considerable time and consume considerable amounts of cleaning gases, and thus undesirably increase the cost per substrate processed within a processing chamber. Further, large cleaning rate variations often are observed between processing chambers cleaned by identical cleaning processes. Accordingly, there is a need for an improved method and apparatus for etching accumulated material from chamber surfaces.
  • chamber cleaning rates may be increased by as much as 20-100% when chamber surfaces exposed to reactive cleaning gas species are coated with a fluoropolymer (e.g., polytetrafluoroethylene (PTFE), a tetrafluoroethylene and hexafluoropropylene copolymer (FEP), a copolymer of tetrafluoroethylene and perfluoropropylvinyl ether (PFA)).
  • PTFE polytetrafluoroethylene
  • FEP tetrafluoroethylene and hexafluoropropylene copolymer
  • PFA perfluoropropylvinyl ether
  • the system includes a reactive species generator adapted to generate a reactive gas species for chemically etching accumulated material from chamber components, and a processing chamber having at least one flouropolymer coated component which is exposed to the reactive species.
  • the fluoropolymer coated component(s) include large components such as a gas distribution plate or a backing plate, and/or a plurality of smaller components (e.g., the chamber's shadow frame, wall liners, susceptor, gas conductance line, etc.) so as to constitute a large percentage of the surface area exposed to the reactive species. Most preferably all surfaces which the reactive species contacts are coated with a fluoropolymer.
  • FIG. 1 is a side elevational view of a processing system configured in accordance with the present invention.
  • FIG. 1 is a side elevational view of a processing system 10 configured in accordance with the present invention.
  • Any suitable processing system may be modified as described herein such as a model AKT-1600 PECVD System manufactured by Applied Kamatsu Technology and described in U.S. Pat. No. 5,788,778, which is hereby incorporated by reference herein in its entirety, the GIGAFILLTM processing system manufactured by Applied Materials, Inc. and described in U.S. Pat. No. 5,812,403, which is hereby incorporated by reference herein in its entirety, thermal deposition chambers and the like.
  • FIG. 1 An AKT-1600 PECVD System configured in accordance with the present invention is shown in FIG. 1.
  • the AKT-1600 PECVD System is designed for fabricating active-matrix liquid crystal displays and may be used to deposit amorphous silicon, silicon dioxide, silicon oxynitrides and silicon nitride as is known in the art.
  • the processing system 10 comprises a deposition chamber 11 having a gas distribution plate 12 having apertures 12 a - u and a backing plate 13 adapted to deliver process gases and cleaning gases into the deposition chamber 11 , and a susceptor 14 for supporting a substrate 16 to be processed within the deposition chamber 11 .
  • the susceptor 14 includes a heater element 18 (e.g., a resistive heater) coupled to a heater control 20 for elevating the temperature of the substrate 16 to a processing temperature and for maintaining the substrate 16 at the processing temperature during processing.
  • a lift mechanism 22 is coupled to the susceptor 14 via a lift member 24 to allow the substrate 16 to be lifted from the susceptor 14 .
  • a plurality of lift pins 26 penetrate the susceptor 14 (via a plurality of lift pin apertures 30 ) so as to contact and lift the substrate 16 from the susceptor 14 when the susceptor 14 is lowered by the lift mechanism 22 .
  • the deposition chamber 11 further comprises a chamber wall liner 29 which blocks material from accumulating on the chamber wall and which can be removed and cleaned, and a shadow frame 31 which overhangs the substrate's edge and thereby prevents material from depositing or accumulating on the wafer's edge.
  • the gas distribution plate 12 and the susceptor 14 also serve as parallel plate upper and lower electrodes, respectively, for generating a plasma within the deposition chamber 11 .
  • the susceptor 14 may be grounded and the gas distribution plate 12 coupled to an RF generator 32 via a matching network 34 .
  • An RF plasma thereby may be generated between the gas distribution plate 12 and the susceptor 14 through application of RF power supplied thereto by the RF generator 32 via the matching network 34 .
  • a vacuum pump 36 is coupled to the deposition chamber 11 for evacuating/pumping the same before, during or after processing as required.
  • the processing system 10 further comprises a first gas supply system 38 coupled to an inlet 40 of the deposition chamber 11 for supplying process gases thereto through the backing plate 13 and the gas distribution plate 12 .
  • the first gas supply system 38 comprises a valve controller system 42 (e.g., computer controlled mass flow controllers, flow meters, etc.) coupled to the inlet 40 of the deposition chamber 11 , and a plurality of process gas sources 44 a , 44 b coupled to the valve controller system 42 .
  • the valve controller system 42 regulates the flow of process gases to the deposition chamber 11 .
  • the specific process gases employed depend on the materials being deposited within the deposition chamber 11 .
  • the processing system 10 comprises a second gas supply system 46 coupled to the inlet 40 of the deposition chamber 11 (via a gas conductance line 48 ) for supplying cleaning gases thereto during cleaning of the deposition chamber 11 (e.g., to remove accumulated material from the various interior surfaces of the chamber 11 ).
  • the second gas supply system 46 comprises a remote plasma chamber 50 coupled to the gas conductance line 48 and a precursor gas source 52 and a minor carrier gas source 54 coupled to the remote plasma chamber 50 via a valve controller system 56 and a valve controller system 58 , respectively.
  • Typical precursor cleaning gases include NF 3 , CF 4 , SF 6 , C 2 F 6 , CCl 4 , C 2 Cl 6 , etc., as are well known in the art.
  • the minor carrier gas may comprise any non-reactive gas compatible with the cleaning process being employed (e.g., argon, helium, hydrogen, nitrogen, oxygen, etc.).
  • the precursor and minor carrier gas sources 52 , 54 may comprise a single gas source if desired.
  • a high power microwave generator 60 supplies microwave power to the remote plasma chamber 50 to activate the precursor gas within the remote activation chamber (as described below).
  • a flow restrictor 62 preferably is placed along the gas conductance line 48 to allow a pressure differential to be maintained between the remote plasma chamber 50 and the deposition chamber 11 .
  • a precursor gas is delivered to the remote plasma chamber 50 from the precursor gas source 52 .
  • the flow rate of the precursor gas is set by the valve controller system 56 .
  • the high power microwave generator 60 delivers microwave power to the remote plasma chamber 50 and activates the precursor gas to form one or more reactive species (e.g., fluorine radicals) which travel to the deposition chamber 11 through the gas conductance line 48 .
  • the one or more reactive species then travel through the inlet 40 , through the backing plate 13 , through the gas distribution plate 12 and into the deposition chamber 11 .
  • a minor carrier gas may be supplied to the remote plasma chamber 50 from the minor carrier gas source 54 to aid in transport of the one or more reactive species to the chamber 11 and/or to assist in chamber cleaning or plasma initiation/stabilization within the deposition chamber 11 if an RF plasma is employed during chamber cleaning.
  • Exemplary cleaning process parameters for the deposition chamber 11 when an NF 3 precursor cleaning gas is employed include a precursor gas flow rate of about 2 liters per minute and a deposition chamber pressure of about 0.5 Torr.
  • a microwave power of 3-12 kW, preferably 5 kW, is supplied to the remote plasma chamber 50 by the high power microwave generator 60 to activate the NF 3 precursor gas.
  • the remote plasma chamber 50 is held at a pressure of at least 4.5 Torr and preferably about 6 Torr.
  • Other cleaning process parameter ranges/chemistries are described in previously incorporated U.S. Pat. No. 5,788,778.
  • cleaning rates and cleaning rate variations between chambers are dependent on the internal chamber surface condition, and that all internal surfaces between a remote plasma source (e.g., remote plasma chamber 50 ) and a chamber (e.g., deposition chamber 11 ) (“downstream surfaces”) affect cleaning rates.
  • a surface controlled deactivation process is believed to cause reactive species employed during cleaning (e.g., active etchant species such as F radicals) to combine to form non-reactive species (e.g., F 2 in the case of F radicals) which do not assist in chamber cleaning.
  • This surface controlled deactivation process appears to occur at many material surfaces including both bare and anodized aluminum surfaces.
  • the present inventors have found that by coating one or more downstream components with PTFE, FEP or PFA, known generally as fluoropolymers, significantly higher cleaning rates are achieved and cleaning rate variations between chambers are virtually eliminated.
  • Components found to have the most significant affect on cleaning performance include a chamber's gas distribution plate and backing plate.
  • Components found to have a slight affect on cleaning performance include a chamber's shadow frame, wall liners, susceptor and gas conductance line.
  • Components found to have little effect on cleaning performance include a chamber's microwave power supply, magnetron and microwave applicator. In order to affect an improvement in chamber cleaning rates, a certain percentage of the chamber components should be coated with a fluoropolymer.
  • an increase in cleaning rate (e.g., up to 15%) also can be achieved by using an RF plasma within a processing chamber in conjunction with a remote plasma source, i.e., by powering electrode 12 to form the radicalized gases entering from the remote plasma source, or secondarily introducing cleaning gases into a plasma.
  • applied RF power should be limited to avoid damage to processing chamber components due to ion bombardment.
  • one or more downstream components of the processing system 11 are coated with a polytetrafluoroethylene (PTFE), a tetrafluoroethylene and hexafluoropropylene copolymer (FEP), or a copolymer of tetrafluoroethylene and perfluoropropylvinyl ether coating (“fluoropolymer coating 64 ”).
  • PTFE polytetrafluoroethylene
  • FEP tetrafluoroethylene and hexafluoropropylene copolymer
  • fluoropolymer coating 64 a copolymer of tetrafluoroethylene and perfluoropropylvinyl ether coating
  • the interior surfaces of the deposition chamber 11 , the gas distribution plate 12 the backing plate 13 , the susceptor 14 , the inlet 40 , the gas conductance line 48 , the chamber wall liner 29 and the shadow frame 31 are coated with the protective coating 64 . Fewer components may be coated with the fluoropolymer coating 64 if desired.
  • the fluoropolymer coating 64 significantly increases the cleaning rate and significantly reduces chamber-to-chamber cleaning rate variations while neither producing process drift nor changes in the properties of PECVD films deposited within the deposition chamber 11 .
  • the fluoropolymer coating 64 is believed to cover surface adsorption sites at which the surface controlled deactivation process is believed to occur (e.g., maintaining a high and a uniform F radical concentration) and is also believed to reduce the amount of material deposited on component surfaces of the deposition chamber 11 during processing therein (e.g., reducing the amount of material that must be cleaned from component surfaces and the time required for material removal during cleaning).
  • the inventive fluoropolymer coating may be applied either in-situ or ex-situ.
  • a precursor gas such as CHF 3 may be employed to coat process chamber components using either a microwave or RF plasma.
  • a CHF 3 precursor gas source 52 may feed CHF 3 to the remote plasma chamber 50 wherein microwave power applied via the high power microwave generator 60 dissociates the CHF 3 into CF 2 and HF.
  • the CF 2 and HF travel to the deposition chamber 11 , and, en route, the CF 2 forms a fluoropolymer coating on the gas conductance line 48 , the flow restrictor 59 , the inlet 40 , the backing plate 13 , the gas distribution plate 12 , the susceptor 14 and the interior surfaces of the deposition chamber 11 .
  • CHF 3 (and, if desired, CF 2 from the remote plasma chamber 50 ) may be flowed into the deposition chamber 11 while an RF plasma is generated within the deposition chamber 11 via the RF generator 32 .
  • the RF plasma within the deposition chamber 11 will dissociate CHF 3 into CF 2 which in turn will coat chamber components with a fluoropolymer coating.
  • the chamber 11 may be heated (e.g., via the heater control 20 and the resistive heating element 18 or via any conventional heating mechanism capable of heating the entire chamber to the desired temperature) so as to melt/reflow the fluoropolymer coating.
  • a heater temperature Preferably a heater temperature of about 500-800° F. is employed.
  • a uniform fluoropolymer coating preferably about 0.5-10 ⁇ m in thickness, is formed on the chamber components.
  • chamber components such as the gas distribution plate 12 and the backing plate 13 preferably are uniformly coated with a thin layer (e.g., about 0.5 to 10 microns) of a PTFE, a FEP- or a PFA-contained in a solution or suspension fluid such as water, isopropyl alcohol, etc.
  • a thin layer e.g., about 0.5 to 10 microns
  • a PTFE e.g., a FEP- or a PFA-contained in a solution or suspension fluid such as water, isopropyl alcohol, etc.
  • the chamber components may be reinstalled within the processing chamber. Care should be taken to prevent clogging of the small gas injection holes of the gas distribution plate due to capillary effect.
  • inventive protective coating described herein differs from flouropolymers which undesirably accumulate over time on chamber surfaces as a result of flouropolymer deposition on a underlying substrate, or which are formed as a byproduct of certain CVD processes (i.e., are not continuously formed), in that such undesirably accumulated material is characteristically non-uniform, often exhibiting both areas of thick accumulation which can crumble from chamber surfaces, and areas where no material accumulates. Accordingly, such undesirable byproduct and deposited material accumulation must be cleaned from chamber surfaces. However, these undesirable fluoropolymer accumulations do not react with reactive fluorine gas species and therefore must be cleaned by other, less efficient means.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Cleaning In General (AREA)

Abstract

A system for processing substrates within a chamber and for cleaning accumulated material from chamber components is provided. The system includes a reactive species generator adapted to generate a reactive gas species for chemically etching accumulated material from chamber components, and a processing chamber having at least one fluoropolymer coated component which is exposed to the reactive species. Preferably to have the greatest impact on chamber cleaning efficiency, the fluoropolymer coated component(s) are large components such as a gas distribution plate or a backing plate, and/or a plurality of smaller components (e.g., a shadow frame, chamber wall liners, a susceptor, a gas conductance line) so as to constitute a large percentage of the surface area exposed to the reactive species. Most preferably all surfaces which the reactive species contacts are coated with fluoropolymer.

Description

  • This application is a division of U.S. patent application Ser. No. 09/322,893, filed May 29, 1999, which is hereby incorporated by reference herein in its entirety.[0001]
  • FIELD OF THE INVENTION
  • The present invention relates to an improved method and apparatus for enhancing chamber cleaning rates. More specifically, the present invention relates to a method and apparatus for enhancing the effective etch rate of a reactive chemical species which etches accumulated materials from process chamber components. [0002]
  • BACKGROUND OF THE INVENTION
  • The manufacture of liquid crystal displays, flat panel displays, thin film transistors and other semiconductor devices occurs within a plurality of chambers, each of which is designed to perform a specific process on the substrate. Many of these processes can result in an accumulation of material (e.g., material deposited on the substrate in layers, such as by chemical vapor deposition, physical vapor deposition, thermal evaporation, material etched from substrate surfaces, and the like) on chamber surfaces. Such accumulated material can crumble from the chamber surfaces and contaminate the sensitive devices being processed therein. Accordingly, process chambers must be cleaned of accumulated materials frequently (e.g., every 1-6 substrates). [0003]
  • To clean chamber surfaces, an in-situ dry cleaning process is preferred. In an in-situ dry cleaning process one or more gases are dissociated to form one or more reactive gas species (e.g., fluorine ions, radicals). The reactive species clean chamber surfaces by forming volatile compounds with the material accumulated on those surfaces. Unfortunately, as described further below, such chamber cleaning processes conventionally require considerable time and consume considerable amounts of cleaning gases, and thus undesirably increase the cost per substrate processed within a processing chamber. Further, large cleaning rate variations often are observed between processing chambers cleaned by identical cleaning processes. Accordingly, there is a need for an improved method and apparatus for etching accumulated material from chamber surfaces. [0004]
  • SUMMARY OF THE INVENTION
  • The present inventors have discovered that chamber cleaning rates may be increased by as much as 20-100% when chamber surfaces exposed to reactive cleaning gas species are coated with a fluoropolymer (e.g., polytetrafluoroethylene (PTFE), a tetrafluoroethylene and hexafluoropropylene copolymer (FEP), a copolymer of tetrafluoroethylene and perfluoropropylvinyl ether (PFA)). The present invention therefore comprises a system for processing substrates within a chamber and for cleaning accumulated material from chamber components. The system includes a reactive species generator adapted to generate a reactive gas species for chemically etching accumulated material from chamber components, and a processing chamber having at least one flouropolymer coated component which is exposed to the reactive species. Preferably to have the greatest impact on chamber cleaning efficiency, the fluoropolymer coated component(s) include large components such as a gas distribution plate or a backing plate, and/or a plurality of smaller components (e.g., the chamber's shadow frame, wall liners, susceptor, gas conductance line, etc.) so as to constitute a large percentage of the surface area exposed to the reactive species. Most preferably all surfaces which the reactive species contacts are coated with a fluoropolymer. [0005]
  • By coating exposed chamber components with PTFE, FEP or PFA, not only have cleaning rate enhancements been observed, cleaning rate variations between processing chambers can be virtually eliminated, process chamber throughput increased significantly and the amount of precursor gas required for cleaning reduced. Because of the high costs associated with precursor gases such as NF[0006] 3, both monetarily and environmentally (e.g., global warming), any reduction in precursor gas consumption is beneficial.
  • Other objects, features and advantages of the present invention will become more fully apparent from the following detailed description of the preferred embodiments, the appended claims and the accompanying drawings.[0007]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a side elevational view of a processing system configured in accordance with the present invention.[0008]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • FIG. 1 is a side elevational view of a [0009] processing system 10 configured in accordance with the present invention. Any suitable processing system may be modified as described herein such as a model AKT-1600 PECVD System manufactured by Applied Kamatsu Technology and described in U.S. Pat. No. 5,788,778, which is hereby incorporated by reference herein in its entirety, the GIGAFILL™ processing system manufactured by Applied Materials, Inc. and described in U.S. Pat. No. 5,812,403, which is hereby incorporated by reference herein in its entirety, thermal deposition chambers and the like. For convenience an AKT-1600 PECVD System configured in accordance with the present invention is shown in FIG. 1. The AKT-1600 PECVD System is designed for fabricating active-matrix liquid crystal displays and may be used to deposit amorphous silicon, silicon dioxide, silicon oxynitrides and silicon nitride as is known in the art.
  • With reference to FIG. 1, the [0010] processing system 10 comprises a deposition chamber 11 having a gas distribution plate 12 having apertures 12 a-u and a backing plate 13 adapted to deliver process gases and cleaning gases into the deposition chamber 11, and a susceptor 14 for supporting a substrate 16 to be processed within the deposition chamber 11. The susceptor 14 includes a heater element 18 (e.g., a resistive heater) coupled to a heater control 20 for elevating the temperature of the substrate 16 to a processing temperature and for maintaining the substrate 16 at the processing temperature during processing. A lift mechanism 22 is coupled to the susceptor 14 via a lift member 24 to allow the substrate 16 to be lifted from the susceptor 14. Specifically, a plurality of lift pins 26 (fixedly held by a lift pin holder 28) penetrate the susceptor 14 (via a plurality of lift pin apertures 30) so as to contact and lift the substrate 16 from the susceptor 14 when the susceptor 14 is lowered by the lift mechanism 22. The deposition chamber 11 further comprises a chamber wall liner 29 which blocks material from accumulating on the chamber wall and which can be removed and cleaned, and a shadow frame 31 which overhangs the substrate's edge and thereby prevents material from depositing or accumulating on the wafer's edge.
  • In addition to their above described functions, the [0011] gas distribution plate 12 and the susceptor 14 also serve as parallel plate upper and lower electrodes, respectively, for generating a plasma within the deposition chamber 11. For example, the susceptor 14 may be grounded and the gas distribution plate 12 coupled to an RF generator 32 via a matching network 34. An RF plasma thereby may be generated between the gas distribution plate 12 and the susceptor 14 through application of RF power supplied thereto by the RF generator 32 via the matching network 34. A vacuum pump 36 is coupled to the deposition chamber 11 for evacuating/pumping the same before, during or after processing as required.
  • The [0012] processing system 10 further comprises a first gas supply system 38 coupled to an inlet 40 of the deposition chamber 11 for supplying process gases thereto through the backing plate 13 and the gas distribution plate 12. The first gas supply system 38 comprises a valve controller system 42 (e.g., computer controlled mass flow controllers, flow meters, etc.) coupled to the inlet 40 of the deposition chamber 11, and a plurality of process gas sources 44 a, 44 b coupled to the valve controller system 42. The valve controller system 42 regulates the flow of process gases to the deposition chamber 11. The specific process gases employed depend on the materials being deposited within the deposition chamber 11.
  • In addition to the first [0013] gas supply system 38, the processing system 10 comprises a second gas supply system 46 coupled to the inlet 40 of the deposition chamber 11 (via a gas conductance line 48) for supplying cleaning gases thereto during cleaning of the deposition chamber 11 (e.g., to remove accumulated material from the various interior surfaces of the chamber 11). The second gas supply system 46 comprises a remote plasma chamber 50 coupled to the gas conductance line 48 and a precursor gas source 52 and a minor carrier gas source 54 coupled to the remote plasma chamber 50 via a valve controller system 56 and a valve controller system 58, respectively. Typical precursor cleaning gases include NF3, CF4, SF6, C2F6, CCl4, C2Cl6, etc., as are well known in the art. The minor carrier gas, if employed, may comprise any non-reactive gas compatible with the cleaning process being employed (e.g., argon, helium, hydrogen, nitrogen, oxygen, etc.). The precursor and minor carrier gas sources 52, 54 may comprise a single gas source if desired.
  • A high [0014] power microwave generator 60 supplies microwave power to the remote plasma chamber 50 to activate the precursor gas within the remote activation chamber (as described below). A flow restrictor 62 preferably is placed along the gas conductance line 48 to allow a pressure differential to be maintained between the remote plasma chamber 50 and the deposition chamber 11.
  • During cleaning of the [0015] deposition chamber 11, a precursor gas is delivered to the remote plasma chamber 50 from the precursor gas source 52. The flow rate of the precursor gas is set by the valve controller system 56. The high power microwave generator 60 delivers microwave power to the remote plasma chamber 50 and activates the precursor gas to form one or more reactive species (e.g., fluorine radicals) which travel to the deposition chamber 11 through the gas conductance line 48. The one or more reactive species then travel through the inlet 40, through the backing plate 13, through the gas distribution plate 12 and into the deposition chamber 11. A minor carrier gas may be supplied to the remote plasma chamber 50 from the minor carrier gas source 54 to aid in transport of the one or more reactive species to the chamber 11 and/or to assist in chamber cleaning or plasma initiation/stabilization within the deposition chamber 11 if an RF plasma is employed during chamber cleaning.
  • Exemplary cleaning process parameters for the [0016] deposition chamber 11 when an NF3 precursor cleaning gas is employed include a precursor gas flow rate of about 2 liters per minute and a deposition chamber pressure of about 0.5 Torr. A microwave power of 3-12 kW, preferably 5 kW, is supplied to the remote plasma chamber 50 by the high power microwave generator 60 to activate the NF3 precursor gas. Preferably the remote plasma chamber 50 is held at a pressure of at least 4.5 Torr and preferably about 6 Torr. Other cleaning process parameter ranges/chemistries are described in previously incorporated U.S. Pat. No. 5,788,778.
  • As previously described, common problems with conventional cleaning processes include low cleaning rates and large variations in cleaning rates between process chambers. The present inventors have discovered that cleaning rates and cleaning rate variations between chambers are dependent on the internal chamber surface condition, and that all internal surfaces between a remote plasma source (e.g., remote plasma chamber [0017] 50) and a chamber (e.g., deposition chamber 11) (“downstream surfaces”) affect cleaning rates. Specifically, a surface controlled deactivation process is believed to cause reactive species employed during cleaning (e.g., active etchant species such as F radicals) to combine to form non-reactive species (e.g., F2 in the case of F radicals) which do not assist in chamber cleaning. This surface controlled deactivation process appears to occur at many material surfaces including both bare and anodized aluminum surfaces.
  • The present inventors have found that by coating one or more downstream components with PTFE, FEP or PFA, known generally as fluoropolymers, significantly higher cleaning rates are achieved and cleaning rate variations between chambers are virtually eliminated. Components found to have the most significant affect on cleaning performance include a chamber's gas distribution plate and backing plate. Components found to have a slight affect on cleaning performance include a chamber's shadow frame, wall liners, susceptor and gas conductance line. Components found to have little effect on cleaning performance include a chamber's microwave power supply, magnetron and microwave applicator. In order to affect an improvement in chamber cleaning rates, a certain percentage of the chamber components should be coated with a fluoropolymer. Although this percentage may vary, higher percentages are preferred to achieve faster cleaning rates, with 100% coating of exposed surfaces being most preferred. Note that an increase in cleaning rate (e.g., up to 15%) also can be achieved by using an RF plasma within a processing chamber in conjunction with a remote plasma source, i.e., by powering [0018] electrode 12 to form the radicalized gases entering from the remote plasma source, or secondarily introducing cleaning gases into a plasma. However, applied RF power should be limited to avoid damage to processing chamber components due to ion bombardment.
  • With reference to the [0019] processing system 11 of FIG. 1, to affect increased cleaning rate and reduced cleaning rate variations between the deposition chamber 11 and other deposition chambers (not shown), one or more downstream components of the processing system 11 are coated with a polytetrafluoroethylene (PTFE), a tetrafluoroethylene and hexafluoropropylene copolymer (FEP), or a copolymer of tetrafluoroethylene and perfluoropropylvinyl ether coating (“fluoropolymer coating 64”). As shown in FIG. 1, the interior surfaces of the deposition chamber 11, the gas distribution plate 12 the backing plate 13, the susceptor 14, the inlet 40, the gas conductance line 48, the chamber wall liner 29 and the shadow frame 31 are coated with the protective coating 64. Fewer components may be coated with the fluoropolymer coating 64 if desired.
  • With respect to the [0020] PECVD deposition chamber 11 of FIG. 1, the fluoropolymer coating 64 significantly increases the cleaning rate and significantly reduces chamber-to-chamber cleaning rate variations while neither producing process drift nor changes in the properties of PECVD films deposited within the deposition chamber 11. The fluoropolymer coating 64 is believed to cover surface adsorption sites at which the surface controlled deactivation process is believed to occur (e.g., maintaining a high and a uniform F radical concentration) and is also believed to reduce the amount of material deposited on component surfaces of the deposition chamber 11 during processing therein (e.g., reducing the amount of material that must be cleaned from component surfaces and the time required for material removal during cleaning).
  • The inventive fluoropolymer coating may be applied either in-situ or ex-situ. For in-situ application of PTFE coatings, a precursor gas such as CHF[0021] 3 may be employed to coat process chamber components using either a microwave or RF plasma. For example, within the processing system 10, a CHF3 precursor gas source 52 may feed CHF3 to the remote plasma chamber 50 wherein microwave power applied via the high power microwave generator 60 dissociates the CHF3 into CF2 and HF. The CF2 and HF travel to the deposition chamber 11, and, en route, the CF2 forms a fluoropolymer coating on the gas conductance line 48, the flow restrictor 59, the inlet 40, the backing plate 13, the gas distribution plate 12, the susceptor 14 and the interior surfaces of the deposition chamber 11. Alternatively, CHF3 (and, if desired, CF2 from the remote plasma chamber 50) may be flowed into the deposition chamber 11 while an RF plasma is generated within the deposition chamber 11 via the RF generator 32. As with the microwave plasma of the remote plasma chamber 50, the RF plasma within the deposition chamber 11 will dissociate CHF3 into CF2 which in turn will coat chamber components with a fluoropolymer coating. Thereafter, the chamber 11 may be heated (e.g., via the heater control 20 and the resistive heating element 18 or via any conventional heating mechanism capable of heating the entire chamber to the desired temperature) so as to melt/reflow the fluoropolymer coating. Preferably a heater temperature of about 500-800° F. is employed. In this manner, a uniform fluoropolymer coating, preferably about 0.5-10 μm in thickness, is formed on the chamber components.
  • For ex-situ application of protective coatings, chamber components such as the [0022] gas distribution plate 12 and the backing plate 13 preferably are uniformly coated with a thin layer (e.g., about 0.5 to 10 microns) of a PTFE, a FEP- or a PFA-contained in a solution or suspension fluid such as water, isopropyl alcohol, etc. After a few minutes of air drying or after an oven bake at 500-800° F. heater temperature, the chamber components may be reinstalled within the processing chamber. Care should be taken to prevent clogging of the small gas injection holes of the gas distribution plate due to capillary effect.
  • It should be noted that the inventive protective coating described herein differs from flouropolymers which undesirably accumulate over time on chamber surfaces as a result of flouropolymer deposition on a underlying substrate, or which are formed as a byproduct of certain CVD processes (i.e., are not continuously formed), in that such undesirably accumulated material is characteristically non-uniform, often exhibiting both areas of thick accumulation which can crumble from chamber surfaces, and areas where no material accumulates. Accordingly, such undesirable byproduct and deposited material accumulation must be cleaned from chamber surfaces. However, these undesirable fluoropolymer accumulations do not react with reactive fluorine gas species and therefore must be cleaned by other, less efficient means. [0023]
  • By coating downstream chamber components with PTFE, FEP or PFA, cleaning rate enhancements of as much as 100% have been observed, and cleaning rate variations between processing chambers have been virtually eliminated. Accordingly, process chamber throughput increases significantly with use of the present invention, and the amount of precursor gas required for cleaning is reduced. Because of the high costs associated with precursor gases such as NF[0024] 3, both monetarily (e.g. NF3 presently costs $100/lb) and environmentally (e.g., NF3 is a “global warming” gas,) reduction in precursor gas consumption is extremely beneficial. Moreover, flouropolymers are non-brittle, inexpensive and easy to apply, unlike coatings (e.g., AlF3) which conventionally have been applied to prevent corrosion of chamber surfaces or to prevent accumulated material from crumbling therefrom.
  • The foregoing description discloses only the preferred embodiments of the invention, modifications of the above disclosed apparatus and method which fall within the scope of the invention will be readily apparent to those of ordinary skill in the art. For instance, while the present invention has been described with reference to a PECVD chamber, it will be understood that the invention has applicability to a wide variety of process chambers including thermal deposition chambers. Additionally, cleaning processes employing reactive species (e.g., reactive species generated by an RF plasma within a process chamber, or remote plasma source generated reactive species etc.) may be improved by employing the fluoropolymer coatings described herein. Finally, although any fluoropolymer is believed to enhance cleaning when applied as described herein, the fluoropolymers PTFE, FEP and PFA have been found to significantly enhance cleaning and are preferred. [0025]
  • Accordingly, while the present invention has been disclosed in connection with the preferred embodiments thereof, it should be understood that other embodiments may fall within the spirit and scope of the invention, as defined by the following claims. [0026]

Claims (12)

The invention claimed is:
1. A method of cleaning a processing chamber using a reactive species, the method comprising:
flowing an amount of fluoropolymer precursor gas into the processing chamber;
generating a plasma within the processing chamber so as to form fluoropolymer on chamber components;
heating the processing chamber so as to melt the fluoropolymer and form a fluoropolymer coating on the chamber components, wherein the amount of fluoropolymer precursor gas is controlled so as to form a uniform fluoropolymer coating of approximately 0.5-10 μm on the chamber components;
thereafter processing one or more substrates within the processing chamber; and
thereafter flowing reactive species into the processing chamber and thereby cleaning accumulated material from the chamber components.
2. The method of claim 1 wherein the fluoropolymer coating is continuously formed.
3. The method of claim 1 wherein the fluoropolymer precursor gas is CHF3.
4. The method of claim 1 wherein the fluoropolymer coating comprises PTFE.
5. The method of claim 1 wherein the fluoropolymer coating comprises FEP.
6. The method of claim 1 wherein the fluoropolymer coating comprises PFA.
7. A method of comprising:
flowing an amount of fluoropolymer precursor gas into the processing chamber;
generating a plasma within the processing chamber so as to form fluoropolymer on chamber components; and
heating the processing chamber so as to melt the fluoropolymer and form a fluoropolymer coating on the chamber components, wherein the amount of fluoropolymer precursor gas is controlled so as to form a uniform fluoropolymer coating of approximately 0.5-10 μm on the chamber components.
8. The method of claim 7 wherein the fluoropolymer coating is continuously formed.
9. The method of claim 7 wherein the fluoropolymer precursor gas is CHF3.
10. The method of claim 7 wherein the fluoropolymer coating comprises PTFE.
11. The method of claim 7 wherein the fluoropolymer coating comprises FEP.
12. The method of claim 7 wherein the fluoropolymer coating comprises PFA.
US10/303,374 1999-05-29 2002-11-25 Method and apparatus for enhanced chamber cleaning Abandoned US20030066541A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/303,374 US20030066541A1 (en) 1999-05-29 2002-11-25 Method and apparatus for enhanced chamber cleaning

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/322,893 US20020033183A1 (en) 1999-05-29 1999-05-29 Method and apparatus for enhanced chamber cleaning
US10/303,374 US20030066541A1 (en) 1999-05-29 2002-11-25 Method and apparatus for enhanced chamber cleaning

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/322,893 Division US20020033183A1 (en) 1999-05-29 1999-05-29 Method and apparatus for enhanced chamber cleaning

Publications (1)

Publication Number Publication Date
US20030066541A1 true US20030066541A1 (en) 2003-04-10

Family

ID=23256901

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/322,893 Abandoned US20020033183A1 (en) 1999-05-29 1999-05-29 Method and apparatus for enhanced chamber cleaning
US10/303,374 Abandoned US20030066541A1 (en) 1999-05-29 2002-11-25 Method and apparatus for enhanced chamber cleaning

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/322,893 Abandoned US20020033183A1 (en) 1999-05-29 1999-05-29 Method and apparatus for enhanced chamber cleaning

Country Status (6)

Country Link
US (2) US20020033183A1 (en)
JP (1) JP2001096244A (en)
KR (1) KR100597880B1 (en)
FR (1) FR2794036B1 (en)
SG (1) SG83209A1 (en)
TW (1) TW524877B (en)

Cited By (275)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
CN109585249A (en) * 2017-09-28 2019-04-05 Asm Ip控股有限公司 Chemicals distributing equipment and for reaction chamber distribution chemicals method
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11124878B2 (en) 2017-07-31 2021-09-21 Applied Materials, Inc. Gas supply member with baffle
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100767762B1 (en) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 A CVD semiconductor-processing device provided with a remote plasma source for self cleaning
US6602346B1 (en) * 2000-08-22 2003-08-05 Novellus Systems, Inc. Gas-purged vacuum valve
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US7159597B2 (en) * 2001-06-01 2007-01-09 Applied Materials, Inc. Multistep remote plasma clean process
JP2003086571A (en) * 2001-09-14 2003-03-20 Shinetsu Quartz Prod Co Ltd Member for plasma etching apparatus
US7588036B2 (en) * 2002-07-01 2009-09-15 Applied Materials, Inc. Chamber clean method using remote and in situ plasma cleaning systems
JP4680066B2 (en) * 2004-01-28 2011-05-11 東京エレクトロン株式会社 Substrate processing apparatus cleaning chamber cleaning method, substrate processing apparatus, and substrate processing method
US7967913B2 (en) * 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
TWI394986B (en) * 2009-11-09 2013-05-01 Global Material Science Co Ltd Diffuser structure and manufacturing method thereof
EP2383771B1 (en) * 2010-04-29 2020-04-22 EV Group GmbH Method and device for loosening a polymer coating from a surface of a substrate
US20120103258A1 (en) * 2010-11-02 2012-05-03 Shenzhen China Star Optoelectronics Technology Co., Ltd. Chemical Vapor Deposition Apparatus and Cooling Block Thereof
US9170051B2 (en) * 2012-04-02 2015-10-27 Illinois Tool Works Inc. Reflow oven and methods of treating surfaces of the reflow oven
US8940099B2 (en) 2012-04-02 2015-01-27 Illinois Tool Works Inc. Reflow oven and methods of treating surfaces of the reflow oven
TWI637802B (en) * 2013-09-30 2018-10-11 美商伊利諾工具工程公司 Reflow oven and methods of treating surfaces of the reflow oven
WO2015116244A1 (en) * 2014-01-30 2015-08-06 Applied Materials, Inc. Corner spoiler for improving profile uniformity
US20180237906A1 (en) * 2015-08-22 2018-08-23 Novena Tec Inc. Process chamber shielding system and method
JP6920244B2 (en) * 2018-04-23 2021-08-18 東京エレクトロン株式会社 Plasma processing method
US20200109484A1 (en) * 2018-10-03 2020-04-09 Asm Ip Holding B.V. Susceptor and susceptor coating method
KR20210006229A (en) * 2019-07-08 2021-01-18 주성엔지니어링(주) The chamber cleaning method of the substrate processing apparatus
US20210381107A1 (en) * 2020-06-03 2021-12-09 Micron Technology, Inc. Material deposition systems, and related methods and microelectronic devices

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5244730A (en) * 1991-04-30 1993-09-14 International Business Machines Corporation Plasma deposition of fluorocarbon
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US5622565A (en) * 1993-10-15 1997-04-22 Applied Materials, Inc. Reduction of contaminant buildup in semiconductor apparatus
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US5788778A (en) * 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US6039808A (en) * 1997-03-18 2000-03-21 Mitsubishi Denki Kabushiki Kaisha CVD apparatus for Cu formation
US6071573A (en) * 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
US6592707B2 (en) * 1999-04-13 2003-07-15 Applied Materials Inc. Corrosion-resistant protective coating for an apparatus and method for processing a substrate

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1984003798A1 (en) * 1983-03-18 1984-09-27 Matsushita Electric Ind Co Ltd Reactive ion etching apparatus
JP2708533B2 (en) * 1989-03-14 1998-02-04 富士通株式会社 Method for removing residual gas from CVD apparatus
EP0648858A1 (en) * 1993-10-15 1995-04-19 Applied Materials, Inc. Methods of coating plasma etch chambers and apparatus for plasma etching workpieces
US5647953A (en) * 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US5824375A (en) * 1996-10-24 1998-10-20 Applied Materials, Inc. Decontamination of a plasma reactor using a plasma after a chamber clean
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5244730A (en) * 1991-04-30 1993-09-14 International Business Machines Corporation Plasma deposition of fluorocarbon
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US5622565A (en) * 1993-10-15 1997-04-22 Applied Materials, Inc. Reduction of contaminant buildup in semiconductor apparatus
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US5788778A (en) * 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US6039808A (en) * 1997-03-18 2000-03-21 Mitsubishi Denki Kabushiki Kaisha CVD apparatus for Cu formation
US6071573A (en) * 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
US6592707B2 (en) * 1999-04-13 2003-07-15 Applied Materials Inc. Corrosion-resistant protective coating for an apparatus and method for processing a substrate

Cited By (344)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11124878B2 (en) 2017-07-31 2021-09-21 Applied Materials, Inc. Gas supply member with baffle
US11885021B2 (en) 2017-07-31 2024-01-30 Applied Materials, Inc. Gas supply member with baffle
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) * 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN109585249A (en) * 2017-09-28 2019-04-05 Asm Ip控股有限公司 Chemicals distributing equipment and for reaction chamber distribution chemicals method
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Also Published As

Publication number Publication date
SG83209A1 (en) 2001-09-18
JP2001096244A (en) 2001-04-10
FR2794036B1 (en) 2005-02-04
FR2794036A1 (en) 2000-12-01
KR20010020920A (en) 2001-03-15
US20020033183A1 (en) 2002-03-21
TW524877B (en) 2003-03-21
KR100597880B1 (en) 2006-07-13

Similar Documents

Publication Publication Date Title
US20030066541A1 (en) Method and apparatus for enhanced chamber cleaning
US6863077B2 (en) Method and apparatus for enhanced chamber cleaning
KR102158307B1 (en) Plasma treatment process to improve in-situ chamber cleaning efficiency in plasma processing chamber
US6182603B1 (en) Surface-treated shower head for use in a substrate processing chamber
US5788778A (en) Deposition chamber cleaning technique using a high power remote excitation source
US6631692B1 (en) Plasma CVD film-forming device
US8394231B2 (en) Plasma process device and plasma process method
US20030183244A1 (en) Method of cleaning a semiconductor processing chamber
US8545671B2 (en) Plasma processing method and plasma processing apparatus
JP2006128485A (en) Semiconductor processing apparatus
WO2006071556A2 (en) Methods for removing black silicon and black silicon carbide from surfaces of silicon and silicon carbide electrodes for plasma processing apparatuses
KR20010085502A (en) A Thin-film Forming Apparatus having an Automatic Cleaning Function for Cleaning the Inside
EP0732732A2 (en) Method of removing native silicon oxide by sputtering
US6277235B1 (en) In situ plasma clean gas injection
JP3150957B2 (en) Self-cleaning vacuum processing reactor
CN110835748B (en) Method and apparatus for depositing silicon nitride
JP2891991B1 (en) Plasma CVD equipment
JPH08115895A (en) Cleaning method for substrate

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION