JP2008202107A - Substrate-treating apparatus - Google Patents

Substrate-treating apparatus Download PDF

Info

Publication number
JP2008202107A
JP2008202107A JP2007040365A JP2007040365A JP2008202107A JP 2008202107 A JP2008202107 A JP 2008202107A JP 2007040365 A JP2007040365 A JP 2007040365A JP 2007040365 A JP2007040365 A JP 2007040365A JP 2008202107 A JP2008202107 A JP 2008202107A
Authority
JP
Japan
Prior art keywords
processing chamber
plasma
gas
processing
gas supply
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007040365A
Other languages
Japanese (ja)
Inventor
Hiroo Hiramatsu
宏朗 平松
Akinori Tanaka
昭典 田中
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2007040365A priority Critical patent/JP2008202107A/en
Publication of JP2008202107A publication Critical patent/JP2008202107A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To stably generate plasma in a treatment chamber by making plasma decrease a sputter etching action. <P>SOLUTION: This substrate-treating apparatus comprises: a treatment chamber for treating a substrate; a gas supply means for supplying a treatment gas into the treatment chamber; at least a pair of electrodes, which is installed in the treatment chamber and generates the plasma that excites the treatment gas supplied into the treatment chamber, by an applied electric power; a venting means which exhausts ambient atmosphere in the treatment chamber; a plasma-detecting means for detecting the ignition of the plasma in the treatment chamber; a control means for controlling the gas supply means and the venting means. The control means controls the gas supply means and the venting means so that the pressure in the treatment chamber in a period from the time when the application of an electric power to the electrode is started till the time when the ignition of plasma is detected by the plasma-detecting means can be higher than the pressure in the treatment chamber in a period from the time when the ignition of plasma is detected by the plasma-detecting means till the time when the application of the electric power to the electrode is ended. <P>COPYRIGHT: (C)2008,JPO&INPIT

Description

本発明は、プラズマを用いて基板の表面を処理する基板処理装置に関する。   The present invention relates to a substrate processing apparatus that processes the surface of a substrate using plasma.

従来、半導体装置の製造工程の一工程として、基板の表面に複数種の原料ガスを交互に供給しながら反応させることにより、基板の表面に薄膜を形成する方法が注目されている。このような方法は、一般的にALD(Atomic Layer Deposition)法と呼ばれている。ALD法は、例えば、基板の表面に原料ガスを供給して吸着させる工程と、基板の表面にプラズマにより励起させた反応ガスを供給して反応させる工程と、を1サイクルとし、このサイクルを複数回繰り返すことにより、基板上に所望膜厚の薄膜を形成する。   2. Description of the Related Art Conventionally, a method of forming a thin film on a surface of a substrate has been attracting attention as a process of manufacturing a semiconductor device by reacting while supplying a plurality of types of source gases alternately to the surface of the substrate. Such a method is generally called an ALD (Atomic Layer Deposition) method. In the ALD method, for example, a process of supplying a source gas to the surface of a substrate and adsorbing it and a process of supplying a reaction gas excited by plasma to the surface of the substrate and reacting them are set as one cycle. By repeating the process a thin film having a desired film thickness is formed on the substrate.

そして、上述のALD法を実施する基板処理装置は、例えば、基板を処理する処理室と、処理室内に原料ガスや反応ガス等の処理ガスを供給するガス供給手段と、電力が印加されることにより処理室内に供給された反応ガスを励起するプラズマを生成する電極と、処理室内の雰囲気を排気する排気手段と、を有していた。
特開2004−186534
The substrate processing apparatus that performs the above-described ALD method includes, for example, a processing chamber that processes the substrate, a gas supply unit that supplies a processing gas such as a source gas or a reactive gas, and power. And an electrode for generating plasma for exciting the reaction gas supplied into the processing chamber, and an exhaust means for exhausting the atmosphere in the processing chamber.
JP 2004-186534 A

上記の基板処理装置によりALD法を実施する場合には、プラズマにより励起された反応ガスの処理室内における迅速な拡散を促すため、処理室内の圧力を低圧に保持することが好ましい。   When the ALD method is performed by the above substrate processing apparatus, it is preferable to maintain the pressure in the processing chamber at a low pressure in order to promote rapid diffusion of the reaction gas excited by the plasma in the processing chamber.

ここで、内部が低圧に保持された処理室内にプラズマを生成させる一つの方法として、例えば、電極に印加する高周波電圧を大きくするという方法がある。しかしながら、電極に印加する高周波電圧を大きくすると、処理室内の構成部材や処理室内に載置された基板に対するプラズマによるスパッタエッチング量が増加してしまうという問題がある。   Here, as one method for generating plasma in a processing chamber whose interior is maintained at a low pressure, for example, there is a method of increasing a high-frequency voltage applied to an electrode. However, when the high-frequency voltage applied to the electrode is increased, there is a problem in that the amount of sputter etching by plasma with respect to the components in the processing chamber and the substrate placed in the processing chamber increases.

なお、ALD法において、導体膜を成膜する際には、サイクル数が増えるにつれて処理室内に導体膜が形成される。このような場合に電極へ印加する高周波電圧を大きくすると、導体膜の影響により処理室内のプラズマ放電が不安定になり、基板上に形成される薄膜が不均一になるという問題がある。   In the ALD method, when a conductor film is formed, the conductor film is formed in the processing chamber as the number of cycles increases. In such a case, if the high frequency voltage applied to the electrode is increased, there is a problem that the plasma discharge in the processing chamber becomes unstable due to the influence of the conductor film, and the thin film formed on the substrate becomes non-uniform.

また、電極に印加する高周波電圧を大きくすることなく、低圧に保持された処理室内にプラズマを生成する方法として、電極間の間隔を広げるという方法もある。しかしながら、この場合、基板処理装置のサイズが極端に大きくなってしまう。   In addition, as a method of generating plasma in a processing chamber held at a low pressure without increasing the high-frequency voltage applied to the electrodes, there is a method of widening the interval between the electrodes. However, in this case, the size of the substrate processing apparatus becomes extremely large.

そこで本発明は、プラズマによるスパッタエッチングを低減させ、処理室内にプラズマを安定して生成させることが可能な基板処理装置を提供することを目的とする。   Accordingly, an object of the present invention is to provide a substrate processing apparatus capable of reducing sputter etching by plasma and generating plasma stably in a processing chamber.

本発明の一態様によれば、
基板を処理する処理室と、前記処理室内に処理ガスを供給するガス供給手段と、前記処理室内に設けられ、電力が印加されることにより前記処理室内に供給された処理ガスを励起するプラズマを生成する少なくとも一対の電極と、前記処理室内の雰囲気を排気する排気手段と、前記処理室内におけるプラズマの着火を検出するプラズマ検出手段と、前記ガ
ス供給手段と前記排気手段とを制御する制御手段と、を備え、前記制御手段は、前記電極への電力の印加が開始されてから前記プラズマ検出手段がプラズマの着火を検出する迄の間の前記処理室内の圧力が、前記プラズマ検出手段がプラズマの着火を検出してから前記電極への電力の印加が停止される迄の間の前記処理室内の圧力よりも高くなるように、前記ガス供給手段および前記排気手段を制御する基板処理装置が提供される。
According to one aspect of the invention,
A processing chamber for processing a substrate; a gas supply means for supplying a processing gas into the processing chamber; and plasma provided in the processing chamber to excite the processing gas supplied into the processing chamber when electric power is applied. At least a pair of electrodes to be generated; exhaust means for exhausting the atmosphere in the process chamber; plasma detection means for detecting ignition of plasma in the process chamber; and control means for controlling the gas supply means and the exhaust means The control means includes a pressure in the processing chamber from when the application of electric power to the electrode is started until the plasma detection means detects the ignition of the plasma, and the plasma detection means The gas supply means and the exhaust gas so as to be higher than the pressure in the processing chamber from when the ignition is detected until the application of power to the electrode is stopped. A substrate processing apparatus for controlling the stage are provided.

本発明によれば、プラズマによるスパッタエッチングを低減させ、処理室内にプラズマを安定して生成させることが可能な基板処理装置を提供することが出来る。   According to the present invention, it is possible to provide a substrate processing apparatus capable of reducing sputter etching by plasma and generating plasma stably in a processing chamber.

1.本発明の一実施形態
以下に、本発明の一実施形態にかかる基板処理装置の構成、該基板処理装置の動作、本発明の一実施形態にかかる処理炉の構成、及び該処理炉により実施される基板処理工程にについて、図面を参照しながら順に説明する。
1. Embodiments of the Present Invention Hereinafter, a configuration of a substrate processing apparatus according to an embodiment of the present invention, an operation of the substrate processing apparatus, a configuration of a processing furnace according to an embodiment of the present invention, and the processing furnace will be described. The substrate processing process will be described in order with reference to the drawings.

(1)基板処理装置の構成
まず、本発明の一実施形態にかかる基板処理装置の構成について、図面を参照しながら説明する。参照する図面において、図1は、本発明の一実施形態にかかる基板処理装置の概略構成図である。
(1) Configuration of Substrate Processing Apparatus First, the configuration of a substrate processing apparatus according to an embodiment of the present invention will be described with reference to the drawings. In the drawings to be referred to, FIG. 1 is a schematic configuration diagram of a substrate processing apparatus according to an embodiment of the present invention.

図1に示すように、発明の一実施形態にかかる基板処理装置は、筐体101を有している。筐体101の内部の前面側(図1の右側)には、カセットステージ105が設けられている。カセットステージ105は、図示しない外部搬送装置との間で、基板収納容器としてのカセット100の授受を行うように構成されている。また、カセットステージ105の後側には、カセット100を昇降移動させる昇降手段としてのカセットエレベータ115が設けられている。カセットエレベータ115には、カセット100を水平移動させる搬送手段としてのカセット移載機114が設けられている。さらに、カセットエレベータ115の後側には、カセット100の載置手段としてのカセット棚109が設けられている。カセット棚109には、移載棚123が設けられている。移載棚123には、処理対象の基板や処理後の基板を収容したカセット100が一時的に載置される。また、カセットステージ105の上方には、カセットの載置手段としての予備カセット棚110が設けられている。そして、予備カセット棚110の上方には、クリーンエアを筐体101の内部に流通させるクリーンユニット118が設けられている。   As shown in FIG. 1, a substrate processing apparatus according to an embodiment of the invention has a housing 101. A cassette stage 105 is provided on the front side inside the housing 101 (on the right side in FIG. 1). The cassette stage 105 is configured to exchange the cassette 100 as a substrate storage container with an external transfer device (not shown). A cassette elevator 115 is provided on the rear side of the cassette stage 105 as an elevating means for moving the cassette 100 up and down. The cassette elevator 115 is provided with a cassette transfer machine 114 as a conveying means for moving the cassette 100 horizontally. Further, on the rear side of the cassette elevator 115, a cassette shelf 109 is provided as a mounting means for the cassette 100. The cassette shelf 109 is provided with a transfer shelf 123. On the transfer shelf 123, a cassette 100 that accommodates a substrate to be processed and a substrate after processing is temporarily placed. Above the cassette stage 105, a spare cassette shelf 110 is provided as a cassette mounting means. A clean unit 118 that distributes clean air to the inside of the housing 101 is provided above the spare cassette shelf 110.

筐体101の後部(図1の左側)の上方には、下端部が開放した円筒形状の処理炉10が垂直に設けられている。処理炉10の詳細な構成については後述する。   Above the rear portion of the housing 101 (left side in FIG. 1), a cylindrical processing furnace 10 having a lower end opened is provided vertically. The detailed configuration of the processing furnace 10 will be described later.

処理炉10の下方には、昇降手段としてのボートエレベータ121が設けられている。そして、ボートエレベータ121の下端部には、昇降部材122が設けられている。昇降部材122上には、蓋体としてのシールキャップ17を介して、基板保持手段としてのボート2が、垂直に取り付けられている。ボート2は、基板としてのウェハ1を、水平姿勢で多段に保持するように構成されている。ボートエレベータ121が上昇すると、処理炉10の内部にボート2が搬入されると共に、処理炉10の下端部がシールキャップ17により気密に封止されるように構成されている。また、処理炉10の下端部の横には、閉塞手段としての炉口シャッタ116が設けられている。炉口シャッタ116は、ボートエレベータ121が下降中に、処理炉10の下端部を気密に閉塞するように構成されている。   Below the processing furnace 10, a boat elevator 121 as an elevating means is provided. A lifting member 122 is provided at the lower end of the boat elevator 121. On the elevating member 122, a boat 2 as a substrate holding means is vertically attached via a seal cap 17 as a lid. The boat 2 is configured to hold the wafers 1 as substrates in multiple stages in a horizontal posture. When the boat elevator 121 rises, the boat 2 is carried into the processing furnace 10 and the lower end portion of the processing furnace 10 is hermetically sealed by the seal cap 17. Further, a furnace port shutter 116 as a closing means is provided beside the lower end portion of the processing furnace 10. The furnace port shutter 116 is configured to airtightly close the lower end portion of the processing furnace 10 while the boat elevator 121 is descending.

処理炉10とカセット棚109との間には、基板を昇降移動させる昇降手段としての移載エレベータ113が設けられている。移載エレベータ113には、基板を水平移動させ
る搬送手段としてのウェハ移載機112が取り付けられている。
Between the processing furnace 10 and the cassette shelf 109, a transfer elevator 113 is provided as an elevating means for moving the substrate up and down. A wafer transfer machine 112 is attached to the transfer elevator 113 as a transfer means for moving the substrate horizontally.

(2)基板処理装置の動作
続いて、本発明の一実施形態にかかる基板処理装置の動作について、図1を参照しながら説明する。
(2) Operation of Substrate Processing Apparatus Next, the operation of the substrate processing apparatus according to one embodiment of the present invention will be described with reference to FIG.

まず、ウェハ1が装填されたカセット100が、図示しない外部搬送装置により搬送されて、カセットステージ105上に載置される。この際、ウェハ1が縦向きの姿勢になるように載置される。その後、カセットステージ105が90°回転することにより、ウェハ1の表面は、基板処理装置の上方(すなわち図1の上方)を向き、ウェハ1は水平姿勢となる。   First, the cassette 100 loaded with the wafer 1 is transferred by an external transfer device (not shown) and placed on the cassette stage 105. At this time, the wafer 1 is placed in a vertically oriented posture. Thereafter, when the cassette stage 105 is rotated by 90 °, the surface of the wafer 1 faces upward (that is, upward in FIG. 1) of the substrate processing apparatus, and the wafer 1 is in a horizontal posture.

その後、カセットエレベータ115の昇降動作および横行動作と、カセット移載機114の進退動作および回転動作との協調動作により、カセット100が、カセットステージ105からカセット棚109上または予備カセット棚110上へと搬送される。その後、ウェハ1の移載に供されるカセット100が、カセットエレベータ115およびカセット移載機114の協調動作により、移載棚123上へと移載される。   Thereafter, the cassette 100 is moved from the cassette stage 105 onto the cassette shelf 109 or the spare cassette shelf 110 by a coordinated operation of the raising / lowering operation and traversing operation of the cassette elevator 115 and the advance / retreat operation and rotation operation of the cassette transfer machine 114. Be transported. Thereafter, the cassette 100 used for transferring the wafer 1 is transferred onto the transfer shelf 123 by the cooperative operation of the cassette elevator 115 and the cassette transfer device 114.

その後、ウェハ移載機112の進退動作および回転動作と、移載エレベータ113の昇降動作との協調動作により、カセット100に装填されていたウェハ1が、下降状態のボート2内に移載される。   Thereafter, the wafer 1 loaded in the cassette 100 is transferred into the lowered boat 2 by the cooperative operation of the advance / retreat operation and rotation operation of the wafer transfer device 112 and the raising / lowering operation of the transfer elevator 113. .

その後、ボートエレベータ121が上昇することにより、処理炉10の内部にボート2が搬入されると共に、シールキャップ17により処理炉10の内部が気密に封止される。そして、気密に閉塞された処理炉10内で、ウェハ1が加熱され、処理炉10内に処理ガスが供給されることにより、ウェハ1の表面に所定の処理がなされる。かかる処理の詳細については後述する。   Thereafter, when the boat elevator 121 is raised, the boat 2 is carried into the processing furnace 10 and the inside of the processing furnace 10 is hermetically sealed by the seal cap 17. Then, the wafer 1 is heated in the processing furnace 10 that is hermetically closed, and a processing gas is supplied into the processing furnace 10, whereby a predetermined process is performed on the surface of the wafer 1. Details of such processing will be described later.

ウェハ1への処理が完了すると、上述の手順とは逆の手順により、処理後のウェハ1が、ボート2内から移載棚123上のカセット100内へと移載される。そして、処理後のウェハ1を格納したカセット100が、カセット移載機114により、移載棚123からカセットステージ105へと移載され、図示しない外部搬送装置により筐体101の外部へと搬送される。なお、ボートエレベータ121が下降した後は、炉口シャッタ116が処理炉10の下端部が気密に閉塞して、処理炉10内へ外気が侵入することを防止している。   When the processing on the wafer 1 is completed, the processed wafer 1 is transferred from the boat 2 into the cassette 100 on the transfer shelf 123 by a procedure reverse to the above-described procedure. Then, the cassette 100 storing the processed wafer 1 is transferred from the transfer shelf 123 to the cassette stage 105 by the cassette transfer device 114 and transferred to the outside of the casing 101 by an external transfer device (not shown). The Note that after the boat elevator 121 is lowered, the furnace port shutter 116 airtightly closes the lower end portion of the processing furnace 10 to prevent outside air from entering the processing furnace 10.

(3)処理炉の構成
続いて、本発明の一実施形態にかかる処理炉の構成について、図2から図5を参照しながら説明する。参照する図面において、図2は、本発明の一実施形態にかかる処理炉の平断面図であり、図3は、本発明の一実施形態にかかる処理炉のA−A’線に沿う縦断面図であり、図4は、本発明の一実施形態にかかる処理炉のB−B’線に沿う縦断面図である。また、図5は、本発明の一実施形態にかかる処理炉の備えるガス供給ライン、及び排気ラインの概略構成図である。
(3) Configuration of Processing Furnace Next, the configuration of the processing furnace according to an embodiment of the present invention will be described with reference to FIGS. In the drawings to be referred to, FIG. 2 is a plan sectional view of a processing furnace according to an embodiment of the present invention, and FIG. 3 is a longitudinal section along the line AA ′ of the processing furnace according to an embodiment of the present invention. FIG. 4 is a longitudinal sectional view taken along line BB ′ of the processing furnace according to the embodiment of the present invention. FIG. 5 is a schematic configuration diagram of a gas supply line and an exhaust line included in the processing furnace according to the embodiment of the present invention.

本発明の一実施形態にかかる処理炉10は、ウェハ1を処理する処理室12と、処理室12内に処理ガスを供給するガス供給手段としてのガス供給ライン20と、処理室12内に設けられ、電力が印加されることにより処理室12内に供給された処理ガスを励起するプラズマを生成する少なくとも一対の電極27と、処理室12内の雰囲気を排気する排気手段としての排気ライン16と、処理室12内におけるプラズマの着火を検出するプラズマ検出手段としてのプラズマ着火センサ50と、ガス供給ライン20と排気ライン16と
を制御する制御手段としてのコントローラ10cと、を備えている。
A processing furnace 10 according to an embodiment of the present invention is provided in a processing chamber 12 for processing a wafer 1, a gas supply line 20 as a gas supply means for supplying a processing gas into the processing chamber 12, and the processing chamber 12. And at least a pair of electrodes 27 for generating plasma that excites the processing gas supplied into the processing chamber 12 by applying electric power, and an exhaust line 16 as an exhausting means for exhausting the atmosphere in the processing chamber 12. A plasma ignition sensor 50 as plasma detecting means for detecting plasma ignition in the processing chamber 12 and a controller 10c as control means for controlling the gas supply line 20 and the exhaust line 16 are provided.

<処理室>
図3に示すとおり、本発明の一実施形態にかかる処理炉10は、プロセスチューブ11と、マニホールド15と、を有している。プロセスチューブ11は、例えば石英から構成され、上端部が閉塞され下端部が開放された円筒形状となっている。また、マニホールド15は、例えばSUS等の金属材料から構成され、上端部及び下端部が開放された円筒形状となっている。プロセスチューブ11は、マニホールド15により下端部側から支持されており、実質的に鉛直方向に縦向きに設けられている。また、プロセスチューブ11とマニホールド15とは、同心円状に配置されている。マニホールド15の下端部は、ボートエレベータ121が上昇した際に、上述したシールキャップ17により気密に封止されるように構成されている。マニホールド15の下端部とシールキャップ17との間には、処理室12内を気密に封止するOリングなどの封止部材18が設けられている。
<Processing chamber>
As shown in FIG. 3, the processing furnace 10 according to an embodiment of the present invention includes a process tube 11 and a manifold 15. The process tube 11 is made of, for example, quartz and has a cylindrical shape in which the upper end is closed and the lower end is opened. Moreover, the manifold 15 is comprised, for example from metal materials, such as SUS, and becomes the cylindrical shape by which the upper end part and the lower end part were open | released. The process tube 11 is supported from the lower end side by the manifold 15 and is provided vertically in a substantially vertical direction. The process tube 11 and the manifold 15 are arranged concentrically. The lower end portion of the manifold 15 is configured to be hermetically sealed by the above-described seal cap 17 when the boat elevator 121 is raised. A sealing member 18 such as an O-ring that hermetically seals the inside of the processing chamber 12 is provided between the lower end portion of the manifold 15 and the seal cap 17.

プロセスチューブ11及びマニホールド15の内部には、基板としてのウェハ1を処理する処理室12が形成されている。そして上述したように、処理室12内には、基板保持具としてのボート2が下方から挿入されるように構成されている。従って、プロセスチューブ11及びマニホールド15の内径は、ウェハ1を装填したボート2の最大外形よりも大きくなるように構成されている。   Inside the process tube 11 and the manifold 15, a processing chamber 12 for processing the wafer 1 as a substrate is formed. As described above, the boat 2 as a substrate holder is inserted into the processing chamber 12 from below. Therefore, the inner diameters of the process tube 11 and the manifold 15 are configured to be larger than the maximum outer shape of the boat 2 loaded with the wafers 1.

ボート2は、複数枚のウェハ1を、略水平状態で所定の隙間(基板ピッチ間隔)をもって多段に保持するように構成されている。ボート2は、ボート2からの熱伝導を遮断する断熱キャップ7上に搭載されている。断熱キャップ7は、回転軸19により下方から支持されている。回転軸19は、処理室12内の気密を保持しつつ、シールキャップ17の中心部を貫通するように設けられている。シールキャップ17の下方には、回転軸19を回転させる図示しない回転機構が設けられる。従って、回転機構を作動(回転)させることにより、処理室12内の気密を保持したまま、複数のウェハ1を搭載したボート2を回転させることが出来るように構成されている。   The boat 2 is configured to hold a plurality of wafers 1 in multiple stages with a predetermined gap (substrate pitch interval) in a substantially horizontal state. The boat 2 is mounted on a heat insulating cap 7 that blocks heat conduction from the boat 2. The heat insulating cap 7 is supported from below by the rotating shaft 19. The rotation shaft 19 is provided so as to penetrate the center portion of the seal cap 17 while maintaining airtightness in the processing chamber 12. A rotation mechanism (not shown) that rotates the rotation shaft 19 is provided below the seal cap 17. Accordingly, by operating (rotating) the rotation mechanism, the boat 2 on which the plurality of wafers 1 are mounted can be rotated while maintaining the airtightness in the processing chamber 12.

<ガス供給ライン>
図5に示すとおり、処理炉10は、処理室12内に処理ガスを供給するガス供給手段としてのガス供給ライン20を有している。
<Gas supply line>
As shown in FIG. 5, the processing furnace 10 has a gas supply line 20 as a gas supply means for supplying a processing gas into the processing chamber 12.

ガス供給ライン20の上流側には、第1の処理ガスとしての原料ガスを供給する原料ガス供給ライン201と、第2の原料ガスとしての反応ガスを供給する反応ガス供給ライン202と、不活性ガスを供給する不活性ガス供給ライン203とが、合流するように接続されている。   On the upstream side of the gas supply line 20, a source gas supply line 201 that supplies a source gas as a first process gas, a reaction gas supply line 202 that supplies a reaction gas as a second source gas, and an inert gas An inert gas supply line 203 that supplies gas is connected so as to merge.

原料ガス供給ライン201には、液体である液体金属原料を供給する液体原料供給源201aと、液体原料の流量を制御するマスフローコントローラ201cと、液体原料を気化して原料ガスを発生させる気化器201eとが、順に直列に設けられている。そして、液体原料供給源201aとマスフローコントローラ201cとの間には遮断弁201bが、マスフローコントローラ201cと気化器201eとの間には遮断弁201dが、気化器201eとガス供給ライン20との間には遮断弁201fが、それぞれ設けられている。また、気化器201eと遮断弁201fとの間には、気化器201eにて発生させた原料ガスを、処理室12内へ供給することなく処理室12外へ排出するための原料ガスベント(バイパス)ライン201hが設けられている。原料ガスベント(バイパス)ライン201hには、遮断弁201gが設けられている。なお、液体金属原料としては、例えば四塩化チタニウム(TiCl)を用いることが出来る。 The source gas supply line 201 includes a liquid source source 201a that supplies a liquid metal source that is a liquid, a mass flow controller 201c that controls the flow rate of the liquid source, and a vaporizer 201e that vaporizes the liquid source to generate a source gas. Are provided in series. A shutoff valve 201b is provided between the liquid source supply source 201a and the massflow controller 201c, a shutoff valve 201d is provided between the massflow controller 201c and the vaporizer 201e, and a vaporizer 201e and the gas supply line 20 are provided. Each is provided with a shut-off valve 201f. In addition, between the vaporizer 201e and the shutoff valve 201f, a raw material gas vent (bypass) for discharging the raw material gas generated in the vaporizer 201e to the outside of the processing chamber 12 without supplying it into the processing chamber 12. A line 201h is provided. The source gas vent (bypass) line 201h is provided with a shutoff valve 201g. As the liquid metal source, for example, it may be used titanium tetrachloride (TiCl 4).

反応ガス供給ライン202には、反応ガスを供給する反応ガス供給源202aと、反応ガスの流量を制御するマスフローコントローラ202cとが、順に直列に設けられている。そして、反応ガス供給源202aとマスフローコントローラ202cとの間には遮断弁202bが、マスフローコントローラ202cとガス供給ライン20との間には遮断弁202dが、それぞれ設けられている。なお、反応ガスとしては、例えば水素(H)ガスを用いることが出来る。 In the reaction gas supply line 202, a reaction gas supply source 202a for supplying a reaction gas and a mass flow controller 202c for controlling the flow rate of the reaction gas are sequentially provided in series. A shutoff valve 202b is provided between the reaction gas supply source 202a and the mass flow controller 202c, and a shutoff valve 202d is provided between the mass flow controller 202c and the gas supply line 20. As the reactive gas, for example, hydrogen (H 2 ) gas can be used.

不活性ガス供給ライン203には、不活性ガスを供給する不活性ガス供給源203aと、不活性ガスの流量を制御するマスフローコントローラ203cとが、順に直列に設けられている。そして、不活性ガス供給源203aとマスフローコントローラ203cとの間には遮断弁203bが、マスフローコントローラ203cとガス供給ライン20との間には遮断弁203dが、それぞれ設けられている。なお、不活性ガスとしては、例えばアルゴン(Ar)、ヘリウム(He)、窒素(N)等の不活性ガスを用いることが出来る。 In the inert gas supply line 203, an inert gas supply source 203a that supplies an inert gas and a mass flow controller 203c that controls the flow rate of the inert gas are sequentially provided in series. A shutoff valve 203b is provided between the inert gas supply source 203a and the massflow controller 203c, and a shutoff valve 203d is provided between the massflow controller 203c and the gas supply line 20. As the inert gas, for example, an inert gas such as argon (Ar), helium (He), nitrogen (N 2 ), or the like can be used.

図3に示すとおり、ガス供給ライン20の下流側には、ガス供給ノズル21が接続されている。ガス供給ノズル21は、マニホールド15の側壁を貫通した後、直角に屈曲して、マニホールド15及びプロセスチューブ11の側壁の内壁に沿うように垂直方向に配設されている。ガス供給ノズル21には、処理室12内の中心方向(すなわちウェハ1の設置された方向)に処理ガスを供給する複数個の吹出口23が、垂直方向(すなわちウェハ1の積載方向)に配列するように設けられている。なお、各吹出口23は、ボート2に保持された各ウェハ1の上面空間及び下面空間にそれぞれガスを供給可能な高さに設けられている。また、処理室12内とガス供給ライン20内との圧力差が大きい場合には、各吹出口23の孔径を、ガスの下流側(すなわちガス供給ノズル21の上端側)になるにつれて徐々に大きくする。これにより、各ウェハ1へのガスの供給量を均一にすることが出来る。   As shown in FIG. 3, a gas supply nozzle 21 is connected to the downstream side of the gas supply line 20. The gas supply nozzle 21 passes through the side wall of the manifold 15, then bends at a right angle, and is arranged in the vertical direction so as to follow the inner wall of the side wall of the manifold 15 and the process tube 11. In the gas supply nozzle 21, a plurality of outlets 23 for supplying a processing gas in the central direction in the processing chamber 12 (that is, the direction in which the wafer 1 is installed) are arranged in the vertical direction (that is, the loading direction of the wafer 1). It is provided to do. Each air outlet 23 is provided at a height at which gas can be supplied to the upper surface space and the lower surface space of each wafer 1 held in the boat 2. Further, when the pressure difference between the inside of the processing chamber 12 and the gas supply line 20 is large, the hole diameter of each outlet 23 is gradually increased toward the downstream side of the gas (that is, the upper end side of the gas supply nozzle 21). To do. Thereby, the supply amount of the gas to each wafer 1 can be made uniform.

<電極>
図2に示すとおり、処理炉10には、一対の電極27が、ガス供給ノズル21を両側から挟むように設けられている。電極27には、インピーダンス整合器32を介して外部電源31が接続されるように構成されている。また、図4に示すとおり、一対の電極27は、マニホールド15の側壁を貫通した後、直角に屈曲して、マニホールド15及びプロセスチューブ11の側壁の内壁に沿うように垂直方向に配設されている。そして、これら一対の電極27は、誘電体からなる円筒状の保護管25にそれぞれ覆われている。保護管25の上端部は閉塞し、保護管25の下端部は開放して処理室12の外部に連通しており、保護管25の内部は大気圧となっている。また、電極27の屈曲部近傍の被保持部28は、保護管25の内部において、放電防止のための絶縁筒29、および静電遮断のためのシールド筒30に順に覆われている。
<Electrode>
As shown in FIG. 2, the processing furnace 10 is provided with a pair of electrodes 27 so as to sandwich the gas supply nozzle 21 from both sides. An external power source 31 is connected to the electrode 27 via an impedance matching device 32. Further, as shown in FIG. 4, the pair of electrodes 27 penetrates the side wall of the manifold 15, then bends at a right angle, and is arranged in the vertical direction so as to follow the inner wall of the side wall of the manifold 15 and the process tube 11. Yes. The pair of electrodes 27 are respectively covered with a cylindrical protective tube 25 made of a dielectric. The upper end portion of the protective tube 25 is closed, the lower end portion of the protective tube 25 is opened and communicates with the outside of the processing chamber 12, and the inside of the protective tube 25 is at atmospheric pressure. Further, the held portion 28 in the vicinity of the bent portion of the electrode 27 is covered with an insulating tube 29 for preventing discharge and a shield tube 30 for electrostatic shielding in order inside the protective tube 25.

外部電源31により一対の電極27へ高周波電力が印加されることにより、処理室12内にプラズマ(すなわちプラズマ放電領域)が生成(着火)される。電極27により生成(着火)されたプラズマは、処理室12内に供給される水素ガスなどの反応ガスを励起する。   When high frequency power is applied to the pair of electrodes 27 by the external power source 31, plasma (that is, a plasma discharge region) is generated (ignited) in the processing chamber 12. The plasma generated (ignited) by the electrode 27 excites a reactive gas such as hydrogen gas supplied into the processing chamber 12.

<排気ライン>
図2、図3に示すとおり、マニホールド15の側壁であって、ガス供給ノズル21が設けられる側の反対側には、排気手段としての排気ライン16が設けられている。また、図5に示すとおり、排気ライン16には、処理室12の圧力を調整するための圧力調整装置16bと、真空ポンプ16aとが、順に直接に接続されている。また、マニホールド15と圧力調整装置16bとの間には、遮断弁16cが設けられている。真空ポンプ16aを作動させて、遮断弁16cを開けることにより、処理室12内の雰囲気を排気させること
が出来る。
<Exhaust line>
As shown in FIGS. 2 and 3, an exhaust line 16 as an exhaust means is provided on the side wall of the manifold 15 on the side opposite to the side where the gas supply nozzle 21 is provided. Further, as shown in FIG. 5, a pressure adjusting device 16 b for adjusting the pressure in the processing chamber 12 and a vacuum pump 16 a are directly connected to the exhaust line 16 in order. A shutoff valve 16c is provided between the manifold 15 and the pressure adjusting device 16b. By operating the vacuum pump 16a and opening the shut-off valve 16c, the atmosphere in the processing chamber 12 can be exhausted.

<プラズマ着火センサ>
図2に示すとおり、一対の電極27の間であって、プロセスチューブ11の外側には、プラズマ検出手段としてのプラズマ着火センサ50が設けられている。プラズマ着火センサ50は、光ファイバ51と、CCD等の受光面を備えた光センサ52と、を有している。光ファイバ51の一方の端部は、一対の電極27の間のプラズマ生成領域に対向するように設けられている。また、光ファイバ51の他方の端部は、光センサ52の受光面に対向するように設けられている。従って、光センサ52によってプラズマからの発光を検出することにより、処理室12内においてプラズマが生成(着火)されたことを検出することが可能である。プラズマ着火センサ50は、処理室12内におけるプラズマの着火を検出したら、その旨の情報を、後述のコントローラ10cへと出力するように構成されている。
<Plasma ignition sensor>
As shown in FIG. 2, a plasma ignition sensor 50 as a plasma detection unit is provided between the pair of electrodes 27 and outside the process tube 11. The plasma ignition sensor 50 includes an optical fiber 51 and an optical sensor 52 having a light receiving surface such as a CCD. One end of the optical fiber 51 is provided so as to face the plasma generation region between the pair of electrodes 27. The other end of the optical fiber 51 is provided to face the light receiving surface of the optical sensor 52. Therefore, it is possible to detect that plasma is generated (ignited) in the processing chamber 12 by detecting light emission from the plasma by the optical sensor 52. When the plasma ignition sensor 50 detects the ignition of plasma in the processing chamber 12, the plasma ignition sensor 50 is configured to output information to that effect to the controller 10 c described later.

なお、図示していないが、プラズマ着火センサ50として、プラズマ中の元素を特定する分光器をさらに備えた光センサ52を利用することも可能である。プラズマからの発光を分光器により波長別に分光して、特定の波長の光を選択的に検出することにより、プラズマが生成(着火)されたことを検出するとともに、プラズマ中の元素の種別を特定することが可能となる。かかる場合、分光器は、例えば、プラズマ生成領域と光ファイバ51の端面との間、または、光ファイバ51の端面と光センサ52の受光面との間に設けることが出来る。   Although not shown, as the plasma ignition sensor 50, it is possible to use an optical sensor 52 further provided with a spectroscope for specifying an element in the plasma. The light emitted from the plasma is separated by wavelength using a spectroscope, and light of a specific wavelength is selectively detected to detect the generation (ignition) of the plasma and to identify the type of element in the plasma It becomes possible to do. In such a case, the spectroscope can be provided, for example, between the plasma generation region and the end face of the optical fiber 51 or between the end face of the optical fiber 51 and the light receiving face of the optical sensor 52.

<ヒータ>
図2〜図5に示すとおり、本発明の一実施形態にかかる処理炉10は、加熱手段としての抵抗加熱ヒータ14を有している。抵抗加熱ヒータ14は、プロセスチューブ11の外周を囲う、いわゆるホットウォール型の構造として構成されている。抵抗加熱ヒータ14への通電が行われることにより、プロセスチューブ11の外部から処理室12内が加熱される。なお、抵抗加熱ヒータ14がホットウォール型構造として構成されていることにより、処理室12内の全体にわたって温度を均一に維持することが可能である。すなわち、ボート2に保持されたウェハ1の温度分布が高さ方向にわたり均一にすることが可能であると共に、各ウェハ1の面内の温度分布も均一にすることが可能である。
<Heater>
As shown in FIGS. 2 to 5, the processing furnace 10 according to one embodiment of the present invention has a resistance heater 14 as a heating means. The resistance heater 14 is configured as a so-called hot wall type structure that surrounds the outer periphery of the process tube 11. By energizing the resistance heater 14, the inside of the processing chamber 12 is heated from the outside of the process tube 11. Note that, since the resistance heater 14 is configured as a hot wall structure, the temperature can be maintained uniformly throughout the processing chamber 12. That is, the temperature distribution of the wafers 1 held in the boat 2 can be made uniform over the height direction, and the temperature distribution in the surface of each wafer 1 can be made uniform.

<圧力センサ>
また、図示しないが、本発明の一実施形態にかかる処理炉10は、圧力検出手段としての圧力センサを有している。圧力センサは、例えばマニホールド15の内壁に設けられ、処理室12内の圧力を検出して、検出した圧力情報を、後述のコントローラ10cへと出力するように構成されている。
<Pressure sensor>
Moreover, although not shown in figure, the processing furnace 10 concerning one Embodiment of this invention has a pressure sensor as a pressure detection means. The pressure sensor is provided on the inner wall of the manifold 15, for example, and is configured to detect the pressure in the processing chamber 12 and output the detected pressure information to the controller 10 c described later.

<コントローラ>
処理炉10には、コントローラ10cが設けられている。コントローラ10cは、遮断弁201b,201d,201f,202b,202d,203b,203d、マスフローコントローラ201c,202c,203c、圧力調整装置16b、真空ポンプ16a、回転機構、抵抗加熱ヒータ14、外部電源31、インピーダンス整合器32等の動作をそれぞれ制御するように構成されている。
<Controller>
The processing furnace 10 is provided with a controller 10c. The controller 10c includes shut-off valves 201b, 201d, 201f, 202b, 202d, 203b, and 203d, mass flow controllers 201c, 202c, and 203c, a pressure adjusting device 16b, a vacuum pump 16a, a rotating mechanism, a resistance heater 14, an external power supply 31, and an impedance. The operations of the matching unit 32 and the like are each controlled.

(4)基板処理工程
続いて、本発明の一実施形態としての基板処理工程について、図面を参照しながら説明する。なお、本実施形態は、ウェハ1の表面に例えばTi等の金属膜を成膜する方法であり、半導体デバイスの製造工程の一工程として実施される。Ti膜は、例えば半導体デバイス用の配線を形成するためのバリアメタル膜として使用することが出来る。参照する図
面において、図9は、本発明の一実施形態にかかる基板処理工程のフロー図である。また、図6は、本発明の一実施形態にかかるガスの供給シーケンス、処理室内の圧力変化、及び電極へ印加する電圧変化を示すグラフ図である。なお、本発明の一実施形態にかかる基板処理工程は、上述の基板処理装置及び処理炉10により実施される。また、以下の説明において、処理炉10を構成する各部の動作は、コントローラ10cにより制御される。
(4) Substrate Processing Step Next, a substrate processing step as one embodiment of the present invention will be described with reference to the drawings. The present embodiment is a method of forming a metal film such as Ti on the surface of the wafer 1 and is performed as one step of a semiconductor device manufacturing process. The Ti film can be used as a barrier metal film for forming wiring for a semiconductor device, for example. In the drawings to be referred to, FIG. 9 is a flowchart of a substrate processing process according to an embodiment of the present invention. FIG. 6 is a graph showing a gas supply sequence, a pressure change in the processing chamber, and a voltage change applied to the electrodes according to one embodiment of the present invention. In addition, the substrate processing process concerning one Embodiment of this invention is implemented by the above-mentioned substrate processing apparatus and the processing furnace 10. FIG. Moreover, in the following description, operation | movement of each part which comprises the processing furnace 10 is controlled by the controller 10c.

<基板搬入工程(S1)>
まず、上述した手順により、処理対象のウェハ1をボート2内へと装填する。続いて、ボートエレベータ121を上昇させて、ウェハ1を装填したボート2を処理室12内へと搬入すると共に、処理室12内をシールキャップ17により気密に封止する。このとき、遮断弁201b,201f,201g,202b,202dは閉じておく。
<Substrate carrying-in process (S1)>
First, the wafer 1 to be processed is loaded into the boat 2 according to the procedure described above. Subsequently, the boat elevator 121 is raised, the boat 2 loaded with the wafers 1 is carried into the processing chamber 12, and the inside of the processing chamber 12 is hermetically sealed with the seal cap 17. At this time, the shutoff valves 201b, 201f, 201g, 202b, and 202d are closed.

<減圧工程(S2)、及び昇温工程(S3)>
続いて、遮断弁201f、202dを閉じたまま、真空ポンプ16aを作動させて遮断弁16cを開けることにより、処理室12内を排気する。そして、圧力調整装置16bにより、処理室12内の圧力が所定の圧力になるよう制御する。
<Decompression step (S2) and temperature raising step (S3)>
Subsequently, while the shutoff valves 201f and 202d are closed, the inside of the processing chamber 12 is exhausted by operating the vacuum pump 16a and opening the shutoff valve 16c. Then, the pressure in the processing chamber 12 is controlled to be a predetermined pressure by the pressure adjusting device 16b.

続いて、抵抗加熱ヒータ14に電力を供給することにより、処理室12内の温度、及び処理室12内に搬入されたウェハ1の温度を、所定の温度まで昇温する。   Subsequently, by supplying power to the resistance heater 14, the temperature in the processing chamber 12 and the temperature of the wafer 1 carried into the processing chamber 12 are raised to a predetermined temperature.

なお、基板搬入工程(S1)、減圧工程(S2)、昇温工程(S3)を実施する際には、不活性ガス供給ライン203に設けられた遮断弁203b,203dを開けて、Ar、He、Nなどの不活性ガスを処理室12内に常に流しておく。これにより、処理室12内の酸素濃度を下げると共に、パーティクル(異物)や金属汚染物のウェハ1への付着を抑制することができる。 When carrying out the substrate carrying-in process (S1), the pressure reducing process (S2), and the temperature raising process (S3), the shut-off valves 203b and 203d provided in the inert gas supply line 203 are opened, and Ar, He Inert gas such as N 2 is always allowed to flow into the processing chamber 12. As a result, the oxygen concentration in the processing chamber 12 can be lowered, and adhesion of particles (foreign matter) and metal contaminants to the wafer 1 can be suppressed.

<原料ガス供給工程(S4)>
ウェハ1の温度、処理室12内の圧力が、それぞれ所定の処理温度、所定の処理圧力に到達して安定したら、処理室12内に第1の処理ガスとしての原料ガスを供給する(S4)。
<Raw material gas supply step (S4)>
When the temperature of the wafer 1 and the pressure in the processing chamber 12 reach the predetermined processing temperature and the predetermined processing pressure, respectively, and stabilize, the raw material gas as the first processing gas is supplied into the processing chamber 12 (S4). .

すなわち、原料ガスのもととなる液体原料(TiCl)を、マスフローコントローラ201cにより流量制御しながら、液体原料供給源201aから気化器201eへと供給し、気化器201eにより液体原料を気化させて原料ガス(TiClガス)を生成させる。そして、遮断弁201fを開き、気化器201eにて生成させた原料ガスを、ガス供給ライン20及びガス供給ノズル21を介して処理室12内へと供給する。このとき、原料ガスベント(バイパス)ライン201hに設けた遮断弁201gは閉じておく。また、原料ガス供給工程(S4)を実施する際には、排気ライン16に設けられた圧力調整装置16bにより、処理室12内の圧力を調節する。 That is, the liquid source (TiCl 4 ) that is the source gas is supplied from the liquid source supply source 201a to the vaporizer 201e while the flow rate is controlled by the mass flow controller 201c, and the liquid source is vaporized by the vaporizer 201e. A source gas (TiCl 4 gas) is generated. Then, the shutoff valve 201f is opened, and the raw material gas generated by the vaporizer 201e is supplied into the processing chamber 12 via the gas supply line 20 and the gas supply nozzle 21. At this time, the shutoff valve 201g provided in the source gas vent (bypass) line 201h is closed. Further, when the source gas supply step (S4) is performed, the pressure in the processing chamber 12 is adjusted by the pressure adjusting device 16b provided in the exhaust line 16.

原料ガス供給ライン201から供給される原料ガスは、ガス供給ノズル21に設けられた複数個の吹出口23から、処理室12内のウェハ1の表面へと供給される。その結果、ウェハ1の表面には原料ガスのガス分子が吸着する。なお、この間、上述の回転機構を作動させてウェハ1を回転させておくことで、ウェハ1の面内にわたり均一に原料ガスのガス分子を吸着させることが出来る。   The source gas supplied from the source gas supply line 201 is supplied to the surface of the wafer 1 in the processing chamber 12 from a plurality of outlets 23 provided in the gas supply nozzle 21. As a result, the gas molecules of the source gas are adsorbed on the surface of the wafer 1. During this time, by operating the rotating mechanism described above to rotate the wafer 1, the gas molecules of the source gas can be adsorbed uniformly over the surface of the wafer 1.

所定時間が経過した後、遮断弁201fを閉じることにより、原料ガスの処理室12内への供給を停止する。なお、この際、原料ガスベント(バイパス)ライン201hに設けられた遮断弁201gを開くことにより、原料ガスを、処理室12をバイパスするように原料ガスベント(バイパス)ライン201hより排気して、気化器201eからの原料ガ
スの供給(すなわち、気化器201eにおける原料ガスの生成)を停止しないようにするのが好ましい。液体原料を気化して、気化した原料ガスを安定供給するまでには時間がかかるが、気化器201eからの原料ガスの供給を停止させずに、処理室12をバイパスするように流しておくと、次回に実施する原料ガス供給工程(S4)において、遮断弁201g,201fの開閉によりガス流の方向を切換えるだけで、直ちに処理室12内へ原料ガスを供給できるからである。
After the predetermined time has elapsed, the supply of the source gas into the processing chamber 12 is stopped by closing the shutoff valve 201f. At this time, by opening the shutoff valve 201g provided in the raw material gas vent (bypass) line 201h, the raw material gas is exhausted from the raw material gas vent (bypass) line 201h so as to bypass the processing chamber 12, and the vaporizer It is preferable not to stop the supply of the source gas from 201e (that is, the generation of the source gas in the vaporizer 201e). Although it takes time to vaporize the liquid raw material and stably supply the vaporized raw material gas, if the raw material gas is supplied from the vaporizer 201e without stopping, the processing chamber 12 is allowed to flow. This is because, in the source gas supply step (S4) to be performed next time, the source gas can be immediately supplied into the processing chamber 12 simply by switching the gas flow direction by opening and closing the shutoff valves 201g and 201f.

<パージ工程(S5)>
処理室12内への原料ガスの供給を停止した後、遮断弁16cを開けたまま、遮断弁203b,203dを一時的に開けることにより、処理室12内をパージする。その結果、処理室12内の残留ガスが除去される。所定時間が経過した後、遮断弁202b,203dを閉じることにより、処理室12内への不活性ガスの供給を停止して、処理室12内を減圧する。
<Purge process (S5)>
After the supply of the raw material gas into the processing chamber 12 is stopped, the inside of the processing chamber 12 is purged by temporarily opening the shut-off valves 203b and 203d while the shut-off valve 16c is open. As a result, residual gas in the processing chamber 12 is removed. After a predetermined time has elapsed, the shutoff valves 202b and 203d are closed to stop the supply of the inert gas into the processing chamber 12, and the processing chamber 12 is depressurized.

<反応ガス供給工程(S6)>
パージ工程(S5)を実施して処理室12内を減圧した後、処理室12内に、第2の処理ガスとしての反応ガスを供給する。
<Reactive gas supply step (S6)>
After performing the purge process (S5) to depressurize the inside of the processing chamber 12, a reaction gas as a second processing gas is supplied into the processing chamber 12.

すなわち、遮断弁203b,203d,201fを閉じたまま、遮断弁202b,202dを開けることにより、マスフローコントローラ202cにより流量制御しながら、反応ガスとしての水素(H)ガスを、ガス供給ライン20及びガス供給ノズル21を介して処理室12内へと供給する。なお、この際、遮断弁16cを閉じるか圧力調整装置16bを調整することにより排気ライン16の排気量を減少させて、処理室12内の圧力を所定の着火圧力(例えば133Pa)まで上昇させる。 That is, by opening the shut-off valves 202b and 202d with the shut-off valves 203b, 203d, and 201f closed, hydrogen (H 2 ) gas as a reaction gas is supplied to the gas supply line 20 and the flow rate while being controlled by the mass flow controller 202c. The gas is supplied into the processing chamber 12 through the gas supply nozzle 21. At this time, the exhaust amount of the exhaust line 16 is decreased by closing the shutoff valve 16c or adjusting the pressure adjusting device 16b, and the pressure in the processing chamber 12 is increased to a predetermined ignition pressure (for example, 133 Pa).

処理室12内の圧力が所定の着火圧力に到達したら、一対の電極27に対して、インピーダンス整合器32を介して外部電源31から高周波電力を供給して、処理室12にプラズマを生成(着火)する。そして、生成された該プラズマにより、処理室12内に供給されている反応ガスを励起(活性化)して、処理室12内に活性粒子(水素ラジカル)を生成する。   When the pressure in the processing chamber 12 reaches a predetermined ignition pressure, high-frequency power is supplied from the external power source 31 to the pair of electrodes 27 via the impedance matching device 32 to generate plasma in the processing chamber 12 (ignition). ) Then, the generated plasma excites (activates) the reactive gas supplied into the processing chamber 12 to generate active particles (hydrogen radicals) in the processing chamber 12.

なお、電極27に対して電力を供給する際には、プラズマ着火センサ50により、処理室12内に生成されるプラズマからの発光を監視する。そして、電極27への電力の印加を開始してからプラズマが着火する迄の処理室12内の圧力を、プラズマが着火してから電極27への電力の印加を停止する迄の処理室12内の圧力よりも高くする。具体的には、プラズマ着火センサ50によりプラズマの着火を検出した後は、プラズマ放電を維持したまま、すなわち電極27への電力供給を維持したまま、マスフローコントローラ202cにより反応ガスの供給量を減少させるか、遮断弁16cを開けるか圧力調整装置16bを調整することによって排気ライン16の排気量を増加させて、処理室12内の圧力を所定の処理圧力(例えば5Pa程度)まで減圧する。なお、この際、処理室12内への反応ガスの供給は停止せずに継続する。   Note that when power is supplied to the electrode 27, the plasma ignition sensor 50 monitors light emission from the plasma generated in the processing chamber 12. The pressure in the processing chamber 12 from the start of application of power to the electrode 27 until the plasma is ignited is the pressure in the processing chamber 12 after the plasma is ignited and the application of power to the electrode 27 is stopped. Higher than the pressure. Specifically, after the plasma ignition sensor 50 detects the ignition of the plasma, the supply amount of the reaction gas is decreased by the mass flow controller 202c while maintaining the plasma discharge, that is, while maintaining the power supply to the electrode 27. Alternatively, the exhaust amount of the exhaust line 16 is increased by opening the shutoff valve 16c or adjusting the pressure adjusting device 16b, and the pressure in the processing chamber 12 is reduced to a predetermined processing pressure (for example, about 5 Pa). At this time, the supply of the reaction gas into the processing chamber 12 is continued without stopping.

プラズマにより活性化された反応ガスの活性粒子(Hラジカル)は、処理室12内を拡散してウェハ1の表面に到達した後、ウェハ1の表面に吸着している原料ガスのガス分子(TiCl)と反応して、塩化水素(HCl)を生成する。その結果、ウェハ1の表面には、Clが取り除かれた後のTiが残留して、Tiの薄膜が生成される。なお、この間、上述の回転機構を作動させてウェハ1を回転させておくことにより、ウェハ1の面内にわたり均一にTiの薄膜を生成することが出来る。 Active particles (H radicals) of the reaction gas activated by the plasma diffuse in the processing chamber 12 and reach the surface of the wafer 1, and then gas molecules (TiCl) of the source gas adsorbed on the surface of the wafer 1. 4 ) to produce hydrogen chloride (HCl). As a result, Ti after the removal of Cl remains on the surface of the wafer 1 to form a Ti thin film. During this period, by rotating the wafer 1 by operating the above rotating mechanism, a Ti thin film can be uniformly formed over the surface of the wafer 1.

所定時間が経過した後、電極27への電力供給を停止するとともに、遮断弁202b,
202dを閉じて処理室12内への反応ガスの供給を停止する。
After a predetermined time has elapsed, the power supply to the electrode 27 is stopped and the shutoff valve 202b,
202d is closed and the supply of the reaction gas into the processing chamber 12 is stopped.

<パージ工程(S7)>
処理室12内への反応ガスの供給を停止した後、遮断弁16cを開いたまま、遮断弁203b,203dを一時的に開けることにより、処理室12内をパージする。その結果、処理室12内の残留ガスが除去される。所定時間が経過した後、遮断弁203b,203dを閉じることにより、処理室12内への不活性ガスの供給を停止して、処理室12内を減圧する。
<Purge process (S7)>
After the supply of the reaction gas into the processing chamber 12 is stopped, the inside of the processing chamber 12 is purged by temporarily opening the shut-off valves 203b and 203d with the shut-off valve 16c open. As a result, residual gas in the processing chamber 12 is removed. After a predetermined time has passed, the shutoff valves 203b and 203d are closed to stop the supply of the inert gas into the processing chamber 12, and the processing chamber 12 is depressurized.

<繰り返し工程(S8)>
以上のように、原料ガス供給工程(S4)→パージ工程(S5)→反応ガス供給工程(S6)→パージ工程(S7)を1サイクルとして、このサイクルを複数回繰り返すサイクル処理を実施する。これにより、ウェハ1上に所望膜厚のTi膜を形成することができる。
<Repetition step (S8)>
As described above, the source gas supply process (S4) → the purge process (S5) → the reaction gas supply process (S6) → the purge process (S7) is set as one cycle, and the cycle process is repeated a plurality of times. Thereby, a Ti film having a desired film thickness can be formed on the wafer 1.

<基板搬出工程(S9)>
ウェハ1上に所望膜厚の薄膜を形成した後、回転機構によるウェハ1の回転を停止させる。そして、上述した基板搬入工程(S1)から圧力調整工程(S3)とは逆の手順により、所望膜厚の薄膜が形成されたウェハ1を処理室12内から搬出する。以上により、本実施形態にかかる基板処理工程が完了する。
<Substrate unloading step (S9)>
After a thin film having a desired film thickness is formed on the wafer 1, the rotation of the wafer 1 by the rotation mechanism is stopped. Then, the wafer 1 on which a thin film having a desired thickness is formed is unloaded from the processing chamber 12 by a procedure reverse to the above-described substrate loading step (S1) to pressure adjustment step (S3). Thus, the substrate processing process according to this embodiment is completed.

(5)本実施形態にかかる効果
本実施形態における反応ガス供給工程(S6)では、処理室12内の圧力を所定の着火圧力まで昇圧させてから、電極27に対して電力を供給する。従って、電極27へ供給する電力を大きくすることなくプラズマを着火することが出来るようになる。そして、電極27へ供給する電力が小さいことから、処理室12内の構成部材や処理室12内に載置されたウェハ1に対するプラズマによるスパッタエッチング量を抑制することが可能となる。
(5) Effects According to this Embodiment In the reaction gas supply step (S6) in this embodiment, the pressure in the processing chamber 12 is increased to a predetermined ignition pressure, and then the power is supplied to the electrode 27. Therefore, plasma can be ignited without increasing the power supplied to the electrode 27. And since the electric power supplied to the electrode 27 is small, it becomes possible to suppress the sputter etching amount by the plasma with respect to the structural member in the process chamber 12, and the wafer 1 mounted in the process chamber 12. FIG.

また、反応ガスとして水素(H)を用いた場合には、他の種類のガスを用いた場合に比べて、電離断面積あるいは励起断面積が小さくプラズマの着火が起こりにくい傾向があった。これに対して、本実施形態における反応ガス供給工程(S6)では、処理室12内の圧力を所定の着火圧力まで昇圧させてから、電極27に対して電力を供給する。そのため、処理室12内に着実にプラズマを着火させることが可能となる。また、プラズマが生成されるまで(着火するまで)の時間を短縮できる場合もある。この場合、所定の膜厚の薄膜を形成するために数100サイクルを繰り返すようなALD法による成膜方法では、基板処理工程全体としての所要時間を短縮できる場合もある。 In addition, when hydrogen (H 2 ) was used as the reaction gas, the ionization cross section or excitation cross section was small and plasma ignition tended to occur less easily than when other types of gases were used. In contrast, in the reactive gas supply step (S6) in the present embodiment, the pressure in the processing chamber 12 is increased to a predetermined ignition pressure, and then the electric power is supplied to the electrode 27. Therefore, it is possible to ignite plasma steadily in the processing chamber 12. In some cases, the time until plasma is generated (ignition) can be shortened. In this case, in the film forming method by the ALD method in which several hundred cycles are repeated in order to form a thin film having a predetermined thickness, the time required for the entire substrate processing process may be shortened.

また、本実施形態における反応ガス供給工程(S6)では、電極27へ供給する電力を大きくすることなく、プラズマを着火することが出来るようになるため、たとえ繰り返し工程(S8)を実施することにより処理室12内に導体膜が形成されていたとしても、安定してプラズマを生成することが可能となる。すなわち、電極27へ供給する電力を小さくしたとしても、プラズマ放電を安定させることが可能となり、ウェハ1の表面上に形成する薄膜の膜厚を均一にすることが出来る。   Further, in the reactive gas supply step (S6) in the present embodiment, plasma can be ignited without increasing the power supplied to the electrode 27. Therefore, even if the repeated step (S8) is performed. Even if a conductor film is formed in the processing chamber 12, plasma can be generated stably. That is, even if the power supplied to the electrode 27 is reduced, the plasma discharge can be stabilized and the film thickness of the thin film formed on the surface of the wafer 1 can be made uniform.

そして、本実施形態における反応ガス供給工程(S6)では、プラズマの着火後は、プラズマ放電を維持したまま処理室12内を減圧する。その結果、処理室12内に生成された活性粒子の迅速な拡散が促され、ウェハ1の表面への活性種の供給量を増加させ、ウェハ1の表面上での反応を促進させ、成膜速度を向上させることが出来る。   In the reactive gas supply step (S6) in the present embodiment, after the plasma is ignited, the inside of the processing chamber 12 is decompressed while maintaining the plasma discharge. As a result, the rapid diffusion of the active particles generated in the processing chamber 12 is promoted, the amount of active species supplied to the surface of the wafer 1 is increased, the reaction on the surface of the wafer 1 is promoted, and film formation is performed. Speed can be improved.

2.本発明の他の実施形態
以下に、本発明の他の実施形態にかかる処理炉10の構成、及び処理炉10により実施される基板処理工程について、図面を参照しながら説明する。
2. Other Embodiments of the Invention Hereinafter, a configuration of a processing furnace 10 according to another embodiment of the present invention and a substrate processing step performed by the processing furnace 10 will be described with reference to the drawings.

(1)処理炉の構成
まず、本発明の他の実施形態にかかる基板処理装置の構成について、図面を参照しながら説明する。参照する図面において、図7は、本発明の他の実施形態にかかる処理炉の平断面図であり、図8は、本発明の他の実施形態にかかる処理炉の備えるガス供給ライン及び排気ラインの概略構成図である。
(1) Configuration of Processing Furnace First, the configuration of a substrate processing apparatus according to another embodiment of the present invention will be described with reference to the drawings. In the drawings to be referred to, FIG. 7 is a plan sectional view of a processing furnace according to another embodiment of the present invention, and FIG. 8 is a gas supply line and an exhaust line provided in the processing furnace according to another embodiment of the present invention. FIG.

図7に示すように、本実施形態ではガス供給ライン20が存在せず、原料ガス供給ライン201及び反応ガス供給ライン202が、それぞれ合流せずに直接にマニホールド15の側壁に接続されている。また、図8に示すように、不活性ガス供給ライン203は、反応ガス供給ライン202における遮断弁202dの下流側に、合流するように接続されている。また、上記において、原料ガスとしては例えばDCS(SiHCl;ジクロロシラン)ガスを用いることが出来、反応ガスとしては例えばNH(アンモニア)ガスを用いることが出来る。 As shown in FIG. 7, in this embodiment, the gas supply line 20 does not exist, and the source gas supply line 201 and the reaction gas supply line 202 are directly connected to the side wall of the manifold 15 without joining. As shown in FIG. 8, the inert gas supply line 203 is connected to the downstream side of the shutoff valve 202d in the reaction gas supply line 202 so as to join. Further, in the above, for example, DCS (SiH 2 Cl 2 ; dichlorosilane) gas can be used as the source gas, and NH 3 (ammonia) gas can be used as the reaction gas.

原料ガス供給ライン201が接続された処理室12の内壁(すなわちプロセスチューブ11とマニホールド15との内壁)とウェハ1との間における円弧状の空間には、ガス分散空間としてのバッファ室70が垂直方向(すなわちウェハ1の積載方向)に設けられている。そして、バッファ室70におけるウェハ1と対向する壁には、処理室12の中心方向にガスを供給する複数個の吹出口70aが、垂直方向に配列するように設けられている。なお、各吹出口70aは、ボート2に保持された各ウェハ1の上面空間及び下面空間にガスを供給できる高さに、それぞれ設けられている。また、処理室12内と原料ガス供給ライン201内との圧力差が大きい場合には、各吹出口70aの孔径を、ガスの下流側(すなわちバッファ室70の上方)になるにつれて徐々に大きくする。これにより、各ウェハ1へのガスの供給量を均一にすることが出来る。   In the arc-shaped space between the inner wall of the processing chamber 12 to which the source gas supply line 201 is connected (that is, the inner wall of the process tube 11 and the manifold 15) and the wafer 1, a buffer chamber 70 serving as a gas dispersion space is vertical. It is provided in the direction (that is, the loading direction of the wafer 1). A plurality of air outlets 70 a for supplying gas in the central direction of the processing chamber 12 are provided on the wall facing the wafer 1 in the buffer chamber 70 so as to be arranged in the vertical direction. In addition, each blower outlet 70a is provided in the height which can supply gas to the upper surface space and lower surface space of each wafer 1 hold | maintained at the boat 2, respectively. When the pressure difference between the inside of the processing chamber 12 and the inside of the source gas supply line 201 is large, the hole diameter of each outlet 70a is gradually increased toward the downstream side of the gas (that is, above the buffer chamber 70). . Thereby, the supply amount of the gas to each wafer 1 can be made uniform.

また、反応ガス供給ライン202が接続された処理室12の内壁(すなわちプロセスチューブ11とマニホールド15との内壁)とウェハ1との間における円弧状の空間には、ガス分散空間としてのバッファ室71が垂直方向(すなわちウェハ1の積載方向)に設けられている。ここで、バッファ室71の端部には、処理室12内に反応ガスを供給するためのガス供給ノズル21が設けられている。ガス供給ノズル21の下端部は、処理室12の外部において反応ガス供給ライン202の下流側に接続されている。また、バッファ室71におけるウェハ1と対向する壁であって、ガス供給ノズル21が設けられた側とは反対側の端部には、処理室12の中心方向にガスを供給する複数個の吹出口71aが、垂直方向に配列するように設けられている。なお、各吹出口71aは、ボート2に保持された各ウェハ1の上面空間及び下面空間にガスを供給できる高さに、それぞれ設けられている。また、処理室12内と反応ガス供給ライン202内との圧力差が大きい場合には、各吹出口71aの孔径を、ガスの下流側(すなわちバッファ室71の上方)になるにつれて徐々に大きくする。これにより、各ウェハ1へのガスの供給量を均一にすることが出来る。また、ガス供給ノズル21と各吹出口71aとの間には、一対の電極27が設けられている。なお、ガス供給ノズル21に設けられた吹出口23は、処理室12内の中心方向(ウェハ1の方向)ではなく、一対の電極27の方向へとガスを供給するように設けられている。また、バッファ室70は、バッファ室70に設けられた各吹出し口71aから、プロセスチューブ11の周方向に沿って120°程度回った位置に設けられている。   In addition, in an arc-shaped space between the inner wall of the processing chamber 12 to which the reaction gas supply line 202 is connected (that is, the inner wall of the process tube 11 and the manifold 15) and the wafer 1, a buffer chamber 71 serving as a gas dispersion space is provided. Are provided in the vertical direction (that is, the loading direction of the wafer 1). Here, a gas supply nozzle 21 for supplying a reaction gas into the processing chamber 12 is provided at the end of the buffer chamber 71. The lower end of the gas supply nozzle 21 is connected to the downstream side of the reaction gas supply line 202 outside the processing chamber 12. Further, a wall of the buffer chamber 71 facing the wafer 1, which is opposite to the side where the gas supply nozzle 21 is provided, has a plurality of blows for supplying gas toward the center of the processing chamber 12. The outlets 71a are provided so as to be arranged in the vertical direction. In addition, each blower outlet 71a is provided in the height which can supply gas to the upper surface space and lower surface space of each wafer 1 hold | maintained at the boat 2, respectively. When the pressure difference between the inside of the processing chamber 12 and the reaction gas supply line 202 is large, the hole diameter of each outlet 71a is gradually increased toward the downstream side of the gas (that is, above the buffer chamber 71). . Thereby, the supply amount of the gas to each wafer 1 can be made uniform. A pair of electrodes 27 is provided between the gas supply nozzle 21 and each outlet 71a. Note that the air outlet 23 provided in the gas supply nozzle 21 is provided so as to supply gas in the direction of the pair of electrodes 27, not in the central direction (the direction of the wafer 1) in the processing chamber 12. Further, the buffer chamber 70 is provided at a position rotated about 120 ° along the circumferential direction of the process tube 11 from each outlet 71 a provided in the buffer chamber 70.

なお、図8に示すように、本実施形態においては、原料ガス供給ライン201には、液体原料供給源201aおよび気化器201eが設けられておらず、原料ガスベント(バイ
パスライン)201hが接続されていない点が、上述した本発明の一実施形態にかかる処理炉10と異なる。本実施形態においては、原料ガス供給ライン201には、原料ガスを供給する原料ガス供給源201iと、原料ガスの流量を制御するマスフローコントローラ201cと、一定量の原料ガスを加圧状態で蓄えるバッファタンク201kとが、順に直列に設けられている。そして、原料ガス供給源201iとマスフローコントローラ201cとの間には遮断弁201bが、マスフローコントローラ201cとバッファタンク201kとの間には遮断弁201dが、バッファタンク201kとマニホールド15との間には遮断弁201fが、それぞれ設けられている。なお、遮断弁201fを開けた状態において、バッファタンク201kと処理室12との間のコンダクタンスは、例えば1.5×10−3/sになるように構成されている。ここで、処理室12の容積が100l(リットル)の場合には、バッファタンク201kの容積が0.1〜0.3l(リットル)であることが好ましく、容積比としては、バッファタンク201kの容積を、処理室12の容積の1/1000〜3/1000倍とすることが好ましい。
As shown in FIG. 8, in this embodiment, the source gas supply line 201 is not provided with the liquid source supply source 201a and the vaporizer 201e, and the source gas vent (bypass line) 201h is connected. This is different from the processing furnace 10 according to the embodiment of the present invention described above. In the present embodiment, the source gas supply line 201 includes a source gas supply source 201 i that supplies source gas, a mass flow controller 201 c that controls the flow rate of source gas, and a buffer that stores a certain amount of source gas in a pressurized state. A tank 201k is provided in series in order. A shutoff valve 201b is provided between the source gas supply source 201i and the mass flow controller 201c, a shutoff valve 201d is provided between the massflow controller 201c and the buffer tank 201k, and a shutoff valve 201d is provided between the buffer tank 201k and the manifold 15. Each of the valves 201f is provided. In the state where the shutoff valve 201f is opened, the conductance between the buffer tank 201k and the processing chamber 12 is configured to be, for example, 1.5 × 10 −3 m 3 / s. Here, when the volume of the processing chamber 12 is 100 l (liter), the volume of the buffer tank 201 k is preferably 0.1 to 0.3 l (liter), and the volume ratio is the volume of the buffer tank 201 k. Is preferably 1/1000 to 3/1000 times the volume of the processing chamber 12.

また、図7に示すとおり、排気ライン16は、原料ガス供給ライン201が接続される側とは反対側のマニホールド15の側壁に設けられている。   Further, as shown in FIG. 7, the exhaust line 16 is provided on the side wall of the manifold 15 on the side opposite to the side to which the source gas supply line 201 is connected.

他の構成は、上述した本発明の一実施形態にかかる処理炉10の構成と同一である。   Other configurations are the same as the configuration of the processing furnace 10 according to the embodiment of the present invention described above.

(2)基板処理工程
続いて、本発明の他の実施形態としての基板処理工程について、図面を参照しながら説明する。本実施形態は、ウェハ1の表面に例えばSiN等の窒化膜を成膜する方法であり、半導体デバイスの製造工程の一工程として実施される。参照する図面において、図10は、本発明の他の実施形態にかかる基板処理工程のフロー図である。なお、本実施形態にかかる基板処理工程は、図7及び図8に示す上述の処理炉10により実施される。また、以下の説明において、処理炉10を構成する各部の動作は、コントローラ10cにより制御される。
(2) Substrate Processing Step Next, a substrate processing step as another embodiment of the present invention will be described with reference to the drawings. The present embodiment is a method of forming a nitride film such as SiN on the surface of the wafer 1 and is performed as one step of a semiconductor device manufacturing process. In the drawings to be referred to, FIG. 10 is a flowchart of a substrate processing process according to another embodiment of the present invention. In addition, the substrate processing process concerning this embodiment is implemented by the above-mentioned processing furnace 10 shown in FIG.7 and FIG.8. Moreover, in the following description, operation | movement of each part which comprises the processing furnace 10 is controlled by the controller 10c.

<基板搬入工程(S1)、減圧工程(S2)、昇温工程(S3)>
まず、上述した本発明の一実施形態としての基板処理工程と同様に、基板搬入工程(S1)、減圧工程(S2)、昇温工程(S3)を実施する。なお、減圧工程(S2)を実施中は、遮断弁201dを閉じ、遮断弁201fを開けることにより、バッファタンク201k内も併せて排気する。また、昇温工程(S3)においては、ウェハ1の表面温度が例えば300〜600℃になるように、抵抗加熱ヒータ14への通電量を制御する。
<Substrate carrying-in process (S1), decompression process (S2), temperature raising process (S3)>
First, similarly to the substrate processing step as one embodiment of the present invention described above, the substrate carry-in step (S1), the pressure reduction step (S2), and the temperature raising step (S3) are performed. During the decompression step (S2), the shutoff valve 201d is closed and the shutoff valve 201f is opened to exhaust the buffer tank 201k together. In the temperature raising step (S3), the energization amount to the resistance heater 14 is controlled so that the surface temperature of the wafer 1 becomes, for example, 300 to 600 ° C.

<反応ガス供給工程(S4)>
続いて、遮断弁201fを閉じ、遮断弁202b,202dを開くことにより、マスフローコントローラ202cにより流量制御しながら、処理室12内に反応ガスとしてのNH(アンモニア)ガスを供給する。処理室12内の圧力が所定の着火圧力に到達したら、一対の電極27に対してインピーダンス整合器32を介して外部電源31から高周波電力を供給し、バッファ室70内にプラズマを生成(着火)する。そして、生成したプラズマにより、処理室12内に供給されている反応ガスを励起(活性化)させ、処理室12内に活性粒子(ラジカル)を生成する。
<Reactive gas supply step (S4)>
Subsequently, by closing the shutoff valve 201f and opening the shutoff valves 202b and 202d, NH 3 (ammonia) gas as a reaction gas is supplied into the processing chamber 12 while controlling the flow rate by the mass flow controller 202c. When the pressure in the processing chamber 12 reaches a predetermined ignition pressure, high-frequency power is supplied from the external power source 31 to the pair of electrodes 27 via the impedance matching device 32 to generate plasma in the buffer chamber 70 (ignition). To do. Then, the generated plasma excites (activates) the reactive gas supplied into the processing chamber 12 to generate active particles (radicals) in the processing chamber 12.

なお、電極27に対して電力を供給する際には、プラズマ着火センサ50により、処理室12内に生成されるプラズマからの発光を監視する。そして、電極27への電力の印加を開始してからプラズマが着火する迄の処理室12内の圧力を、プラズマが着火してから電極27への電力の印加を停止する迄の処理室12内の圧力よりも高くする。具体的には、プラズマ着火センサ50によりプラズマの着火を検出した後は、プラズマ放電を維持したまま、すなわち電極27への電力供給を維持したまま、マスフローコントローラ202
cにより反応ガスの供給量を減少させるか、遮断弁16cを開けるか圧力調整装置16bを調整することによって排気ライン16の排気量を増加させて、処理室12内の圧力を所定の処理圧力まで減圧する。なお、この際、処理室12内への反応ガスの供給は停止せずに継続する。
Note that when power is supplied to the electrode 27, the plasma ignition sensor 50 monitors light emission from the plasma generated in the processing chamber 12. The pressure in the processing chamber 12 from the start of application of power to the electrode 27 until the plasma is ignited is the pressure in the processing chamber 12 after the plasma is ignited and the application of power to the electrode 27 is stopped. Higher than the pressure. Specifically, after the plasma ignition sensor 50 detects the plasma ignition, the mass flow controller 202 maintains the plasma discharge, that is, maintains the power supply to the electrode 27.
The amount of reaction gas supplied is reduced by c, the shutoff valve 16c is opened, or the pressure regulator 16b is adjusted to increase the exhaust amount of the exhaust line 16, and the pressure in the processing chamber 12 is increased to a predetermined processing pressure. Reduce pressure. At this time, the supply of the reaction gas into the processing chamber 12 is continued without stopping.

所定時間が経過した後、電極27への電極供給を停止すると共に、遮断弁202b,202dを閉じることにより、処理室12内への反応ガスの供給を停止する。そして、遮断弁16cを開いたまま、排気ライン16により処理室12内に残留している反応ガスを排気する。この際、遮断弁203b,203dを一時的に開け、処理室12内にN等の不活性ガスを供給することにより、処理室12内に残留している反応ガスを効率的に排気する。その後、遮断弁203b,203dを閉じ、処理室12内の圧力を所定圧力まで減圧させたら、遮断弁16cを閉じ、処理室12を減圧された状態で保持する。 After a predetermined time has elapsed, the supply of the reaction gas into the processing chamber 12 is stopped by stopping the supply of the electrode to the electrode 27 and closing the shutoff valves 202b and 202d. Then, the reaction gas remaining in the processing chamber 12 is exhausted through the exhaust line 16 while the shutoff valve 16c is kept open. At this time, the shutoff valves 203b and 203d are temporarily opened, and an inert gas such as N 2 is supplied into the processing chamber 12, whereby the reaction gas remaining in the processing chamber 12 is efficiently exhausted. After that, when the shutoff valves 203b and 203d are closed and the pressure in the processing chamber 12 is reduced to a predetermined pressure, the shutoff valve 16c is closed and the processing chamber 12 is held in a decompressed state.

<原料ガス充填工程(S4’)>
反応ガス供給工程(S4)の実施中には、遮断弁201fを閉じたまま、遮断弁201b,201dを開けることにより、マスフローコントローラ201cにより流量制御しながら、バッファタンク201k内に原料ガスとしてのDCSガスを充填する。バッファタンク201k内の圧力が例えば20000Pa以上になったら、遮断弁201b,201dを閉じることにより、バッファタンク201k内への原料ガスの充填を停止する。なお、反応ガス供給工程(S4)と原料ガス充填工程(S4’)とは、並行して実施することが好ましい。
<Raw material gas filling step (S4 ')>
During the reaction gas supply step (S4), the shutoff valve 201b and 201d are opened while the shutoff valve 201f is closed, so that the flow rate is controlled by the mass flow controller 201c and the DCS as the source gas is placed in the buffer tank 201k. Fill with gas. When the pressure in the buffer tank 201k becomes 20000 Pa or more, for example, the filling of the source gas into the buffer tank 201k is stopped by closing the shutoff valves 201b and 201d. The reactive gas supply step (S4) and the raw material gas filling step (S4 ′) are preferably performed in parallel.

<原料ガス導入工程(S5)>
反応ガス供給工程(S4)、及び原料ガス充填工程(S4’)の実施が完了したら、遮断弁201d,202d,203d,16cを閉じたまま、遮断弁201fを開けることにより、バッファタンク201k内と処理室12内との圧力差を利用して、バッファタンク201k内の原料ガスを所定時間以内に(ごく短時間で)処理室12内へと導入する。その結果、処理室12内の圧力は例えば931Pa程度まで上昇し、ウェハ1の表面は高圧の原料ガスに暴露される。そして、ウェハ1の表面に吸着した反応ガスの活性粒子と原料ガスとが迅速に反応して、ウェハ1の表面上にSiNの薄膜が生成される。
<Raw material gas introduction process (S5)>
When the reaction gas supply step (S4) and the raw material gas filling step (S4 ′) are completed, the shutoff valve 201f is opened while the shutoff valves 201d, 202d, 203d, and 16c are closed, so that the buffer tank 201k is opened. The source gas in the buffer tank 201k is introduced into the processing chamber 12 within a predetermined time (in a very short time) using the pressure difference with the processing chamber 12. As a result, the pressure in the processing chamber 12 rises to about 931 Pa, for example, and the surface of the wafer 1 is exposed to a high-pressure source gas. Then, the active particles of the reactive gas adsorbed on the surface of the wafer 1 and the raw material gas react rapidly, and a SiN thin film is generated on the surface of the wafer 1.

所定時間が経過した後、遮断弁201fを閉じ、遮断弁16cを開けることにより、排気ライン16により処理室12内に残留している原料ガスを排気する。この際、遮断弁203b,203dを一時的に開け、処理室12内にN等の不活性ガスを供給することにより、処理室12内に残留している原料ガスを効率的に排気する。その後、遮断弁203b,203dを閉じ、処理室12内の圧力を所定圧力まで減圧する。なお、遮断弁201fを閉じた後は、処理室12内の排気の完了を待たずに、原料ガス充填工程(S4’)の実施を開始することが好ましい。 After a predetermined time has passed, the shutoff valve 201f is closed and the shutoff valve 16c is opened, whereby the source gas remaining in the processing chamber 12 is exhausted by the exhaust line 16. At this time, the shutoff valves 203b and 203d are temporarily opened, and an inert gas such as N 2 is supplied into the processing chamber 12, whereby the source gas remaining in the processing chamber 12 is efficiently exhausted. Thereafter, the shutoff valves 203b and 203d are closed, and the pressure in the processing chamber 12 is reduced to a predetermined pressure. Note that, after closing the shutoff valve 201f, it is preferable to start the raw material gas filling step (S4 ′) without waiting for the exhaust of the processing chamber 12 to be completed.

<繰り返し工程(S6)>
以上のように、反応ガス供給工程(S4)及び原料ガス充填工程(S4’)→原料ガス供給工程(S5)を1サイクルとして、このサイクルを複数回繰り返すサイクル処理を実施する。これにより、ウェハ1上に所望膜厚のSiN膜を形成することができる。
<Repetition step (S6)>
As described above, the reactive gas supply step (S4) and the raw material gas filling step (S4 ′) → the raw material gas supply step (S5) are set as one cycle, and the cycle process is repeated a plurality of times. Thereby, a SiN film having a desired film thickness can be formed on the wafer 1.

<基板搬出工程(S7)>
ウェハ1上に所望膜厚の薄膜を形成した後、回転機構によるウェハ1の回転を停止させる。そして、上述した基板搬入工程(S1)から圧力調整工程(S3)とは逆の手順により、所望膜厚の薄膜が形成されたウェハ1を処理室12内から搬出する。以上により、本実施形態にかかる基板処理工程が完了する。
<Substrate unloading step (S7)>
After a thin film having a desired film thickness is formed on the wafer 1, the rotation of the wafer 1 by the rotation mechanism is stopped. Then, the wafer 1 on which a thin film having a desired thickness is formed is unloaded from the processing chamber 12 by a procedure reverse to the above-described substrate loading step (S1) to pressure adjustment step (S3). Thus, the substrate processing process according to this embodiment is completed.

(3)本実施形態にかかる効果
本実施形態における反応ガス供給工程(S4)では、処理室12内の圧力を所定の着火圧力まで昇圧させてから、電極27に対して電力を供給する。従って、電極27へ供給する電力を大きくすることなくプラズマを着火することが出来るようになる。そして、電極27へ供給する電力が小さいことから、処理室12内の構成部材や処理室12内に載置されたウェハ1に対するプラズマによるスパッタエッチング量を抑制することが可能となる。
(3) Effects According to the Present Embodiment In the reactive gas supply step (S4) according to the present embodiment, the pressure in the processing chamber 12 is increased to a predetermined ignition pressure, and then power is supplied to the electrode 27. Therefore, plasma can be ignited without increasing the power supplied to the electrode 27. And since the electric power supplied to the electrode 27 is small, it becomes possible to suppress the sputter etching amount by the plasma with respect to the structural member in the process chamber 12, and the wafer 1 mounted in the process chamber 12. FIG.

また、本実施形態における反応ガス供給工程(S4)では、処理室12内の圧力を所定の着火圧力まで昇圧させてから、電極27に対して電力を供給する。そのため、処理室12内に着実にプラズマを着火させることが可能となる。また、プラズマが生成されるまで(着火するまで)の時間を短縮できる場合もある。この場合、所定の膜厚の薄膜を形成するために数100サイクルを繰り返すようなALD法による成膜方法では、基板処理工程全体としての所要時間を短縮できる場合もある。   In the reactive gas supply step (S4) in the present embodiment, the pressure in the processing chamber 12 is increased to a predetermined ignition pressure, and then power is supplied to the electrode 27. Therefore, it is possible to ignite plasma steadily in the processing chamber 12. In some cases, the time until plasma is generated (ignition) can be shortened. In this case, in the film forming method by the ALD method in which several hundred cycles are repeated in order to form a thin film having a predetermined thickness, the time required for the entire substrate processing process may be shortened.

また、本実施形態における反応ガス供給工程(S4)では、電極27へ供給する電力を大きくすることなく、プラズマを着火することが出来るようになるため、たとえ繰り返し工程(S6)を実施するとしても、安定してプラズマを生成することが可能となる。すなわち、電極27へ供給する電力を小さくしたとしても、プラズマ放電を安定させることが可能となり、ウェハ1の表面上に形成する薄膜の膜厚を均一にすることが出来る。   In the reactive gas supply step (S4) in the present embodiment, plasma can be ignited without increasing the power supplied to the electrode 27. Even if the repetition step (S6) is carried out. It is possible to generate plasma stably. That is, even if the power supplied to the electrode 27 is reduced, the plasma discharge can be stabilized and the film thickness of the thin film formed on the surface of the wafer 1 can be made uniform.

そして、本実施形態における反応ガス供給工程(S4)では、プラズマの着火後は、プラズマ放電を維持したまま処理室12内を減圧する。その結果、処理室12内に生成された活性粒子の迅速な拡散が促され、ウェハ1の表面への活性種の供給量を増加させ、ウェハ1の表面上での反応を促進させ、成膜速度を向上させることが出来る。   In the reactive gas supply step (S4) in the present embodiment, after the plasma is ignited, the inside of the processing chamber 12 is decompressed while maintaining the plasma discharge. As a result, the rapid diffusion of the active particles generated in the processing chamber 12 is promoted, the amount of active species supplied to the surface of the wafer 1 is increased, the reaction on the surface of the wafer 1 is promoted, and film formation is performed. Speed can be improved.

また、本実施形態にかかる原料ガス供給工程(S5)では、バッファタンク201k内と処理室12内の圧力差を利用して、バッファタンク201k内の原料ガスを所定時間以内に(ごく短時間で)処理室12内へと導入する。そして、処理室12内の原料ガスの圧力は例えば931Pa程度まで上昇し、ウェハ1の表面を高圧の原料ガスにより暴露される。その結果、ウェハ1の表面に吸着している反応ガスの活性粒子と原料ガスとの反応が促され、基板処理に要する時間を短縮させることが可能となる。特に、ALD法による成膜方法では、所定の膜厚の薄膜を形成するために数100サイクルを繰り返すことが多く、上述のように1サイクルに要する時間を短縮させることが出来れば、基板処理工程全体としての所要時間を大幅に短縮させることが可能となる。   In the source gas supply step (S5) according to the present embodiment, the source gas in the buffer tank 201k is changed within a predetermined time (in a very short time) using the pressure difference between the buffer tank 201k and the processing chamber 12. ) Introduce into the processing chamber 12. Then, the pressure of the raw material gas in the processing chamber 12 rises to about 931 Pa, for example, and the surface of the wafer 1 is exposed to the high pressure raw material gas. As a result, the reaction between the active particles of the reactive gas adsorbed on the surface of the wafer 1 and the source gas is promoted, and the time required for substrate processing can be shortened. In particular, in the film formation method by the ALD method, in order to form a thin film having a predetermined film thickness, many hundreds of cycles are repeated. If the time required for one cycle can be reduced as described above, the substrate processing step The overall time required can be greatly reduced.

また、本実施形態にかかる原料ガス供給工程(S5)では、バッファタンク201k内と処理室12内の圧力差を利用して、バッファタンク201k内の原料ガスを所定時間以内に(ごく短時間で)処理室12内へと導入する。従って、処理室12内へ原料ガスが均一に拡散されるまでの時間を短縮され、処理室12内に導入された各ウェハ1上に、より均一な厚さの薄膜を形成することが可能となる。   In the source gas supply step (S5) according to the present embodiment, the source gas in the buffer tank 201k is changed within a predetermined time (in a very short time) using the pressure difference between the buffer tank 201k and the processing chamber 12. ) Introduce into the processing chamber 12. Therefore, the time until the source gas is uniformly diffused into the processing chamber 12 is shortened, and a thin film having a more uniform thickness can be formed on each wafer 1 introduced into the processing chamber 12. Become.

また、本実施形態では、反応ガス供給工程(S4)と原料ガス充填工程(S4’)とを並行に実施する。これにより、各サイクルの実施に要する時間を短縮させ、基板処理に要する時間を短縮させることが可能となる。特に、ALD法による成膜方法では、所定の膜厚の薄膜を形成するために数100サイクルを繰り返すことが多く、上述のように1サイクルに要する時間を短縮させることが出来れば、基板処理工程全体としての所要時間を大幅に短縮させることが可能となる。   In the present embodiment, the reaction gas supply step (S4) and the source gas filling step (S4 ') are performed in parallel. As a result, the time required to execute each cycle can be reduced, and the time required for substrate processing can be reduced. In particular, in the film formation method by the ALD method, in order to form a thin film having a predetermined film thickness, many hundreds of cycles are repeated. If the time required for one cycle can be reduced as described above, the substrate processing step The overall time required can be greatly reduced.

また、本実施形態では、反応ガス供給工程(S4)において、バッファ室71内にて生
成された活性粒子を処理室12内に拡散させる際、処理室12内には原料ガスが存在しない。そのため、活性粒子がウェハ1の表面に到達するまでの間に気相反応が発生せず、ウェハ1の表面への活性種の供給量を増加させ、ウェハ1の表面上での反応を促進させ、成膜速度を向上させることが出来る。また、ウェハ1の表面温度を活性粒子の反応温度よりも低くしておくことにより、ウェハ1の表面に吸着した活性粒子の反応(分解)を抑制することが可能となる。
In the present embodiment, when the active particles generated in the buffer chamber 71 are diffused into the processing chamber 12 in the reaction gas supply step (S4), no source gas exists in the processing chamber 12. Therefore, no vapor phase reaction occurs until the active particles reach the surface of the wafer 1, the amount of active species supplied to the surface of the wafer 1 is increased, and the reaction on the surface of the wafer 1 is promoted. The film formation rate can be improved. In addition, by making the surface temperature of the wafer 1 lower than the reaction temperature of the active particles, it becomes possible to suppress the reaction (decomposition) of the active particles adsorbed on the surface of the wafer 1.

<本発明の他の実施形態>
上記においては、原料ガスとしてTiClガスを、反応ガスとしてHを用い、ウェハ1上にTi膜を成膜する基板処理工程や、原料ガスとしてDCSガスを、反応ガスとしてNHガスを用い、ウェハ1上にSiN膜を成膜する基板処理工程について述べたが、本発明はこれらの実施形態に限定されない。例えば、原料ガスとしてTaClを、反応ガスとしてHガスを用い、ウェハ1上にTa膜を成膜する際にも、好適に用いることが出来る。
<Other Embodiments of the Present Invention>
In the above, TiCl 4 gas is used as a source gas, H 2 is used as a reaction gas, a Ti film is formed on the wafer 1, a DCS gas is used as a source gas, and NH 3 gas is used as a reaction gas. Although the substrate processing step for forming the SiN film on the wafer 1 has been described, the present invention is not limited to these embodiments. For example, TaCl 5 is used as the source gas and H 2 gas is used as the reaction gas, and the Ta film can be suitably used when forming a Ta film on the wafer 1.

<本発明の他の実施態様>
以下に、本発明の他の実施態様について付記する。
<Other embodiments of the present invention>
Hereinafter, other embodiments of the present invention will be additionally described.

本発明の一態様によれば、
基板を処理する処理室と、
前記処理室内に処理ガスを供給するガス供給手段と、
前記処理室内に設けられ、電力が印加されることにより前記処理室内に供給された処理ガスを励起するプラズマを生成する少なくとも一対の電極と、
前記処理室内の雰囲気を排気する排気手段と、
前記処理室内におけるプラズマの着火を検出するプラズマ検出手段と、
前記ガス供給手段と前記排気手段とを制御する制御手段と、を備え、
前記制御手段は、前記電極への電力の印加が開始されてから前記プラズマ検出手段がプラズマの着火を検出する迄の間の前記処理室内の圧力が、前記プラズマ検出手段がプラズマの着火を検出してから前記電極への電力の印加が停止される迄の間の前記処理室内の圧力よりも高くなるように、前記ガス供給手段および前記排気手段を制御する
基板処理装置が提供される。
According to one aspect of the invention,
A processing chamber for processing the substrate;
Gas supply means for supplying a processing gas into the processing chamber;
At least a pair of electrodes that are provided in the processing chamber and generate plasma that excites a processing gas supplied into the processing chamber when electric power is applied;
Exhaust means for exhausting the atmosphere in the processing chamber;
Plasma detecting means for detecting ignition of plasma in the processing chamber;
Control means for controlling the gas supply means and the exhaust means,
The control means detects the pressure in the processing chamber from when the application of electric power to the electrode is started until the plasma detection means detects the ignition of the plasma, and the plasma detection means detects the ignition of the plasma. There is provided a substrate processing apparatus for controlling the gas supply means and the exhaust means so as to be higher than the pressure in the processing chamber after the application of electric power to the electrode is stopped.

好ましくは、前記処理室内の圧力を検出する圧力検出手段を備える。   Preferably, pressure detection means for detecting the pressure in the processing chamber is provided.

本発明の他の態様によれば、
処理室内に処理ガスを供給する工程と、
前記処理室内に設けられた少なくとも一対の電極に電力を印加して前記処理室内にプラズマを生成する工程と、
前記プラズマにより前記処理ガスを励起させる工程と、を有し、
前記プラズマを生成する工程では、
前記電極への電力の印加を開始してから前記プラズマが着火する迄の間の前記処理室内の圧力を、前記プラズマが着火してから前記電極への電力の印加を停止する迄の前記処理室内の圧力よりも高くする
半導体装置の製造方法が提供される。
According to another aspect of the invention,
Supplying a processing gas into the processing chamber;
Applying power to at least a pair of electrodes provided in the processing chamber to generate plasma in the processing chamber;
Exciting the process gas with the plasma,
In the step of generating the plasma,
The pressure in the processing chamber from when the application of power to the electrode is started until the plasma is ignited, and the processing chamber from when the plasma is ignited until the application of power to the electrode is stopped There is provided a method for manufacturing a semiconductor device in which the pressure is higher than the above pressure.

本発明の他の態様によれば、
処理室内に基板を搬入する工程と、
前記処理室内に第1の処理ガスを供給して前記基板の表面に吸着させる工程と、前記処理室内に第2の処理ガスを供給する工程と、前記処理室内に設けられた少なくとも一対の電極に電力を印加して前記処理室内にプラズマを生成する工程と、前記プラズマにより前
記第2の処理ガスを励起させる工程と、前記基板の表面に吸着した第1の処理ガスと前記プラズマにより励起された第2の処理ガスとを反応させて前記基板の表面に薄膜を形成する工程と、を1サイクルとしてこのサイクルを複数回繰り返し、前記基板上に所望膜厚の薄膜を形成する工程と、
所望膜厚の薄膜形成後の前記基板を前記処理室内から搬出する工程と、を有し、
前記プラズマを生成する工程では、
前記電極への電力の印加を開始してから前記プラズマが着火する迄の前記処理室内の圧力を、前記プラズマが着火してから前記電極への電力の印加を停止する迄の前記処理室内の圧力よりも高くする
半導体装置の製造方法が提供される。
According to another aspect of the invention,
A step of carrying the substrate into the processing chamber;
Supplying a first processing gas into the processing chamber and adsorbing the first processing gas to the surface of the substrate; supplying a second processing gas into the processing chamber; and at least a pair of electrodes provided in the processing chamber. A step of generating power in the processing chamber by applying electric power, a step of exciting the second processing gas by the plasma, a first processing gas adsorbed on the surface of the substrate, and the plasma excited by the plasma Forming a thin film on the surface of the substrate by reacting with a second processing gas, and repeating the cycle a plurality of times as one cycle to form a thin film with a desired film thickness on the substrate;
Carrying out the substrate after forming a thin film having a desired film thickness from the processing chamber,
In the step of generating the plasma,
The pressure in the processing chamber from when the application of electric power to the electrode is started until the plasma is ignited, and the pressure in the processing chamber from when the plasma is ignited until the application of electric power to the electrode is stopped A method of manufacturing a semiconductor device is provided.

本発明の一実施形態にかかる基板処理装置の概略構成図である。It is a schematic block diagram of the substrate processing apparatus concerning one Embodiment of this invention. 本発明の一実施形態にかかる処理炉の平断面図である。It is a plane sectional view of the processing furnace concerning one embodiment of the present invention. 本発明の一実施形態にかかる処理炉のA−A’線に沿う縦断面図である。It is a longitudinal cross-sectional view which follows the A-A 'line of the processing furnace concerning one Embodiment of this invention. 本発明の一実施形態にかかる処理炉のB−B’線に沿う縦断面図である。It is a longitudinal cross-sectional view which follows the B-B 'line | wire of the processing furnace concerning one Embodiment of this invention. 本発明の一実施形態にかかる処理炉の備えるガス供給ライン及び排気ラインの概略構成図である。It is a schematic block diagram of the gas supply line and exhaust line with which the processing furnace concerning one Embodiment of this invention is provided. 本発明の一実施形態にかかるガスの供給シーケンス、処理室内の圧力変化、及び電極へ印加する電圧変化を示すグラフ図である。It is a graph which shows the supply sequence of the gas concerning one Embodiment of this invention, the pressure change in a process chamber, and the voltage change applied to an electrode. 本発明の他の実施形態にかかる処理炉の平断面図である。It is a plane sectional view of the processing furnace concerning other embodiments of the present invention. 本発明の他の実施形態にかかる処理炉の備えるガス供給ライン及び排気ラインの概略構成図である。It is a schematic block diagram of the gas supply line and exhaust line with which the processing furnace concerning other embodiment of this invention is provided. 本発明の一実施形態にかかる基板処理工程のフロー図である。It is a flowchart of the substrate processing process concerning one Embodiment of this invention. 本発明の他の実施形態にかかる基板処理工程のフロー図である。It is a flowchart of the substrate processing process concerning other embodiment of this invention.

符号の説明Explanation of symbols

1 ウェハ(基板)
10c コントローラ(制御手段)
12 処理室
16 排気ライン(排気手段)
20 ガス供給ライン(ガス供給手段)
27 電極
50 プラズマ着火センサ(プラズマ検出手段)
201 原料ガス供給ライン(ガス供給ライン)
202 反応ガス供給ライン(ガス供給ライン)
1 Wafer (substrate)
10c controller (control means)
12 Processing chamber 16 Exhaust line (exhaust means)
20 Gas supply line (gas supply means)
27 Electrode 50 Plasma ignition sensor (plasma detection means)
201 Raw material gas supply line (gas supply line)
202 Reaction gas supply line (gas supply line)

Claims (1)

基板を処理する処理室と、
前記処理室内に処理ガスを供給するガス供給手段と、
前記処理室内に設けられ、電力が印加されることにより前記処理室内に供給された処理ガスを励起するプラズマを生成する少なくとも一対の電極と、
前記処理室内の雰囲気を排気する排気手段と、
前記処理室内におけるプラズマの着火を検出するプラズマ検出手段と、
前記ガス供給手段と前記排気手段とを制御する制御手段と、を備え、
前記制御手段は、前記電極への電力の印加が開始されてから前記プラズマ検出手段がプラズマの着火を検出する迄の間の前記処理室内の圧力が、前記プラズマ検出手段がプラズマの着火を検出してから前記電極への電力の印加が停止される迄の間の前記処理室内の圧力よりも高くなるように、前記ガス供給手段および前記排気手段を制御する
ことを特徴とする基板処理装置。
A processing chamber for processing the substrate;
Gas supply means for supplying a processing gas into the processing chamber;
At least a pair of electrodes that are provided in the processing chamber and generate plasma that excites a processing gas supplied into the processing chamber when electric power is applied;
Exhaust means for exhausting the atmosphere in the processing chamber;
Plasma detecting means for detecting ignition of plasma in the processing chamber;
Control means for controlling the gas supply means and the exhaust means,
The control means detects the pressure in the processing chamber from when the application of electric power to the electrode is started until the plasma detection means detects the ignition of the plasma, and the plasma detection means detects the ignition of the plasma. The substrate processing apparatus is characterized in that the gas supply means and the exhaust means are controlled so as to be higher than the pressure in the processing chamber after the application of power to the electrode is stopped.
JP2007040365A 2007-02-21 2007-02-21 Substrate-treating apparatus Pending JP2008202107A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2007040365A JP2008202107A (en) 2007-02-21 2007-02-21 Substrate-treating apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007040365A JP2008202107A (en) 2007-02-21 2007-02-21 Substrate-treating apparatus

Publications (1)

Publication Number Publication Date
JP2008202107A true JP2008202107A (en) 2008-09-04

Family

ID=39779903

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007040365A Pending JP2008202107A (en) 2007-02-21 2007-02-21 Substrate-treating apparatus

Country Status (1)

Country Link
JP (1) JP2008202107A (en)

Cited By (335)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010111888A (en) * 2008-11-04 2010-05-20 Tokyo Electron Ltd METHOD FOR DEPOSITING Ti FILM, FILM DEPOSITION SYSTEM AND STORAGE MEDIUM
US8742668B2 (en) 2012-09-05 2014-06-03 Asm Ip Holdings B.V. Method for stabilizing plasma ignition
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
JP7402383B2 (en) 2020-11-18 2023-12-20 北京北方華創微電子装備有限公司 Semiconductor process equipment, its reaction chamber and film layer deposition method
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US12020934B2 (en) 2021-04-16 2024-06-25 Asm Ip Holding B.V. Substrate processing method

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002203795A (en) * 2000-12-28 2002-07-19 Tadahiro Omi Operation control method and device of plasma reactor system
JP2002343600A (en) * 2001-05-21 2002-11-29 Tokyo Ohka Kogyo Co Ltd Inductive coupling plasma igniting method
JP2004168359A (en) * 2002-11-20 2004-06-17 Mitsubishi Shoji Plast Kk Method for manufacturing of dlc film coating plastic container
JP2005330542A (en) * 2004-05-20 2005-12-02 Utec:Kk Plasma cvd film deposition system, method for confirming ignition of plasma, method for confirming property of cvd film and method for confirming stain of system
WO2006026350A2 (en) * 2004-08-27 2006-03-09 Asm International N.V. Low temperature silicon compound deposition

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002203795A (en) * 2000-12-28 2002-07-19 Tadahiro Omi Operation control method and device of plasma reactor system
JP2002343600A (en) * 2001-05-21 2002-11-29 Tokyo Ohka Kogyo Co Ltd Inductive coupling plasma igniting method
JP2004168359A (en) * 2002-11-20 2004-06-17 Mitsubishi Shoji Plast Kk Method for manufacturing of dlc film coating plastic container
JP2005330542A (en) * 2004-05-20 2005-12-02 Utec:Kk Plasma cvd film deposition system, method for confirming ignition of plasma, method for confirming property of cvd film and method for confirming stain of system
WO2006026350A2 (en) * 2004-08-27 2006-03-09 Asm International N.V. Low temperature silicon compound deposition

Cited By (431)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010111888A (en) * 2008-11-04 2010-05-20 Tokyo Electron Ltd METHOD FOR DEPOSITING Ti FILM, FILM DEPOSITION SYSTEM AND STORAGE MEDIUM
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8742668B2 (en) 2012-09-05 2014-06-03 Asm Ip Holdings B.V. Method for stabilizing plasma ignition
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US12000042B2 (en) 2016-12-15 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11996304B2 (en) 2019-07-16 2024-05-28 Asm Ip Holding B.V. Substrate processing device
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
JP7402383B2 (en) 2020-11-18 2023-12-20 北京北方華創微電子装備有限公司 Semiconductor process equipment, its reaction chamber and film layer deposition method
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US12020934B2 (en) 2021-04-16 2024-06-25 Asm Ip Holding B.V. Substrate processing method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US12020938B2 (en) 2022-07-07 2024-06-25 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode

Similar Documents

Publication Publication Date Title
JP2008202107A (en) Substrate-treating apparatus
US8590484B2 (en) Semiconductor device manufacturing method and substrate processing apparatus
KR101521466B1 (en) Gas supply apparatus, thermal treatment apparatus, gas supply method, and thermal treatment method
US8093072B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
JP6016542B2 (en) Reaction tube, substrate processing apparatus, and semiconductor device manufacturing method
JP5208294B2 (en) Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
WO2005083766A1 (en) Substrate processing device
JP2011068984A (en) Method for manufacturing semiconductor device, cleaning method and substrate treatment apparatus
JPWO2006093136A1 (en) Substrate processing apparatus and semiconductor device manufacturing method
JP5568212B2 (en) Substrate processing apparatus, coating method therefor, substrate processing method, and semiconductor device manufacturing method
JP2009088315A (en) Substrate processing apparatus
JP2013151722A (en) Method for manufacturing semiconductor device
TWI754364B (en) Substrate processing apparatus and manufacturing method of semiconductor device
JP4566787B2 (en) Substrate processing apparatus and semiconductor device manufacturing method
JP5888820B2 (en) Substrate processing apparatus, cleaning method, and semiconductor device manufacturing method
JP2005057133A (en) Method for manufacturing semiconductor device and substrate processing apparatus
JP2012049349A (en) Substrate processing apparatus
JP2005243737A (en) Substrate processing apparatus
JP2005167027A (en) Substrate processing apparatus
JP4509697B2 (en) Substrate processing equipment
JP4634155B2 (en) Substrate processing apparatus and film forming method
JP2010118441A (en) Method of manufacturing semiconductor device
JP2006287153A (en) Substrate treatment apparatus
JP2009277899A (en) Substrate processing method
JP2005277264A (en) Substrate processing apparatus

Legal Events

Date Code Title Description
A621 Written request for application examination

Effective date: 20090928

Free format text: JAPANESE INTERMEDIATE CODE: A621

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111104

A131 Notification of reasons for refusal

Effective date: 20120815

Free format text: JAPANESE INTERMEDIATE CODE: A131

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20121227