AU2001224729A1 - Segmented electrode assembly and method for plasma processing - Google Patents

Segmented electrode assembly and method for plasma processing

Info

Publication number
AU2001224729A1
AU2001224729A1 AU2001224729A AU2472901A AU2001224729A1 AU 2001224729 A1 AU2001224729 A1 AU 2001224729A1 AU 2001224729 A AU2001224729 A AU 2001224729A AU 2472901 A AU2472901 A AU 2472901A AU 2001224729 A1 AU2001224729 A1 AU 2001224729A1
Authority
AU
Australia
Prior art keywords
electrode assembly
plasma processing
segmented electrode
segmented
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
AU2001224729A
Inventor
Wayne L. Johnson
Andrej S. Mitrovic
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of AU2001224729A1 publication Critical patent/AU2001224729A1/en
Abandoned legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
AU2001224729A 2000-01-10 2001-01-03 Segmented electrode assembly and method for plasma processing Abandoned AU2001224729A1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17528400P 2000-01-10 2000-01-10
US60175284 2000-01-10
PCT/US2001/000120 WO2001052302A1 (en) 2000-01-10 2001-01-03 Segmented electrode assembly and method for plasma processing

Publications (1)

Publication Number Publication Date
AU2001224729A1 true AU2001224729A1 (en) 2001-07-24

Family

ID=22639688

Family Applications (1)

Application Number Title Priority Date Filing Date
AU2001224729A Abandoned AU2001224729A1 (en) 2000-01-10 2001-01-03 Segmented electrode assembly and method for plasma processing

Country Status (5)

Country Link
US (2) US6863020B2 (en)
JP (1) JP5165825B2 (en)
AU (1) AU2001224729A1 (en)
TW (1) TW498706B (en)
WO (1) WO2001052302A1 (en)

Families Citing this family (308)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001076326A1 (en) * 2000-03-30 2001-10-11 Tokyo Electron Limited Optical monitoring and control system and method for plasma reactors
WO2002007184A2 (en) * 2000-07-13 2002-01-24 Tokyo Electron Limited Adjustable segmented electrode apparatus and method
US20030080839A1 (en) * 2001-10-31 2003-05-01 Wong Marvin Glenn Method for improving the power handling capacity of MEMS switches
JP4121269B2 (en) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 Plasma CVD apparatus and method for performing self-cleaning
AU2003266866A1 (en) * 2002-09-19 2004-04-08 Vlaamse Instelling Voor Technologisch Onderzoek (Vito) Method and apparatus for generating and maintaining a plasma
BRPI0407155A (en) * 2003-01-31 2006-02-07 Dow Corning Ireland Ltd Plasma Generation Electrode Set
US7208067B2 (en) 2003-03-27 2007-04-24 Tokyo Electron Limited Method and system for monitoring RF impedance to determine conditions of a wafer on an electrostatic chuck
US7296534B2 (en) * 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
KR100585089B1 (en) * 2003-05-27 2006-05-30 삼성전자주식회사 Plasma processing apparatus for processing the edge of wafer, insulating plate for plasma processing, bottom electrode for plasma processing, method of plasma processing the edge of wafer and method of fabricating semiconductor device using the same
EP1521509B1 (en) * 2003-09-30 2013-11-06 FUJIFILM Manufacturing Europe B.V. Method and arrangement for generating an atmospheric pressure glow plasma
WO2005081592A1 (en) * 2004-02-20 2005-09-01 The University Of Sydney An apparatus for plasma treatment
US20060191638A1 (en) * 2005-02-28 2006-08-31 International Business Machines Corporation Etching apparatus for semiconductor fabrication
IES20050301A2 (en) * 2005-05-11 2006-11-15 Univ Dublin City Plasma source
US7885774B2 (en) * 2005-06-10 2011-02-08 Bird Technologies Group Inc. System and method for analyzing power flow in semiconductor plasma generation systems
US20060278339A1 (en) * 2005-06-13 2006-12-14 Lam Research Corporation, A Delaware Corporation Etch rate uniformity using the independent movement of electrode pieces
CN100462300C (en) * 2005-07-29 2009-02-18 鸿富锦精密工业(深圳)有限公司 Growing device of carbon nano-tube
JP4674512B2 (en) * 2005-09-12 2011-04-20 パナソニック株式会社 Plasma processing equipment
US7683289B2 (en) * 2005-12-16 2010-03-23 Lam Research Corporation Apparatus and method for controlling plasma density profile
US20070170155A1 (en) * 2006-01-20 2007-07-26 Fink Steven T Method and apparatus for modifying an etch profile
JP4929759B2 (en) * 2006-03-02 2012-05-09 大日本印刷株式会社 Plasma processing method
JP4853049B2 (en) * 2006-03-02 2012-01-11 大日本印刷株式会社 Plasma processing method
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
KR100737755B1 (en) * 2006-08-10 2007-07-10 세메스 주식회사 Plasma generator, apparatus including the same and method for treating substrate
US7829815B2 (en) * 2006-09-22 2010-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable electrodes and coils for plasma density distribution control
US8017029B2 (en) * 2006-10-30 2011-09-13 Applied Materials, Inc. Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US20080099437A1 (en) * 2006-10-30 2008-05-01 Richard Lewington Plasma reactor for processing a transparent workpiece with backside process endpoint detection
US9218944B2 (en) * 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US7967930B2 (en) * 2006-10-30 2011-06-28 Applied Materials, Inc. Plasma reactor for processing a workpiece and having a tunable cathode
US8002946B2 (en) * 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US8012366B2 (en) * 2006-10-30 2011-09-06 Applied Materials, Inc. Process for etching a transparent workpiece including backside endpoint detection steps
US7976671B2 (en) * 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US7732728B2 (en) * 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8435379B2 (en) 2007-05-08 2013-05-07 Applied Materials, Inc. Substrate cleaning chamber and cleaning and conditioning methods
TWI440405B (en) * 2007-10-22 2014-06-01 New Power Plasma Co Ltd Capacitively coupled plasma reactor
JP2009123934A (en) * 2007-11-15 2009-06-04 Tokyo Electron Ltd Plasma treatment apparatus
EP2232958A4 (en) * 2007-12-25 2011-01-19 Applied Materials Inc Asymmetrical rf drive for electrode of plasma chamber
CN101933402B (en) * 2008-01-31 2013-03-27 应用材料公司 Multiple phase RF power for electrode of plasma chamber
TWI516175B (en) * 2008-02-08 2016-01-01 蘭姆研究公司 A method to stabilize pressure in a plasma processing chamber, and a program storage medium of same
JP5223377B2 (en) * 2008-02-29 2013-06-26 東京エレクトロン株式会社 Electrode for plasma processing apparatus, plasma processing apparatus and plasma processing method
US7767986B2 (en) * 2008-06-20 2010-08-03 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for controlling beam current uniformity in an ion implanter
US8367965B2 (en) * 2008-08-28 2013-02-05 Hermes-Epitek Corp. Electrode design for plasma processing chamber
US8382941B2 (en) 2008-09-15 2013-02-26 Micron Technology, Inc. Plasma reactor with adjustable plasma electrodes and associated methods
WO2010094002A2 (en) * 2009-02-13 2010-08-19 Applied Materials, Inc. Rf bus and rf return bus for plasma chamber electrode
JP5248370B2 (en) * 2009-03-10 2013-07-31 東京エレクトロン株式会社 Shower head and plasma processing apparatus
JP5643528B2 (en) * 2009-03-30 2014-12-17 東京エレクトロン株式会社 Substrate processing equipment
TWI522013B (en) * 2009-03-30 2016-02-11 Tokyo Electron Ltd Plasma processing device and plasma processing method
KR101585893B1 (en) * 2009-05-31 2016-01-15 위순임 Compound plasma reactor
CN103597119B (en) 2009-07-08 2017-03-08 艾克斯特朗欧洲公司 Apparatus and method for corona treatment
WO2011041332A2 (en) * 2009-09-29 2011-04-07 Applied Materials, Inc. Off-center ground return for rf-powered showerhead
JP5592129B2 (en) * 2010-03-16 2014-09-17 東京エレクトロン株式会社 Plasma processing equipment
JP5498217B2 (en) * 2010-03-24 2014-05-21 株式会社ダイヘン High frequency measuring device and calibration method of high frequency measuring device
US8652297B2 (en) * 2010-08-03 2014-02-18 Applied Materials, Inc. Symmetric VHF plasma power coupler with active uniformity steering
US8765232B2 (en) 2011-01-10 2014-07-01 Plasmasi, Inc. Apparatus and method for dielectric deposition
US8900403B2 (en) 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
SG193614A1 (en) * 2011-05-10 2013-10-30 Lam Res Corp Semiconductor processing system having multiple decoupled plasma sources
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130044899A1 (en) * 2011-08-15 2013-02-21 Harman International Industries, Inc. Dual Backplate Microphone
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US9396900B2 (en) 2011-11-16 2016-07-19 Tokyo Electron Limited Radio frequency (RF) power coupling system utilizing multiple RF power coupling elements for control of plasma properties
JP2012109605A (en) * 2012-02-13 2012-06-07 Ulvac Japan Ltd Plasma cvd apparatus
KR101932169B1 (en) * 2012-03-23 2018-12-27 삼성디스플레이 주식회사 Apparatus and method for treating substrate
US9299956B2 (en) 2012-06-13 2016-03-29 Aixtron, Inc. Method for deposition of high-performance coatings and encapsulated electronic devices
US10526708B2 (en) 2012-06-19 2020-01-07 Aixtron Se Methods for forming thin protective and optical layers on substrates
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140141619A1 (en) * 2012-11-19 2014-05-22 Tokyo Electron Limited Capacitively coupled plasma equipment with uniform plasma density
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN107516626B (en) * 2013-07-19 2021-03-26 朗姆研究公司 System and method for in-situ wafer edge and backside plasma cleaning
US10515813B2 (en) * 2013-12-10 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for etching apparatus and etching-detection method
JP6383674B2 (en) * 2014-02-19 2018-08-29 東京エレクトロン株式会社 Substrate processing equipment
US9336997B2 (en) 2014-03-17 2016-05-10 Applied Materials, Inc. RF multi-feed structure to improve plasma uniformity
CN103915304B (en) * 2014-03-18 2016-08-17 京东方科技集团股份有限公司 A kind of plasma etching apparatus and dry etching equipment
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US20170314133A1 (en) * 2016-04-29 2017-11-02 Retro-Semi Technologies, Llc Plasma reactor having divided electrodes
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
TWI733021B (en) * 2017-05-15 2021-07-11 美商應用材料股份有限公司 Plasma source assembly, processing chamber, and method of processing substrate
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US10536130B2 (en) 2017-08-29 2020-01-14 Mks Instruments, Inc. Balancing RF circuit and control for a cross-coupled SIMO distribution network
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10510575B2 (en) * 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
JP6863199B2 (en) 2017-09-25 2021-04-21 トヨタ自動車株式会社 Plasma processing equipment
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (en) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11081317B2 (en) * 2018-04-20 2021-08-03 Applied Materials, Inc. Modular high-frequency source
TW202344708A (en) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
JP7451540B2 (en) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド Feedback loop for controlling pulsed voltage waveforms
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
EP3987079A4 (en) * 2019-06-24 2023-03-01 TRUMPF Huettinger Sp. Z o. o. Method of adjusting the output power of a power supply supplying electrical power to a plasma, plasma apparatus and power supply
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
CN110379701A (en) * 2019-07-24 2019-10-25 沈阳拓荆科技有限公司 Wafer support seat with tunable radio frequency component
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
CN112992667A (en) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
JP7458292B2 (en) * 2020-10-20 2024-03-29 東京エレクトロン株式会社 Plasma Processing Equipment
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
US11776793B2 (en) 2020-11-13 2023-10-03 Applied Materials, Inc. Plasma source with ceramic electrode plate
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
US11705312B2 (en) * 2020-12-26 2023-07-18 Applied Materials, Inc. Vertically adjustable plasma source
CN113013006B (en) * 2021-03-03 2022-01-21 长江存储科技有限责任公司 Upper electrode and reaction chamber
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
WO2024015258A1 (en) * 2022-07-11 2024-01-18 Lam Research Corporation Apparatus and method for modulating spatial distribution of plasma and ion energy using frequency-dependent transmission line

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
USRE30601E (en) * 1978-12-11 1981-05-05 International Business Machines Corporation Alignment apparatus
JPS5723227A (en) * 1980-07-17 1982-02-06 Nippon Telegr & Teleph Corp <Ntt> Plasma etching device
US4547247A (en) * 1984-03-09 1985-10-15 Tegal Corporation Plasma reactor chuck assembly
US5006760A (en) 1987-01-09 1991-04-09 Motorola, Inc. Capacitive feed for plasma reactor
JPS63193527A (en) * 1987-02-06 1988-08-10 Mitsubishi Electric Corp Etching apparatus
US4885074A (en) * 1987-02-24 1989-12-05 International Business Machines Corporation Plasma reactor having segmented electrodes
JPH029115A (en) * 1988-06-28 1990-01-12 Mitsubishi Electric Corp Semiconductor manufacturing equipment
DE4025396A1 (en) * 1990-08-10 1992-02-13 Leybold Ag DEVICE FOR PRODUCING A PLASMA
US5057185A (en) * 1990-09-27 1991-10-15 Consortium For Surface Processing, Inc. Triode plasma reactor with phase modulated plasma control
JP2570090B2 (en) * 1992-10-08 1997-01-08 日本電気株式会社 Dry etching equipment
KR100276093B1 (en) * 1992-10-19 2000-12-15 히가시 데쓰로 Plasma etching system
AU2003195A (en) 1994-06-21 1996-01-04 Boc Group, Inc., The Improved power distribution for multiple electrode plasma systems using quarter wavelength transmission lines
JPH08250488A (en) * 1995-01-13 1996-09-27 Seiko Epson Corp Device and method for plasma treatment
US5855679A (en) * 1995-03-30 1999-01-05 Nec Corporation Semiconductor manufacturing apparatus
US6042686A (en) * 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
TW312815B (en) * 1995-12-15 1997-08-11 Hitachi Ltd
JP3437376B2 (en) * 1996-05-21 2003-08-18 キヤノン株式会社 Plasma processing apparatus and processing method
US5994678A (en) * 1997-02-12 1999-11-30 Applied Materials, Inc. Apparatus for ceramic pedestal and metal shaft assembly
CA2202457A1 (en) * 1997-04-11 1998-10-11 Telecommunications Research Laboratories Microwave phase shifter including a reflective phase shift stage and a frequency multiplication stage
JPH10289881A (en) * 1997-04-15 1998-10-27 Kokusai Electric Co Ltd Plasma cvd device
JP2001516963A (en) * 1997-09-17 2001-10-02 東京エレクトロン株式会社 System and method for monitoring and managing gas plasma processing
JP2000208483A (en) * 1999-01-08 2000-07-28 Mitsubishi Electric Corp Method and system for processing wafer
US6350317B1 (en) * 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system

Also Published As

Publication number Publication date
JP2003520428A (en) 2003-07-02
WO2001052302A1 (en) 2001-07-19
US6863020B2 (en) 2005-03-08
US20020170676A1 (en) 2002-11-21
JP5165825B2 (en) 2013-03-21
US20030137250A1 (en) 2003-07-24
TW498706B (en) 2002-08-11
US6962664B2 (en) 2005-11-08

Similar Documents

Publication Publication Date Title
AU2001224729A1 (en) Segmented electrode assembly and method for plasma processing
AU4856299A (en) Electrode for plasma processes and method for manufacture and use thereof
AU2001231132A1 (en) Plasma processing system and method
AU2001279189A1 (en) Plasma processing method and apparatus
AU2001259557A1 (en) Method of adjusting the thickness of an electrode in a plasma processing system
AU2002306930A1 (en) Plasma processor and method for operating same
AU2001265093A1 (en) Methods and apparatus for plasma processing
AU2002349205A1 (en) Electric discharge machining electrode and method
AU2003284682A1 (en) Plasma processing system and method and electrode plate of plasma processing system
AU2003244166A1 (en) Plasma processing method
AU2003243016A1 (en) Plasma processing apparatus and plasma processing method
AU2003284605A1 (en) Plasma processing apparatus and plasma processing method
AU2003211351A1 (en) Plasma processing device and plasma processing method
AU2003284684A1 (en) Plasma processing apparatus and method
EP1100119A4 (en) Plasma processing method
AU2001281306A1 (en) Adjustable segmented electrode apparatus and method
AU2003294492A1 (en) Plasma processing system and method
AU2003284598A1 (en) Plasma processing apparatus and plasma processing method
EP1119033A4 (en) Plasma processing method
AU2003261790A1 (en) Plasma processing method and plasma processing device
AU2001270163A1 (en) Vacuum plasma processor apparatus and method
AU2003278885A1 (en) Plasma processing system and method
EP1091396A3 (en) Plasma processing method
AU3853900A (en) Arc detection method and apparatus
AU2001237845A1 (en) Plasma ion source and method