US20180187303A1 - Lanthanide precursors and deposition of lanthanide-containing films using the same - Google Patents

Lanthanide precursors and deposition of lanthanide-containing films using the same Download PDF

Info

Publication number
US20180187303A1
US20180187303A1 US15/396,221 US201615396221A US2018187303A1 US 20180187303 A1 US20180187303 A1 US 20180187303A1 US 201615396221 A US201615396221 A US 201615396221A US 2018187303 A1 US2018187303 A1 US 2018187303A1
Authority
US
United States
Prior art keywords
cme
buo
cet
nme
pro
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US15/396,221
Inventor
Satoko GATINEAU
DaeHyeon Kim
Wontae NOH
Jean-Marc Girard
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority to US15/396,221 priority Critical patent/US20180187303A1/en
Assigned to L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude reassignment L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GIRARD, JEAN-MARC, GATINEAU, SATOKO, KIM, DaeHyeon, NOH, WONTAE
Priority to JP2019530435A priority patent/JP7253490B2/en
Priority to KR1020197020790A priority patent/KR102503211B1/en
Priority to CN201780076504.3A priority patent/CN110062817A/en
Priority to PCT/IB2017/001721 priority patent/WO2018122608A1/en
Publication of US20180187303A1 publication Critical patent/US20180187303A1/en
Priority to US16/747,129 priority patent/US11242597B2/en
Abandoned legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/409Oxides of the type ABO3 with A representing alkali, alkaline earth metal or lead and B representing a refractory metal, nickel, scandium or a lanthanide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Definitions

  • lanthanide-containing film forming compositions comprising Lanthanide precursors having the general formulae, L-Ln-C 5 R 4 -[ER 2 ) m -(ER 2 ) n -L′]-, L-Ln-C 4 AR 3 -3-[(ER 2 ) m -(ER 2 ) n -L′]-, L-Ln-C 3 (m-A 2 )R 2 -4-[(ER 2 ) m -(ER 2 ) n -L′]-, wherein Ln is selected from Lanthanide elements consisting of La, Y, Sc, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb and Lu bonded in an ⁇ 5 bonding mode to the aromatic ring group; A is independently N, Si, B, P or O; each E is independently C, Si, B or P; m and n are independently 0, 1 or 2; m+n>1;
  • ALD atomic layer deposition
  • Lanthanum 2,2-6,6-tetramethylheptanedionate's [La(tmhd) 3 ] melting point is as high as 230° C.
  • Lanthanum tris(bis(trimethylsilyl)amido) [La(tmsa) 3 ] melting point is 150° C. Additionally, the delivery efficiency of those precursors is very difficult to control.
  • Non-substituted cyclopentadienyl compounds also exhibit low volatility with a high melting point. Molecule design may both help improve volatility and reduce the melting point. However, in process conditions, these classes of materials have been proven to have limited use. For instance, La(iPrCp) 3 does not allow an ALD regime above 225° C.
  • Cp bridged Y and Lu compounds are synthesized and may be used for catalysts or precursors for rare-earth oxide thin films.
  • F Edelmann discloses the Cp-one ligand bridged Y compound Me 4 Cp-SiMe 2 -N(ph)- Y-(F Edelmann, “Lanthanide Aamidinates and guanidinates: from laboratory curiosities to efficient homogeneous catalysts and precursors for rare-earth oxide thin films”, Chem. Soc. Rev., 2009, 38, p2253-2268).
  • Lanthanide precursors currently available present many drawbacks when used in a deposition process. Consequently, there exists a need for alternate precursors for deposition of Lanthanide-containing films.
  • Lanthanide-containing film forming compositions comprising Lanthanide precursors having the general formulae:
  • Ln is selected from Lanthanide elements consisting of La, Y, Sc, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb and Lu bonded in an ⁇ 5 bonding mode to the aromatic ring group;
  • A is independently N, Si, B, P or O;
  • each E is independently C, Si, B or P;
  • m and n are independently 0, 1 or 2; m+n>1;
  • each R is independently an H or a C 1 -C 4 hydrocarbyl group; adjacent Rs may be joined to form a hydrocarbyl ring;
  • L is a ⁇ 1 anionic ligand selected from the group consisting of NR′ 2 , OR′, Cp, amidinate, ⁇ -diketonate, or keto-iminate, wherein R′ is an H or a C 1 -C 4 . hydrocarbon group; adjacent R′s may be joined to form a hydrocarbyl ring; and L′ is NR
  • the disclosed Lanthanide-containing film forming compositions may further include one or more of the following aspects:
  • the Lanthanide precursor being Cp-Tm—C 5 H 3 -1-Me-3-[(CH 2 ) 2 —O]-;
  • the Lanthanide precursors disclosed above are introduced into a reactor having a substrate disposed therein. At least part of the Lanthanide precursor is deposited onto the substrate to form the Lanthanide-containing film on the substrate using a vapor deposition process.
  • the disclosed method may optionally include one or more of the following aspects:
  • Lanthanide-containing film coated substrates comprising the product of the disclosed methods.
  • the indefinite article “a” or “an” means one or more.
  • the term “independently” when used in the context of describing R groups should be understood to denote that the subject R group is not only independently selected relative to other R groups bearing the same or different subscripts or superscripts, but is also independently selected relative to any additional species of that same R group.
  • the two or three R 1 groups may, but need not be identical to each other or to R 2 or to R 3 .
  • values of R groups are independent of each other when used in different formulas.
  • hydrocarbyl group refers to a functional group containing carbon and hydrogen; the term “alkyl group” refers to saturated functional groups containing exclusively carbon and hydrogen so atoms.
  • the hydrocarbyl group may be saturated or unsaturated.
  • Either term refers to linear, branched, or cyclic groups. Examples of linear alkyl groups include without limitation, methyl groups, ethyl groups, propyl groups, butyl groups, etc. Examples of branched alkyls groups include without limitation, t-butyl. Examples of cyclic alkyl groups include without limitation, cyclopropyl groups, cyclopentyl groups, cyclohexyl groups, etc.
  • the abbreviation “Me” refers to a methyl group
  • the abbreviation “Et” refers to an ethyl group
  • the abbreviation “Pr” refers to a propyl group
  • the abbreviation “ n Pr” refers to a “normal” or linear propyl group
  • the abbreviation “ i Pr” refers to an isopropyl group
  • the abbreviation “Bu” refers to a butyl group
  • the abbreviation “ n Bu” refers to a “normal” or linear butyl group
  • the abbreviation “ t Bu” refers to a fed-butyl group, also known as 1,1-dimethylethyl
  • the abbreviation “ s Bu” refers to a sec-butyl group, also known as 1-methylpropyl
  • the abbreviation “ i Bu” refers to an iso-butyl group, also known as 2-methylpropyl
  • the abbreviation “ortho-” or “o-” refers to an aromatic ring having carbon replacements at 1,2 positions; the abbreviation “meta-” or “m-” refers to an aromatic ring having carbon replacements at 1,3 positions; the abbreviation “para-” or “p-” refers to an six-memebered aromatic ring having carbon replacements at 1,4 positions.
  • the compounds shown in following structure formula are represented by (Me 2 N)—La—O 3 (m-A 2 )H 2 -4-(CH 2 —CH 2 —NMe)-,
  • La is bonded in an ⁇ 5 bonding mode to the aromatic ring group;
  • A is independently N, Si, B or P.
  • ⁇ 5 is the hapticity of the above precursors representing five contiguous atoms of the aromatic ring group bonded to the La atom.
  • Ln is selected from Lanthanide elements consisting of La, Y, Sc, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb and Lu bonded in an ⁇ 5 bonding mode to the aromatic ring group;
  • A is independently N, Si, B, P or O;
  • each E is independently C, Si, B or P;
  • m and n are independently 0, 1 or 2; m+n>1;
  • each R is independently an H or a C 1 -C 4 hydrocarbyl group; and adjacent Rs may be joined to form a hydrocarbyl ring;
  • each L is independently a ⁇ 1 anionic ligand selected from the group consisting of NR′ 2 , OR′, Cp, amidinate, ⁇ -diketonate, or keto-iminate, wherein R′ is an H or a C 1 -C 4 hydrocarbon group; and adjacent R′s may be joined to form a hydrocarbyl ring; and each L′
  • Ln refers to the Lanthanide group, which includes the following elements: lanthanum (“La”), yttrium (“Y”), scandium (“Sc”), cerium (“Ce”), praseodymium (“Pr”), neodymium (“Nd”), samarium (“Sm”), europium (“Eu”), gadolinium (“Gd”), terbium (“Tb”), dysprosium (“Dy”), holmium (“Ho”), erbium (“Er”), thulium (“Tm”), ytterbium (“Yb”), or lutetium (“Lu”);
  • the abbreviation “Cp” refers to cyclopentadienyl;
  • refers to angstroms; prime (“′”) is used to indicate a different component than the first, for example (LnLn′)O 3 refers to a Lanthanide oxide containing two different Lanthanide elements
  • Group 3 refers to Group 3 of the Periodic Table (i.e., Sc, Y, La, or Ac).
  • Group 4 refers to Group 4 of the Periodic Table (i.e., Ti, Zr, or Hf) and Group 5 refers to Group 5 of the Periodic Table (i.e., V, Nb, or Ta).
  • the films or layers deposited may be listed throughout the specification and claims without reference to their proper stoichiometry.
  • the layers may include pure (Si) layers, carbide (Si o C p ) layers, nitride (Si k N l ) layers, oxide (Si n O m ) layers, or mixtures thereof, wherein k, l, m, n, o, and p inclusively range from 1 to 6.
  • silicon oxide is Si n O m , wherein n ranges from 0.5 to 1.5 and m ranges from 1.5 to 3.5. More preferably, the silicon oxide layer is SiO 2 .
  • These films may also contain Hydrogen, typically from 0 at % to 15 at %. However, since not routinely measured, any film compositions given ignore their H content, unless explicitly stated otherwise.
  • Lanthanide-containing film forming compositions are disclosed.
  • the Lanthanide-containing film forming compositions comprise Lanthanide precursors having the general formulae,
  • Ln is selected from Lanthanide elements consisting of La, Y, Sc, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb and Lu bonded in an ⁇ 5 bonding mode to the aromatic group;
  • A-containing aromatic group contains one or two As wherein the two As are at ortho- or meta-positions;
  • A is independently N, Si, B, P or O;
  • each E is independently C, Si, B or P;
  • m and n are independently 0, 1 or 2; m+n>1;
  • each R is independently an H or a C 1 -C 4 hydrocarbyl group; adjacent Rs may be joined to form a hydrocarbyl ring;
  • each L is independently a ⁇ 1 anionic ligand selected from the group consisting of NR′ 2 , OR′, Cp, amidinate, ⁇ -diketonate, or keto-iminate, wherein R′ is an H or a C 1 -C 4 hydrocarbon group
  • the Lanthanide-containing film forming compositions further comprise the Lanthanide precursors having the following formulae:
  • Ln is selected from Lanthanide elements consisting of La, Y, Sc, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb and Lu bonded in an ⁇ 5 bonding mode to the aromatic group;
  • A is independently N, Si, B, P or O;
  • each E is independently C, Si, B or P;
  • m and n are independently 0, 1 or 2;
  • each R is independently an H or a C 1 -C 4 hydrocarbyl group; and adjacent Rs may be joined to form a hydrocarbyl ring;
  • each L is independently a ⁇ 1 anionic ligand selected from the group consisting of NR′ 2 , OR′, Cp, amidinate, ⁇ -diketonate, or keto-iminate, wherein R′ is an H or a C 1 -C 4 hydrocarbon group; and adjacent R′s may be joined to form a hydrocarbyl ring; and each L′ is independently NR′′ or O, where
  • Preferred Lanthanide precursors include (Me 2 N)-Ln-C 5 H 3 -1-Me-3-(CH 2 —CH 2 —NMe)- and (Me 2 N)-Ln-C 5 H 3 -1-Me-3-(CH 2 —CH 2 —O)—, corresponding to the following structure formula, respectively:
  • Ln is selected from Lanthanide elements consisting of La, Y, Sc, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb and Lu bonded in an ⁇ 5 bonding mode to the aromatic group.
  • Specific compounds include: (Me 2 N)—La—C 5 H 3 -1-Me-3-[(CH 2 ) 2 —NMe]-, (Me 2 N)—Y—C 5 H 3 -1-Me-3-[(CH 2 ) 2 —NMe]-, (Me 2 N)—Sc—C 5 H 3 -1-Me-3-[(CH 2 ) 2 —NMe]-, (Me 2 N)—Ce—C 5 H 3 1-Me-3-[(CH 2 ) 2 —NMe], (Me 2 N)—Pr—C 5 H 3 -1-Me-3-[(CH 2 ) 2 —NMe]-, (Me 2 N)—Nd—C 5 H 3 -1-Me-3-[(CH 2 ) 2 —NMe]-, (Me 2 N)—Sm—C 5 H 3 -1-Me-3-[(CH 2 ) 2 —NMe]-, (Me 2 N)—Eu—C
  • the compounds include Cp-La—C 5 H 3 -1-Me-3-[(CH 2 ) 2 —NMe]-; Cp-Y—C 5 H 3 -1-Me-3-[(CH 2 ) 2 —NMe]-; Cp-Sc—C 5 H 3 -1-Me-3-[(CH 2 ) 2 —NMe]-; Cp-Ce—C 5 H 3 -1-Me-3-[(CH 2 ) 2 —NMe]-; Cp-Pr—C 5 H 3 -1-Me-3-[(CH 2 ) 2 —NMe]-; Cp-Nd—C 5 H 3 -1-Me-3-[(CH 2 ) 2 —NMe]-; Cp-Sm—C 5 H 3 -1-Me-3-[(CH 2 ) 2 —NMe]-; Cp-Eu—C 5 H 3 -1-Me-3-[(CH 2 ) 2 —NMe]-; Cp-Eu
  • the disclosed Lanthanide-containing precursors having the above structures i.e., having one aromatic group with asymmetric structure may be liquid and less or not viscous.
  • the disclosed Lanthanide-containing precursors having the above structures may have high vapor pressure and may be used in direct liquid injection (DLI) where the precursor is fed in a liquid state and then vaporized before it is introduced into a reactor.
  • DLI direct liquid injection
  • bridged aromatic groups for example, cyclopentadienyl (Cp)/amino or bridged Cpialkoxy, may help to stabilize the compounds.
  • the Lanthanide precursors offer unique physical and chemical properties when compared to their corresponding homoleptic compounds, which include tris-substituted cyclopentadienyl Lanthanum compounds, La(RCp) 3 , tris-acetamidinate compounds, La(R—N—C(R′) ⁇ N—R) 3 , or tris-formamidinate compounds, La(R—N—C(H) ⁇ N—R) 3 .
  • Such properties include better control of steric crowding around the metal center, which in turn controls the surface reaction on the substrate and the reaction with a second reactant (such as an oxygen source). Independently fine tuning the substituents on the ligands increases volatility and thermal stability and so decreases melting point to yield either liquids or low melting solids.
  • Lanthanide precursors with properties suited for the vapor deposition process (i.e., a volatile, yet thermally stable, liquid or low melting solid (having a melting point below about 105° C.)
  • a direct correlation between the properties of the central metal ion (coordination number) and ligands (steric effect, ratio of two heteroleptic ligands) has been observed.
  • the metal compound has a 3+ charge and coordination number of 6.
  • m is 2 and n is 1.
  • the Lanthanide precursor has a melting point below about 105° C., preferably below about 80′C, more preferably below about 70° C., and even more preferably below about 40° C.
  • the synthesis of the lanthanide precursors may be carried out by following methods:
  • Lanthanide precursor may be deposited to form Lanthanide-containing films using any vapor deposition methods known to those of skill in the art.
  • suitable vapor deposition methods include without limitation, conventional chemical vapor deposition (CVD), atomic layer deposition (ALD), or other types of vapor depositions that are variations thereof, such as plasma enhanced ALD (PEALD), plasma enhanced CVD (PECVD), low pressure CVD (LPCVD), pulsed chemical vapor deposition (P-CVD), low pressure CVD (LPCVD), sub-atmospheric CVD (SACVD), atmospheric pressure CVD (APCVD), hot-wire CVD (HWCVD, also known as cat-CVD, in which a hot wire serves as an energy source for the deposition process), thermal ALD, thermal CVD, spatial ALD, hot-wire ALD (HWALD), radicals incorporated deposition, and super critical fluid deposition, or combinations thereof.
  • the deposition method is preferably ALD, PE-ALD
  • PECVD plasma enhanced ALD
  • the substrate upon which the Lanthanide-containing film will be deposited will vary depending on the final use intended.
  • the substrate may be chosen from oxides which are used as dielectric materials in MIM, DRAM, FeRam technologies or gate dielectrics in CMOS technologies (for example, HfO 2 based materials, TiO 2 based materials, GeO 2 based materials, ZrO 2 based materials, rare earth oxide based materials, ternary oxide based materials, etc.) or from nitride-based films (for example, TaN) that are used as an oxygen barrier between copper and the low-k layer.
  • oxides which are used as dielectric materials in MIM, DRAM, FeRam technologies or gate dielectrics in CMOS technologies (for example, HfO 2 based materials, TiO 2 based materials, GeO 2 based materials, ZrO 2 based materials, rare earth oxide based materials, ternary oxide based materials, etc.) or from nitride-based films (for example, TaN) that are used as an oxygen
  • substrates include, but are not limited to, solid substrates such as metal substrates (for example, Au, Pd, Rh, Ru, W, Al, Ni, Ti, Co, Pt and metal silicides, such as TiSi 2 , CoSi7, and NiSi 2 ); metal nitride containing substrates (for example, TaN, TiN, WN, TaCN, TiCN, TaSiN, and TiSiN); semiconductor materials (for example, Si, SiGe, GaAs, InP, diamond, GaN, and SiC); insulators (for example, SiO 2 , Si 3 N 4 , SiON, HfO 2 , Ta 2 O 5 , ZrO 2 , TiO 2 , Al 2 O 3 , and barium strontium titanate); or other substrates that include any number of combinations of these materials.
  • metal substrates for example, Au, Pd, Rh, Ru, W, Al, Ni, Ti, Co, Pt and metal silicides, such as TiSi 2
  • Plastic substrates such as poly(3,4-ethylenedioxythiophene)poly (styrenesulfonte) [PEDOT:PSS], may also be used.
  • the actual substrate utilized may also depend upon the specific precursor embodiment utilized. In many instances though, the preferred substrate utilized will be selected from TiN, Ru, and Si type substrates.
  • the vapor of the Lanthanide precursor is introduced into a reactor containing at least one substrate.
  • the temperature and the pressure within the reactor and the temperature of the substrate are held at conditions suitable for vapor deposition of at least part of the Lanthanide precursor onto the substrate.
  • conditions within the chamber are such that at least part of the vaporized precursor is deposited onto the substrate to form the Lanthanide-containing film.
  • the reactor may be any enclosure or chamber of a device in which deposition methods take place, such as, without limitation, a parallel-plate type reactor, a cold-wall type reactor, a hot-wall type reactor, a single-wafer reactor, a multi-wafer reactor, or other such types of deposition systems.
  • the reactor may be maintained at a pressure ranging from about 0.5 mTorr to about 20 Torr.
  • the temperature within the reactor may range from about 250° C. to about 600° C.
  • the temperature may be optimized through mere experimentation to achieve the desired result.
  • the substrate may be heated to a sufficient temperature to obtain the desired Lanthanide-containing film at a sufficient growth rate and with desired physical state and composition.
  • a non-limiting exemplary temperature range to which the substrate may be heated includes from 150° C. to 600° C. Preferably, the temperature of the substrate remains less than or equal to 450° C.
  • the Lanthanide precursor may be fed in liquid state to a vaporizer where it is vaporized before it is introduced into the reactor. Prior to its vaporization, the Lanthanide precursor may optionally be mixed with one or more solvents, one or more metal sources, and a mixture of one or more solvents and one or more metal sources.
  • the solvents may be selected from the group consisting of toluene, ethyl benzene, xylene, mesitylene, decane, dodecane, octane, hexane, pentane, or others.
  • the resulting concentration may range from approximately 0.05 M to approximately 2 M.
  • the metal source may include any metal precursors now known or later developed.
  • the Lanthanide precursor may be vaporized by passing a carrier gas into a container containing the Lanthanide precursor or by bubbling the carrier gas into the Lanthanide precursor.
  • the carrier gas may include, but is not limited to, Ar, He, N 2 , and mixtures thereof.
  • the carrier gas and Lanthanide precursor are then introduced into the reactor.
  • the container may be heated to a temperature that permits the Lanthanide precursor to be in its liquid phase and to have a sufficient vapor pressure.
  • the carrier gas may include, but is not limited to, Ar, He, N 2 ,and mixtures thereof.
  • the Lanthanide precursor may optionally be mixed in the container with a solvent, another precursor, or a mixture thereof.
  • the container may be maintained at temperatures in the range of, for example, 0-100° C. Those skilled in the art recognize that the temperature of the container may be adjusted in a known manner to control the amount of Lanthanide precursor vaporized.
  • the Lanthanide precursor may be mixed with reactant species inside the reactor.
  • exemplary reactant species include, without limitation, H 2 , metal precursors such as TMA or other aluminum-containing precursors, other Lanthanide precursors, TBTDET, TAT-DMAE, PET, TBTDEN, PEN, and any combination thereof.
  • the reactant species may include an oxygen source which is selected from, but not limited to, O 2 , O 3 , H 2 O, H 2 O 2 , acetic acid, formalin, para-formaldehyde, and combinations thereof.
  • the reactant species may include a nitrogen source which is selected from, but not limited to, nitrogen (N 2 ), ammonia and alkyl derivatives thereof, hydrazine and alkyl derivatives thereof, N-containing radicals (for instance N., NH., NH 2 .), NO, N 2 O, NO 2 , amines, and any combination thereof.
  • the reactant species may include a carbon source which is selected from, but not limited to, methane, ethane, propane, butane, ethylene, propylene, t-butylene, isobutylene, CCl 4 , and any combination thereof.
  • the reactant species may include a silicon source which is selected from, but not limited to, SiH 4 , Si 2 H 6 , Si 3 H 8 , TriDMAS, BDMAS, BDEAS, TDEAS, TDMAS, TEMAS, (SiH 3 ) 3 N, (SiH 3 ) 2 O, trisilylamine, disiloxane, trisilylamine, disilane, trisilane, an alkoxysilane SiH x (OR 1 ) 4-x , a silanol Si(OH) x (OR 1 ) 4-x (preferably Si(OH)(OR 1 ) 3 ; more preferably Si(OH)(OtBu) 3 an aminosilane SiH x (NR 1 R 2 ) 4-x
  • the reactant species may include a precursor which is selected from, but not limited to, alkyls such as SbR i′ 3 or SnR i′ 4 (wherein each R i′ is independently H or a linear, branched, or cyclic C1-C6 carbon chain), alkoxides such as Sb(OR i ) 3 or Sn(OR i ) 4 (where each R i is independently H or a linear, branched, or cyclic C1-C6 carbon chain), and amines such as Sb(NR 1 R 2 )(NR 3 R 4 )(NR 5 R 8 ) or Ge(NR 1 R 2 )(NR 3 R 4 )(NR 5 R 8 )(NR 7 R 8
  • the Lanthanide precursor and one or more reactant species may be introduced into the reactor simultaneously (chemical vapor deposition), sequentially (atomic layer deposition), or in other combinations.
  • the Lanthanide so precursor may be introduced in one pulse and two additional metal sources may be introduced together in a separate pulse [modified atomic layer deposition].
  • the reactor may already contain the reactant species prior to introduction of the Lanthanide precursor.
  • the reactant species may be passed through a plasma system localized remotely from the reactor, and decomposed to radicals.
  • the Lanthanide precursor may be introduced to the reactor continuously while other reactant species are introduced by pulse (pulsed-chemical vapor deposition).
  • a pulse may be followed by a purge or evacuation step to remove excess amounts of the component introduced.
  • the pulse may last for a time period ranging from about 0.01 s to about 10 s, alternatively from about 0.3 s to about 3 s, alternatively from about 0.5 s to about 2 s.
  • the vapor phase of a Lanthanide precursor is introduced into the reactor, where at least part of the Lanthanide precursor reacts with a suitable substrate in a self-limiting manner. Excess Lanthanide precursor may then be removed from the reactor by purging and/or evacuating the reactor.
  • An oxygen source such as ozone, is introduced into the reactor where it reacts with the absorbed Lanthanide precursor. Any excess oxygen source is removed from the reactor by purging and/or evacuating the reactor. If the desired film is a Lanthanide oxide film, this two-step process may provide the desired film thickness or may be repeated until a film having the necessary thickness has been obtained.
  • LaGeO x may spontaneously form when the ALD LaO film is deposited on a Ge or GeO 2 substrate.
  • the LaGeO x film may serve as a channel material in metal oxide semiconductor (MOS) devices due to high hole mobility and low dopant activation temperatures.
  • MOS metal oxide semiconductor
  • the LaO x film may be deposited as a capping layer on an HfO x or ZrO x high k gate dielectric film, with x being a number ranging from 1 to 5 inclusive.
  • the LaO x capping layer reduces Fermi level pinning effects between the gate dielectric layer and a metal gate.
  • the two-step process above may be followed by introduction of the vapor of a precursor into the reactor.
  • the precursor will be selected based on the nature of the Lanthanide metal oxide film being deposited and may include a different Lanthanide precursor.
  • the precursor is contacted with the substrate. Any excess precursor is removed from the reactor by purging and/or evacuating the reactor.
  • an oxygen source may be introduced into the reactor to react with the precursor. Excess oxygen source is removed from the reactor by purging and/or evacuating the reactor.
  • a desired film thickness has been achieved, the process may be terminated. However, if a thicker film is desired, the entire four-step process may be repeated. By alternating the provision of the Lanthanide precursor, precursor, and oxygen source, a film of desired composition and thickness can be deposited.
  • the Lanthanide-containing films or Lanthanide-containing layers resulting from the processes discussed above may include La 2 O 3 , (LaLn)O 3 , La 2 O 3 —Ln 2 O 3 , LaSi x O y , LaGe x O y , (Al, Ga, Mn)LnO 3 , HfLaO x or ZrLaO x , LaSrCoO 4 , LaSrMnO 4 where Ln is a different Lanthanide and x is 1 to 5 inclusive.
  • the Lanthanide-containing film may include HfLaO x or ZrLaO x .
  • the desired film composition may be obtained.
  • the film may be subject to further processing, such as thermal annealing, furnace-annealing, rapid thermal annealing, UV or e-beam curing, and/or plasma gas exposure.
  • further processing such as thermal annealing, furnace-annealing, rapid thermal annealing, UV or e-beam curing, and/or plasma gas exposure.
  • the lanthanum-containing film may be exposed to a temperature ranging from approximately 200° C. and approximately 1000° C. for a time ranging from approximately 0.1 second to approximately 7200 seconds under an inert atmosphere, an H-containing atmosphere, a N-containing atmosphere, an O-containing atmosphere, or combinations thereof.
  • the temperature is 350° C. for 1800 seconds under an inert atmosphere of Argon.
  • the resulting film may contain fewer impurities and therefore may have an improved density resulting in improved leakage current.
  • the annealing step may be performed in the same reactor in which the deposition process is performed. Alternatively, the substrate may be removed from the reactor, with the annealing/flash annealing process being performed in a separate apparatus. Any of the above post-treatment methods, but especially thermal annealing, has been found effective to reduce carbon and nitrogen contamination of the Lanthanide-containing film. This in turn tends to improve the leakage current and the interface trap density (D it ) of the film.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

Lanthanide-containing film forming compositions comprising Lanthanide precursors having the general formulae:
Figure US20180187303A1-20180705-C00001
wherein Ln is a Lanthanide; A is independently N, Si, B, P or O; each E is independently C, Si, B or P; m and n are independently 0, 1 or 2; m+n>1; each R is independently an H or a C1-C4 hydrocarbyl group; L is a −1 anionic ligand selected from the group consisting of NR′2, OR′, Cp, amidinate, β-diketonate, or keto-iminate, wherein R′ is an H or a C1-C4 hydrocarbon group; and L′ is NR″ or O, wherein R″ is an H or a C1-C4 hydrocarbon group. Also disclosed are methods of synthesizing and using the disclosed precursors to deposit Lanthanide-containing films on one or more substrates via vapor deposition processes.

Description

    TECHNICAL FIELD
  • Disclosed are lanthanide-containing film forming compositions comprising Lanthanide precursors having the general formulae, L-Ln-C5R4-[ER2)m-(ER2)n-L′]-, L-Ln-C4AR3-3-[(ER2)m-(ER2)n-L′]-, L-Ln-C3(m-A2)R2-4-[(ER2)m-(ER2)n-L′]-, wherein Ln is selected from Lanthanide elements consisting of La, Y, Sc, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb and Lu bonded in an η5 bonding mode to the aromatic ring group; A is independently N, Si, B, P or O; each E is independently C, Si, B or P; m and n are independently 0, 1 or 2; m+n>1; each R is independently an H or a C1-C4 hydrocarbyl group; adjacent Rs may be joined to form a hydrocarbyl ring; L is a −1 anionic ligand selected from the group consisting of NR′2, OR′, Cp, amidinate, β-diketonate, or keto-iminate, wherein R′ is an H or a C1-C4 hydrocarbon group; adjacent R′s may be joined to form a hydrocarbyl ring; and L′ is NR″ or O, wherein R is an H or a C1-C4 hydrocarbon group. Also disclosed are methods of synthesizing and using the disclosed precursors to deposit Lanthanide-containing films on one or more substrates via vapor deposition processes.
  • BACKGROUND
  • One of the challenges the industry faces is developing new gate dielectric materials for Dynamic Random Access Memory (DRAM) and capacitors. For decades, silicon dioxide (SiO2) was a reliable dielectric, but as transistors have continued to shrink and the technology moved from “Full Si” transistor to “Metal Gate/High-k” transistors, the reliability of the SiO2-based gate dielectric is reaching its physical limits. The need for new high dielectric constant material and processes is increasing and becoming more and more critical as the size for current technology is shrinking. New generations of oxides especially based on Lanthanide-containing materials are thought to give significant advantages in capacitance compared to conventional dielectric materials.
  • Nevertheless, deposition of Lanthanide-containing layers is difficult and new material and processes are increasingly needed. For instance, atomic layer deposition (ALD) has been identified as an important thin film growth technique for microelectronics manufacturing, relying on sequential and saturating surface reactions of alternatively applied precursors, separated by inert gas purging. The surface-controlled nature of ALD enables the growth of thin films having high conformality and uniformity with an accurate thickness control. The need to develop new ALD processes for rare earth materials is obvious.
  • Unfortunately, the successful integration of compounds into deposition processes has proven to be difficult. Three classes of molecules are typically proposed in the case of Lanthanum: beta-diketonates, bis(trimethylsilyl)amide and cyclopentadienyls. The two first families of compounds are stable, but the melting points may exceed 90° C., making them impractical. Lanthanum 2,2-6,6-tetramethylheptanedionate's [La(tmhd)3] melting point is as high as 230° C., and the Lanthanum tris(bis(trimethylsilyl)amido) [La(tmsa)3] melting point is 150° C. Additionally, the delivery efficiency of those precursors is very difficult to control. Non-substituted cyclopentadienyl compounds also exhibit low volatility with a high melting point. Molecule design may both help improve volatility and reduce the melting point. However, in process conditions, these classes of materials have been proven to have limited use. For instance, La(iPrCp)3 does not allow an ALD regime above 225° C.
  • Commonly owned PCT Patent Application Publication No. WO 2009/149372 discloses rare earth metal precursors containing cyclopentadienyl and amidinate ligands. However, the examples reveal that synthesis of La(Etcp)2(NiPr-amd), La(Etcp)(NiPr-amd)2, La(iPrCp)2(NiPr-amd), and La(iPrCp)(NiPr-amd)2 failed.
  • Some Cp bridged Y and Lu compounds are synthesized and may be used for catalysts or precursors for rare-earth oxide thin films. For example, F Edelmann discloses the Cp-one ligand bridged Y compound Me4Cp-SiMe2-N(ph)- Y-(F Edelmann, “Lanthanide Aamidinates and guanidinates: from laboratory curiosities to efficient homogeneous catalysts and precursors for rare-earth oxide thin films”, Chem. Soc. Rev., 2009, 38, p2253-2268). The web pages of Japan RIKEN research institute (www.riken,jp/lab-www/organometallidengliresearch_1_e.html) disclose the synthesis of Me4Cp-SiMe2—N(Ar)—Lu— for use as organometallic catalysts.
  • Some of the Lanthanide precursors currently available present many drawbacks when used in a deposition process. Consequently, there exists a need for alternate precursors for deposition of Lanthanide-containing films.
  • SUMMARY
  • Disclosed herein are Lanthanide-containing film forming compositions comprising Lanthanide precursors having the general formulae:

  • L-Ln-C5R4-[(ER2)m-(ER2)n-L′]-,

  • L-Ln-C4AR3-3-[(ER2)m-(ER2)n-L′]-,

  • L-Ln-C3(m-A2)R2-4-[(ER2)m-(ER2)n-L′]-,
  • referring to the following structure formula, respectively:
  • Figure US20180187303A1-20180705-C00002
  • wherein Ln is selected from Lanthanide elements consisting of La, Y, Sc, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb and Lu bonded in an η5 bonding mode to the aromatic ring group; A is independently N, Si, B, P or O; each E is independently C, Si, B or P; m and n are independently 0, 1 or 2; m+n>1; each R is independently an H or a C1-C4 hydrocarbyl group; adjacent Rs may be joined to form a hydrocarbyl ring; L is a −1 anionic ligand selected from the group consisting of NR′2, OR′, Cp, amidinate, β-diketonate, or keto-iminate, wherein R′ is an H or a C1-C4. hydrocarbon group; adjacent R′s may be joined to form a hydrocarbyl ring; and L′ is NR″ or O, wherein R′ is an H or a C1-C4 hydrocarbon group.
  • The disclosed Lanthanide-containing film forming compositions may further include one or more of the following aspects:
    • Ln being La;
    • Ln being Y;
    • Ln being Sc;
    • Ln being Ce;
    • Ln being Pr;
    • Ln being Nd;
    • Ln being Sm;
    • Ln being Eu;
    • Ln being Gd;
    • Ln being Tb;
    • Ln being Dy;
    • Ln being Ho;
    • Ln being Er;
    • Ln being Tm;
    • Ln being Yb;
    • Ln being Lu
    • Each A being N, Si, B, P or O;
    • A being N;
    • A being Si;
    • A being B;
    • A being P;
    • A being O;
    • Each E being C, Si, B or P;
    • E being C;
    • E being Si;
    • E being B;
    • E being P;
    • m being 0, 1, or 2;
    • m being 0;
    • m being 1;
    • m being 2;
    • n being 0, 1, or 2;
    • n being 0;
    • n being 1;
    • n being 2;
    • m+n being >1;
    • m being 1 and n being 1;
    • m being 2 and n being 1;
    • Each R independently being H, Me, Et, nPr, iPr, nBu, sBu, iBu, or tBu;
    • R being H;
    • R being Me;
    • R being Et;
    • R being nPr;
    • R being iPr;
    • R being nBu;
    • R being iBu;
    • R being sBu;
    • R being tBu;
    • L being NH2;
    • L being NMe2;
    • L being NEt2
    • L being NnPr2;
    • L being NiPr2;
    • L being NnBu2;
    • L being NiBu2;
    • L being NsBu2;
    • L being NtBu2;
    • L being NHMe;
    • L being NHEt;
    • L being NHnPr;
    • L being NHiPr;
    • L being NHnBu;
    • L being NHiBu;
    • L being NHsBu;
    • L being NHtBu;
    • L being NMeEt;
    • L being NMenPr;
    • L being NMeiPr;
    • L being NMenBu;
    • L being NMeiBu;
    • L being NMesBu;
    • L being NMetBu;
    • L being NEtnPr;
    • L being NEtiPr;
    • L being NEtnBu;
    • L being NEtiBu;
    • L being NEtsBu;
    • L being NEttBu;
    • L being NnPriPr;
    • L being NnPrnBu;
    • L being NnPriBu;
    • L being NnPrsBu;
    • L being NnPrtBu;
    • L being NiPrnBu;
    • L being NiPriBu;
    • L being NiPrsBu;
    • L being NiPrtBu;
    • L being NnBuiBu;
    • L being NnBusBu;
    • L being NnButBu;
    • L being NiBusBu;
    • L being NiButBu;
    • L being NsButBu;
    • L being OH;
    • L being OMe;
    • L being OEt;
    • L being OnPr;
    • L being OiPr;
    • L being OnBu;
    • L being OiBu;
    • L being OsBu;
    • L being OtBu,
    • L being Cp;
    • L being amidinate;
    • L being β-diketonate;
    • L being keto-iminate;
    • L′ being NH;
    • L′ being NMe;
    • L′ being NEt;
    • L′ being NnPr;
    • L′ being NiPr;
    • L′ being NnBu;
    • L′ being NiBu;
    • L′ being NsBu;
    • L′ being NtBu;
    • L′ being O;
    • the aromatic group containing two As at 1,2 positions;
    • the aromatic group containing two As at 1,3 positions;
    • the aromatic group being a heterocyclic group containing N, Si, B, P or O;
    • the aromatic group being a heterocyclic group having a symmetric or asymmetric structure;
    • the aromatic group being pyrrole;
    • the aromatic group being pyrazole;
    • the aromatic group being imidazole;
    • the aromatic group being silacyclopentadienide;
    • the aromatic group being borole;
    • the aromatic group being phosphole;
    • the aromatic group being a methyl substituted pyrrole;
    • the aromatic group being an isopropyl substituted pyrrole;
    • the aromatic group being a tertbutyl substituted pyrrole;
    • the aromatic group being a methyl substituted pyrazole;
    • the aromatic group being an isopropyl substituted pyrazole;
    • the aromatic group being a tertbutyl substituted pyrazole;
    • the aromatic group being a methyl substituted imidazole;
    • the aromatic group being an isopropyl substituted imidazole;
    • the aromatic group being a tertbutyl substituted imidazole;
    • the aromatic group being a methyl substituted silacyclopentadienide;
    • the aromatic group being an isopropyl substituted silacyclopentadienide;
    • the aromatic group being a tertbutyl substituted silacyclopentadienide;
    • the aromatic group being a methyl substituted borole;
    • the aromatic group being an isopropyl substituted borole;
    • the aromatic group being a tertbutyl substituted borole;
    • the aromatic group being a methyl substituted phosphole;
    • the aromatic group being an isopropyl substituted phosphole;
    • the aromatic group being a tertbutyl substituted phosphole;
    • the Lanthanide precursor being (Me2N)—La—C5H3-1-Me-3-[(CH2)2—NMe]-;
    • the Lanthanide precursor being (Me2N)—Y—C5H3-1-Me-3-[(CH2)2—NMe]-;
    • the Lanthanide precursor being (Me2N)—Sc—C5H3-1-Me-3-[(CH2)2—NMe]-;
    • the Lanthanide precursor being (Me2N)—Ce—C5H3-1-Me-3-[(CH2)2—NMe]-;
    • the Lanthanide precursor being (Me2N)—Pr—C5H3-1-Me-3-[(CH2)2—NMe]-;
    • the Lanthanide precursor being (Me2N)—Nd—C5H3-1-Me-3-[(CH2)2—NMe]-;
    • the Lanthanide precursor being (Me2N)—Sm—C5H3-1-Me-3-[(CH2)2—NMe]-;
    • the Lanthanide precursor being (Me2N)—Eu—C5H3-1-Me-3-[(CH2)2—NMe]-;
    • the Lanthanide precursor being (Me2N)—Gd—C5H3-1-Me-3-[(CH2)2—NMe]-;
    • the Lanthanide precursor being (Me2N)—Tb—C5H3-1-Me-3-[(CH2)2—NMe]-,
    • the Lanthanide precursor being (Me2N)—Dy—C5H3-1-Me-3-[(CH2)2—NMe]-;
    • the Lanthanide precursor being (Me2N)—Ho—C5H3-1-Me-3-[(CH2)2—NMe]-;
    • the Lanthanide precursor being (Me2N)—Er—C5H3-1-Me-3-[CH2)2—NMe]-;
    • the Lanthanide precursor being (Me2N)—Tm—C5H3-1-Me-3-[CH2)2—NMe]-;
    • the Lanthanide precursor being (Me2N)—Yb—C5H3-1-Me-3-[(CH2)2—NMe]-;
    • the Lanthanide precursor being (Me2N)—Lu—C5H3-1-Me-3-[(CH2)2—NMe]-;
    • the Lanthanide precursor being (Me2N)—La—C5H3-1-Me-3-[(CH2)2—O]-;
    • the Lanthanide precursor being (Me2N)—Y—C5H3-1-Me-3-[(CH2)2—O]-;
    • the Lanthanide precursor being (Me2N)—Sc—C5H3-1-Me-3-[CH2)2—O]-;
    • the Lanthanide precursor being (Me2N)—Ce—C5H3-1-Me-3-[(CH2)2—O]-;
    • the Lanthanide precursor being (Me2N)—Pr—C5H3-1-Me-3-[(CH2)2—O]-;
    • the Lanthanide precursor being (Me2N)—Nd—C5H3-1-Me-3-[(CH2)2—O]-;
    • the Lanthanide precursor being (Me2N)—Sm—C5H3-1-Me-3-[(CH2)2—O]-;
    • the Lanthanide precursor being (Me2N)—Eu—C5H3-1-Me-3-[(CH2)2—O]-;
    • the Lanthanide precursor being (Me2N)—Gd—C5H3-1-Me-3-[(CH2)2—O]-;
    • the Lanthanide precursor being (Me2N)—Tb—C5H3-1-Me-3-[(CH2)2—O]-;
    • the Lanthanide precursor being (Me2N)—Dy—C5H3-1-Me-3-[(CH2)2—O]-;
    • the Lanthanide precursor being (Me2N)—Ho—C5H3-1-Me-3-[(CH2)2—O]-;
    • the Lanthanide precursor being (Me2N)—Er—C5H3-1-Me-3-[(CH2)2—O]-;
    • the Lanthanide precursor being (Me2N)—Tm—C5H3-1-Me-3-[(CH2)2—O]-;
    • the Lanthanide precursor being (Me2N)—Yb—C5H3-1-Me-3-[(CH2)2—O]-;
    • the Lanthanide precursor being (Me2N)—Lu—C5H3-1-Me-3-[(CH2)2—O]-.
    • the Lanthanide precursor being Cp-La—C5H3-1-Me-3-[CH2)2—NMe]-;
    • the Lanthanide precursor being Cp-Y—C5H3-1-Me-3-[(CH2)2—NMe]-;
    • the Lanthanide precursor being Cp-Sc—C5H3-1-Me-3-[(CH2)2—NMe]-;
    • the Lanthanide precursor being Cp-Ce—C5H3-1-Me-3-[CH2)2—NMe]-;
    • the Lanthanide precursor being Cp-Pr—C5H3-1-Me-3-[(CH2)2—NMe]-;
    • the Lanthanide precursor being Cp-Nd—C5H3-1-Me-3-[CH2)2—NMe]-;
    • the Lanthanide precursor being Cp-Sm—C5H3-1-Me-3-[(CH2)2—NMe]-;
    • the Lanthanide precursor being Cp-Eu—C5H3-1-Me-3-[(CH2)2—NMe]-;
    • the Lanthanide precursor being Cp-Gd—C5H3-1-Me-3-[CH2)2-NMe]-;
    • the Lanthanide precursor being Cp-Tb—C5H3-1-Me-3-[(CH2)2—NMe]-;
    • the Lanthanide precursor being Cp-Dy—C5H3-1-Me-3-[(CH2)2—NMe]-;
    • the Lanthanide precursor being Cp-Ho—C5H3-1-Me-3-[(CH2)2—NMe]-;
    • the Lanthanide precursor being Cp-Er—C5H3-1-Me-3-[(CH2)2—NMe]-;
    • the Lanthanide precursor being Cp-Tm—C5H3-1-Me-3-[CH2)2—NMe]-;
    • the Lanthanide precursor being Cp-Yb—C5H3-1-Me-3-[CH2)2—NMe]-;
    • the Lanthanide precursor being Cp-Lu—C5H3-1-Me-3-[CH2)2—NMe]-;
    • the Lanthanide precursor being Cp-La—C5H3-1-Me-3-[(CH2)2—O]-;
    • the Lanthanide precursor being Cp-Y—C5H3-1-Me-3-[(CH2)2—O]-;
    • the Lanthanide precursor being Cp-Sc—C5H3-1-Me-3-[(CH2)2—O]-;
    • the Lanthanide precursor being Cp-Ce—C5H3-1-Me-3-[(CH2)2—O]-;
    • the Lanthanide precursor being Cp-Pr—C5H3-1-Me-3-[(CH2)2—O]-;
    • the Lanthanide precursor being Cp-Nd—C5H3-1-Me-3-[(CH2)2—O]-;
    • the Lanthanide precursor being Cp-Sm—C5H3-1-Me-3-[(CH2)2—]-;
    • the Lanthanide precursor being Cp-Eu—C5H3-1-Me-3-[(CH2)2—O]-;
    • the Lanthanide precursor being Cp-Gd—C5H3-1-Me-3-[(CH2)2—O]-;
    • the Lanthanide precursor being Cp-Tb—C5H3-1-Me-3-[(CH2)2—O]-;
    • the Lanthanide precursor being Cp-Dy—C5H3-1-Me-3-[(CH2)2—O]-;
    • the Lanthanide precursor being Cp-Ho—C5H3-1-Me-3-[(CH2)2—O]-;
    • the Lanthanide precursor being Cp-Er—C5H3-1-Me-3-[(CH2)2—O]-;
  • the Lanthanide precursor being Cp-Tm—C5H3-1-Me-3-[(CH2)2—O]-;
    • the Lanthanide precursor being Cp-Yb—C5H3-1-Me-3-[(CH2)2—O]-; or
    • the Lanthanide precursor being Cp-Lu—C5H3-1-Me-3-[(CH2)2—O]-.
  • Also disclosed are methods for depositing Lanthanide-containing films on semiconductor substrates. The Lanthanide precursors disclosed above are introduced into a reactor having a substrate disposed therein. At least part of the Lanthanide precursor is deposited onto the substrate to form the Lanthanide-containing film on the substrate using a vapor deposition process. The disclosed method may optionally include one or more of the following aspects:
      • depositing the Lanthanide-containing film on the substrate at a temperature between about 150° C. and about 600° C.;
      • depositing the Lanthanide-containing film on the substrate at a pressure between about 0.5 mTorr and about 20 Torr;
      • the substrate being a GeO2 film;
      • the substrate being a high k gate dielectric film;
      • the Lanthanide precursor being a liquid at a temperature below 70° C.;
      • the Lanthanide precursor being a liquid at a temperature below 40° C.;
      • the Lanthanide-containing film being selected from the group consisting of L2O3, (LnLn′)O3, Ln2O3-Ln′2O3, LnSixOy, LnGexOy, (Al, Ga, Mn)LnO3, HfLnOx, and ZrLnOx, LnSrCoO4, LnSrMnO4, wherein Ln′ is a different Lanthanide from Ln and x and y are each a number selected from 1-5 inclusive;
      • annealing the Lanthanide-containing film.
      • introducing a reactant species into the reactor;
      • the reactant species being selected from the group consisting of O2, O3, H2O, H2O2, acetic acid, formalin, para-formaldehyde, and combinations thereof;
      • the reactant species being O3;
      • the reactant species being H2O;
      • introducing the Lanthanide precursor and the reactant species at least partially simultaneously, as in a chemical vapor deposition process;
      • introducing the Lanthanide precursor and the reactant species sequentially, as in an atomic layer deposition process;
      • introducing a precursor into the reactor, wherein the precursor is different than the Lanthanide precursor, and depositing at least part of the precursor to form the Lanthanide-containing layer on the one or more substrates;
      • the precursor containing an element selected from the group consisting of Hf, Si, Al, Ga, Mn, Ti, Ta, Bi, Zr, Pb, Nb, Mg, Sr, Ba, Ca, and combinations thereof;
      • the precursor containing Ge;
      • the precursor containing Hf;
      • the vapor deposition process being a chemical vapor deposition process;
      • the vapor deposition process being an atomic layer deposition process;
      • the vapor deposition process being a spatial ALD process;
      • the vapor deposition process including a plasma process;
      • the vapor deposition process not including a plasma process; and
      • the vapor deposition process being a thermal process.
  • Also disclosed are Lanthanide-containing film coated substrates comprising the product of the disclosed methods.
  • NOTATION AND NOMENCLATURE
  • Certain abbreviations, symbols, and terms are used throughout the following description and claims and include:
  • As used in the disclosed embodiments, the indefinite article “a” or “an” means one or more.
  • As used in the disclosed embodiments, “about” or “around” or “approximately” in the text or in a claim means ±10% of the value stated.
  • As used in the disclosed embodiments, the term “independently” when used in the context of describing R groups should be understood to denote that the subject R group is not only independently selected relative to other R groups bearing the same or different subscripts or superscripts, but is also independently selected relative to any additional species of that same R group. For example in the formula MR1 x(NR2R3)(4-x), where x is 2 or 3, the two or three R1 groups may, but need not be identical to each other or to R2 or to R3. Further, it should be understood that unless specifically stated otherwise, values of R groups are independent of each other when used in different formulas.
  • As used in the disclosed embodiments, the term “hydrocarbyl group” refers to a functional group containing carbon and hydrogen; the term “alkyl group” refers to saturated functional groups containing exclusively carbon and hydrogen so atoms. The hydrocarbyl group may be saturated or unsaturated. Either term refers to linear, branched, or cyclic groups. Examples of linear alkyl groups include without limitation, methyl groups, ethyl groups, propyl groups, butyl groups, etc. Examples of branched alkyls groups include without limitation, t-butyl. Examples of cyclic alkyl groups include without limitation, cyclopropyl groups, cyclopentyl groups, cyclohexyl groups, etc.
  • As used in the disclosed embodiments, the abbreviation “Me” refers to a methyl group; the abbreviation “Et” refers to an ethyl group; the abbreviation “Pr” refers to a propyl group; the abbreviation “nPr” refers to a “normal” or linear propyl group; the abbreviation “iPr” refers to an isopropyl group; the abbreviation “Bu” refers to a butyl group; the abbreviation “nBu” refers to a “normal” or linear butyl group; the abbreviation “tBu” refers to a fed-butyl group, also known as 1,1-dimethylethyl; the abbreviation “sBu” refers to a sec-butyl group, also known as 1-methylpropyl; the abbreviation “iBu” refers to an iso-butyl group, also known as 2-methylpropyl; the abbreviation “Cp” refers to cyclopentadienyl; the abbreviation “Cp*” refers to pentamethylcyclopentadienyl.
  • As used in the disclosed embodiments, the abbreviation “ortho-” or “o-” refers to an aromatic ring having carbon replacements at 1,2 positions; the abbreviation “meta-” or “m-” refers to an aromatic ring having carbon replacements at 1,3 positions; the abbreviation “para-” or “p-” refers to an six-memebered aromatic ring having carbon replacements at 1,4 positions. For example, the compounds shown in following structure formula are represented by (Me2N)—La—O3(m-A2)H2-4-(CH2—CH2—NMe)-,
  • Figure US20180187303A1-20180705-C00003
  • wherein La is bonded in an η5 bonding mode to the aromatic ring group; A is independently N, Si, B or P. Herein the η5 is the hapticity of the above precursors representing five contiguous atoms of the aromatic ring group bonded to the La atom.
  • As used in the disclosed embodiments, the chemical formula, L-Ln-C5R4-[(ER2)m-(ER2)n-L′]- , L-Ln-C4AR3-3-[(ER2)m-(ER2)n-L′] and L-Ln-C3(m-A2)R2-4-[(ER2)m-(ER2)n)-L′]-, refer to the compounds having the following structure formula, respectively:
  • Figure US20180187303A1-20180705-C00004
  • wherein Ln is selected from Lanthanide elements consisting of La, Y, Sc, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb and Lu bonded in an η5 bonding mode to the aromatic ring group; A is independently N, Si, B, P or O; each E is independently C, Si, B or P; m and n are independently 0, 1 or 2; m+n>1; each R is independently an H or a C1-C4 hydrocarbyl group; and adjacent Rs may be joined to form a hydrocarbyl ring; each L is independently a −1 anionic ligand selected from the group consisting of NR′2, OR′, Cp, amidinate, β-diketonate, or keto-iminate, wherein R′ is an H or a C1-C4 hydrocarbon group; and adjacent R′s may be joined to form a hydrocarbyl ring; and each L′ is independently NR″ or O, wherein R″ is an H or a C1-C4 hydrocarbon group and adjacent R″s may be joined to form a hydrocarbyl ring. Herein the η5 is the hapticity of the above precursors representing five contiguous atoms of the aromatic ring group bonded to the Ln atom.
  • As used herein, the abbreviation “Ln” refers to the Lanthanide group, which includes the following elements: lanthanum (“La”), yttrium (“Y”), scandium (“Sc”), cerium (“Ce”), praseodymium (“Pr”), neodymium (“Nd”), samarium (“Sm”), europium (“Eu”), gadolinium (“Gd”), terbium (“Tb”), dysprosium (“Dy”), holmium (“Ho”), erbium (“Er”), thulium (“Tm”), ytterbium (“Yb”), or lutetium (“Lu”); the abbreviation “Cp” refers to cyclopentadienyl; the abbreviation “Å” refers to angstroms; prime (“′”) is used to indicate a different component than the first, for example (LnLn′)O3 refers to a Lanthanide oxide containing two different Lanthanide elements; the term “aliphatic group” refers to a C1-C4 linear or branched chain alkyl group; the term “alkyl group” refers to saturated functional groups containing exclusively carbon and hydrogen atoms; the abbreviation “CVD” refers to chemical vapor deposition; the abbreviation “LPCVD” refers to low pressure chemical vapor deposition; the abbreviation “ALD” refers to atomic layer deposition; the abbreviation “P-CVD” refers to pulsed chemical vapor deposition; the abbreviation “PE-ALD” refers to plasma enhanced atomic layer deposition; the abbreviation “MIM” refers to Metal Insulator Metal (a structure used in capacitors); the abbreviation “DRAM” refers to dynamic random access memory; the abbreviation “FeRAM” refers to ferroelectric random access memory; the abbreviation “CMOS” refers to complementary metal-oxide-semiconductor; the abbreviation “THF” refers to tetrahydrofuran; the abbreviation “TGA” refers to thermogravimetric analysis; and the abbreviation “TMA ” refers to trimethyl aluminum.
  • The standard abbreviations of the elements from the periodic table of elements are used herein. It should be understood that elements may be referred to by these abbreviations (e.g., La refers to Lanthanum, N refers to nitrogen, O refers to oxygen, C refers to carbon, etc.). Additionally, Group 3 refers to Group 3 of the Periodic Table (i.e., Sc, Y, La, or Ac). Similarly, Group 4 refers to Group 4 of the Periodic Table (i.e., Ti, Zr, or Hf) and Group 5 refers to Group 5 of the Periodic Table (i.e., V, Nb, or Ta).
  • Any and all ranges recited in the disclosed embodiments are inclusive of their endpoints (i.e., x=1 to 4 or x ranges from 1 to 4 includes x=1, x=4, and x=any number in between), irrespective of whether the term “inclusively” is used,
  • Please note that the films or layers deposited, such as silicon oxide or silicon nitride, may be listed throughout the specification and claims without reference to their proper stoichiometry. The layers may include pure (Si) layers, carbide (SioCp) layers, nitride (SikNl) layers, oxide (SinOm) layers, or mixtures thereof, wherein k, l, m, n, o, and p inclusively range from 1 to 6. For instance, silicon oxide is SinOm, wherein n ranges from 0.5 to 1.5 and m ranges from 1.5 to 3.5. More preferably, the silicon oxide layer is SiO2. These films may also contain Hydrogen, typically from 0 at % to 15 at %. However, since not routinely measured, any film compositions given ignore their H content, unless explicitly stated otherwise.
  • DESCRIPTION OF PREFERRED EMBODIMENTS
  • Lanthanide-containing film forming compositions are disclosed. The Lanthanide-containing film forming compositions comprise Lanthanide precursors having the general formulae,

  • L-Ln-C5H4-[(ER2)m-(ER2)n-L′)]-,

  • L-Ln-(A-containing aromatic group)-[(ER2)m-(ER2)n-L′)]-,
  • wherein Ln is selected from Lanthanide elements consisting of La, Y, Sc, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb and Lu bonded in an η5 bonding mode to the aromatic group; A-containing aromatic group contains one or two As wherein the two As are at ortho- or meta-positions; A is independently N, Si, B, P or O; each E is independently C, Si, B or P; m and n are independently 0, 1 or 2; m+n>1; each R is independently an H or a C1-C4 hydrocarbyl group; adjacent Rs may be joined to form a hydrocarbyl ring; each L is independently a −1 anionic ligand selected from the group consisting of NR′2, OR′, Cp, amidinate, β-diketonate, or keto-iminate, wherein R′ is an H or a C1-C4 hydrocarbon group; adjacent R′s may joined to form a hydrocarbyl ring; and each L′ is independently NR″ or O, wherein R″ is an H or a C1-C4 hydrocarbon group; and adjacent R″s may joined to form a hydrocarbyl ring. One of ordinary skill in the art would recognize that the A-containing aromatic group is a heterocyclic cyclic group containing N, Si, B, P or O and may have a symmetric or asymmetric structure.
  • The Lanthanide-containing film forming compositions further comprise the Lanthanide precursors having the following formulae:

  • L-Ln-C5R4-[(ER2)m-(ER2)n-L′]-,

  • L-Ln-C4AR3-3-[(ER2)m-(ER2)n-L′]-,

  • L-Ln-C3(m-A2)R2-4-[(ER2)m-(ER2)n-L′-]-,
  • referring to the following structure formula, respectively:
  • Figure US20180187303A1-20180705-C00005
  • wherein Ln is selected from Lanthanide elements consisting of La, Y, Sc, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb and Lu bonded in an η5 bonding mode to the aromatic group; A is independently N, Si, B, P or O; each E is independently C, Si, B or P; m and n are independently 0, 1 or 2; m+n>1; each R is independently an H or a C1-C4 hydrocarbyl group; and adjacent Rs may be joined to form a hydrocarbyl ring; each L is independently a −1 anionic ligand selected from the group consisting of NR′2, OR′, Cp, amidinate, β-diketonate, or keto-iminate, wherein R′ is an H or a C1-C4 hydrocarbon group; and adjacent R′s may be joined to form a hydrocarbyl ring; and each L′ is independently NR″ or O, wherein R″ is an H or a C1-C4 hydrocarbon group and adjacent R″s may be joined to form a hydrocarbyl ring.
  • Exemplary Ln-containing precursors wherein E is C; A is N; m+n=2; and each R is independently hydrogen or a hydrocarbon group having up to 4 carbon atoms include but are not limited to (H2N)-Ln-C5H4—[(CH2)2—NH]—, (Me2N)-Ln-C5H4—[(CH2)2—NH]—, (Et2N)-Ln-C5H4—[(CH2)2—NH]—, (nPr2N)-Ln-C5H4—[(CH2)2—NH]—, (iPr2N)-Ln-C5H4—[(CH2)2—NH]—, (nBu2N)-Ln-C5H4—[(CH2)2—NH]—, (iBu2N)-Ln-C5H4—[(CH2)2—NH]—, (sBu2N)-Ln-C5H4—[(CH2)2—NH]—, (tBu2N)-Ln-C5H4—[(CH2)2—NH]—, (Cp)-Ln-C5H4—[(CH2)2—NH]—, (HO)-Ln-C5H4—[(CH2)2—NH]—, (MeO)-Ln-C5H4—[(CH2)2—NH]—, (EtO)-Ln-C5H4-[(CH2)2—NH]—, (nPrO)-Ln-C5H4—[(CH2)2—NH]—, (iPrO)-Ln-C5H4—[(CH2)2—NH]—, (nBuO)-Ln-C5H4—[(CH2)2—NH]—, (iBuO)-Ln-C5H4—[(CH2)2—NH]—, (sBuO)-Ln-C5H4—[(CH2)2—NH]—, (tBuO)-Ln-C5H4—[(CH2)2—NH]—, (H2N)-Ln-C5H4—[(CH2)2—NMe]-, (Me2N)-Ln-C5H4—[(CH2)2—NMe]-, (Et2N)-Ln-C5H4—[(CH2)2—NMe]-, (nPr2N)-Ln-C5H4—[(CH2)2—NMe]-, (iPr2N)-Ln-C5H4—[(CH2)2—NMe]-, (nBu2N)-Ln-C5H4—[(CH2)2—NMe]-, (iBu2N)-Ln-C5H4—[(CH2)2—NMe]-, (sBu2N)-Ln-C5H4—[(CH2)2—NMe]-, (tBu2N)-Ln-C5H4—[(CH2)2—NMe]—, (Cp)-Ln-C5H4—[(CH2)2—NMe]-, (HO)-Ln-C5H4—[(CH2)2—NMe]-, (MeO)-Ln-C5H4-[(CH2)2—NMe]-, (EtO)-Ln-C5H4—[(CH2)2—NMe]-, (nPrO)-Ln-C5H4—[(CH2)2—NMe]-, (iPrO)-Ln-C5H4—[(CH2)2—NMe]-, (nBuO)-Ln-C5H4—[(CH2)2—NMe]-, (iBuO)-Ln-C5H4—[(CH2)2—NMe]-, (sBuO)-Ln-C5H4—[(CH2)2—NMe]-, (tBuO)-Ln-C5H4—[(CH2)2—NMe]-, (H2N)-Ln-C5H4—[(CH2)2—NEt]-, (Me2N)-Ln-C5H4—[(CH2)2—NEt]-, (Et2N)-Ln-C5H4—[(CH2)2—NEt]-, (nPr2N)-Ln-C5H4—[(CH2)2—NEt]-, (iPr2N)-Ln-C5H4—[(CH2)2—NEt]-, (nBu2N)-Ln-C5H4—[(CH2)2—NEt]-, (iBu2N)-Ln-C5H4—[(CH2)2—NEt]-, (sBu2N)-Ln-C5H4—[(CH2)2—NEt]-, (t)Bu2N)-Ln-C5H4—[(CH2)2—NEt]-, (Cp)-Ln-C5H4—[(CH2)2—NEt]-, (HO)-Ln-C5H4—[(CH2)2—NEt]-, (MeO)-Ln-C5H4—[(CH2)2—NEt]-, (EtO)-Ln-C5H4—[(CH2)2—NEt]-, (nPrO)-Ln-C5H4—[CH2)2—NEt]-, (iPrO)-Ln-C5H4—[(CH2)2—NEt]-, (nBuO)-Ln-C5H4—[(CH2)2—NEt]-, (iBuO)-Ln-C5H4—[(CH2)2—NEt]-, (sBuO)-Ln-C5H4—[(CH2)2—NEt]-, (tBuO)-Ln-C5H4—[(CH2)2—NEt]-, (H2N)-Ln-C5H4—[(CH2)2—NnPr]—, (Me2N)-Ln-C5H4—[(CH2)2—NnPr]—, (Et2N)-Ln-C5H4—[(CH2)2—NnPr]—, (nPr2N)-Ln-C5H4—[(CH2)2—NnPr]—, (iPr2N)-Ln-C5H4—[(CH2)2—NnPr]—, (nBu2N)-Ln-C5H4—[(CH2)2—NnPr]—, (iBu2N)-Ln-C5H4—[(CH2)2—NnPr]—, (sBu2N)-Ln-C5H4—[(CH2)2—NnPr]—, (tBu2N)-Ln-C5H4—[(CH2)2—NnPr]—, (Cp)-Ln-C5H4—[(CH2)2—NnPr]—, (HO)-Ln-C5H4—[(CH2)2—NnPr]—, (MeO)-Ln-C5H4—[(CH2)2—NnPr]—, (EtO)-Ln-C5H4—[(CH2)2—NnPr]—, (nPrO)-Ln-C5H4—[(CH2)2—NnPr]—, (iPrO)-Ln-C5H4—[(CH2)2—NnPr]—, (nBuO)-Ln-C5H4—[(CH2)2—NnPr]—, (iBuO)-Ln-C5H4—[(CH2)2—NnPr]—, (sBuO)-Ln-C5H4—[(CH2)2—NnPr]—, (tBuO)-Ln-C5H4—[(CH2)2—NnPr]—, (H2N)-Ln-C5H4—[(CH2)2—NiPr]—, (Me2N)-Ln-C5H4—[(CH2)2—NiPr]—, (Et2N)-Ln-C5H4—[(CH2)2—NiPr]—, (nPr2N)-Ln-C5H4—[(CH2)2—NiPr]—, (i-Ln-C5H4—[(CH2)2—NiPr]—, (nBu2N)-Ln-C5H4—[(CH2)2—NiPr]—, (iBu2N)-Ln-C5H4—[(CH2)2—NiPr]—, (sBu2N)-Ln-C5H4—[(CH2)2—NiPr]—, (tBu2N)-Ln-C5H4—[(CH2)2—NiPr]—, (Cp)-Ln-C5H4—[(CH2)2—NiPr]—, (HO)-Ln-C5H4—[(CH2)2—NiPr]—, (MeO)-Ln-C5H4—[(CH2)2—NiPr]—, (EtO)-Ln-C5H4—[(CH2)2—NiPr]—, (nPrO)-Ln-C5H4—[(CH2)2—NiPr]—, (iPrO)-Ln-C5H4—[(CH2)2—NiPr]—, (nBuO)-Ln-C5H4—[(CH2)2—NiPr]—, (iBuO)-Ln-C5H4—[(CH2)2—NiPr]—, (sBuO)-Ln-C5H4—[(CH2)2—NiPr]—, (tBuO)-Ln-C5H4—[(CH2)2—NiPr]—, (H2N)-Ln-C5H4—[(CH2)2—NnBu]-, (Me2N)-Ln-C5H4—[(CH2)2—NnBu]-, (Et2N)-Ln-C5H4—[(CH2)2—NnBu]-, (nPr2N)-Ln-C5H4—[(CH2)2—NnBu]-, (iPr2N)-Ln-C5H4—[(CH2)2—NnBu]-, (nBu2N)-Ln-C5H4—[(CH2)2—NnBu]-, (iBu2N)-Ln-C5H4—[(CH2)2—NnBu]-, (sBu2N)-Ln-C5H4—[(CH2)2—NnBu]-, (tBu2N)-Ln-C5H4—[(CH2)2—NnBu]- , (Cp)-Ln-C5H4—[(CH2)2—NnBu]-, (HO)-Ln-C5H4—[(CH2)2—NnBu]-, (MeO)-Ln-C5H4—[(CH2)2—NnBu]-, (EtO)- Ln-C5H4—[(CH2)2—NnBu]-, (nPrO)-Ln-C5H4—[(CH2)2—NnBu]-, (iPrO)-Ln-C5H4—[(CH2)2—NnBu]-, (nBuO)-Ln-C5H4—[(CH2)2—NnBu]-, (iBuO-Ln-C5H4—[(CH2)2—NnBu]-, (sBuO)-Ln-C5H4—[(CH2)2—NnBu]-, (tBuO)-Ln-C5H4—[(CH2)2—NnBu]-, (H2N)-Ln-C5H4—[(CH2)2—NiBu]-, (Me2N)-Ln-C5H4—[(CH2)2—NiBu]-, (Et2N)-Ln-C5H4—[(CH2)2—NiBu]-, (nPr2N)-Ln-C5H4—[(CH2)2—NiBu]-, (iPr2N)-Ln-C5H4—[(CH2)2—NiBu]-, (nBu2N)-Ln-C5H4—[(CH2)2—NiBu]-, (iBu2N)-Ln-C5H4—[(CH2)2—NiBu]-, (sBu2N)-Ln-C5H4—[(CH2)2—NiBu]-, (tBu2N)-Ln-C5H4—[(CH2)2—NiBu]-, (Cp)-Ln-C5H4—[(CH2)2—NiBu]-, (HO)-Ln-C5H4—[(CH2)2—NiBu]-, (MeO)-Ln-C5H4—[(CH2)2—NiBu]-, (EtO)-Ln-C5H4—[(CH2)2—NiBu]-, (nPrO)-Ln-C5H4—[(CH2)2—NiBu]-, (iPrO-Ln-C5H4—[(CH2)2—NiBu]-, (nBuO)-Ln-C5H4—[(CH2)2—NiBu]-, (iBuO)-Ln-C5H4—[(CH2)2—NiBu]-, (sBuO)-Ln-C5H4—[(CH2)2—NiBu]-, (tBuO)-Ln-C5H4—[(CH2)2—NiBu]-, (H2N)-Ln-C5H4—[(CH2)2—NsBu]-, (Me2N)-Ln-C5H4—[(CH2)2—NsBu]-, (Et2N)-Ln-C5H4—[(CH2)2—NsBu]-, (nPr2N)-Ln-C5H4—[(CH2)2—NsBu]-, (iPr2N)-Ln-C5H4—[(CH2)2—NsBu]-, (nBu2N)-Ln-C5H4—[(CH2)2—NsBu]-, (iBu2N)-Ln-C5H4—[(CH2)2—NsBu]-, (sBuN)-Ln-C5H4—[(CH2)2—NsBu]-, (tBu2N)-Ln-C5H4—[(CH2)2—NsBu]-, (Cp)-Ln-C5H4—[(CH2)2—NsBu]-, (HO)-Ln-C5H4—[(CH2)2—NsBu]-, (MeO)-Ln-C5H4—[(CH2)2—NsBu]-, (EtO)-Ln-C5H4—[(CH2)2—NsBu]-, (nPrO)-Ln-C5H4—[(CH2)2—NsBu]-, (iPrO)-Ln-C5H4—[(CH2)2—NsBu]-, (nBuO)-Ln-C5H4—[(CH2)2—NsBu]-, (iBuO)-Ln-C5H4—[(CH2)2—NsBu]-, (sBuO)-Ln-C5H4—[(CH2)2—NsBu], (tBuO)-Ln-C5H4—[(CH2)2—NsBu]-, (H2N)-Ln-C5H4—[(CH2)2—NtBu]-, (Me2N)-Ln-C5H4—[(CH2)2—NtBu]-, (Et2N)-Ln-C5H4—[(CH2)2—NtBu]-, (nPr2N)-Ln-C5H4—[(CH2)2—NtBu]-, (iPr2N)-Ln-C5H4—[(CH2)2—NtBu]-, (nBu2N)-Ln-C5H4—[(CH2)2—NtBu]-, (iBu2N)-Ln-C5H4—[(CH2)2—NtBu]-, (sBu2N)-Ln-C5H4—[(CH2)2—NtBu]-, (tBu2N)-Ln-C5H4—[(CH2)2—NtBu]-, (Cp)-Ln-C5H4—[(CH2NtBu]-, (HO)-Ln-C5H4—[(CH2)2—NtBu]-, (MeO)-Ln-C5H4—[(CH2)2—NtBu]-, (EtO)-Ln-C5H4—[(CH2)2—NtBu]-, (nPrO)-Ln-C5H4—[(CH2)2—NtBu]-, (iPrO)-Ln-C5H4—[(CH2)2—NtBu]-, (nBuO)-Ln-C5H4—[(CH2)2—NtBu]-, (iBuO)-Ln-C5H4—[(CH2)2—NtBu]-, (sBuO)-Ln-C5H4—[(CH2)2—NtBu]-, (tBuO)-Ln-C5H4—[(CH2)2—NtBu]-, (H2N)-Ln-C5H4—[(CH2)2—O]—, (Me2N)-Ln-C5H4—[(CH2)2—O]—, (Et2N)-Ln-C5H4—[(CH2)2—O]—, (nPr2N)-Ln-C5H4—[(CH2)2—O]—, (iPr2N)-Ln-C5H4—[(CH2)2—O]—, (nBu2N)-Ln-C5H4—[(CH2)2—O]—, (iBu2N)-Ln-C5H4—[(CH2)2—O]—, (sBU2N)-Ln-C5H4—[(CH2)2—O]—, (tBu2N)-Ln-C5H4—[(CH2)2—O]—, (Cp)-Ln-C5H4—[(CH2)2—O]—, (HO)-Ln-C5H4—[(CH2)2—O]—, (MeO)-Ln-C5H4—[(CH2)2—O]—, (EtO)-Ln-C5H4—[(CH2)2—O]—, (nPrO)-Ln-C5H4—[(CH2)2—O]—, (iPrO)-Ln-C5H4—[(CH2)2—O]—, (nBuO)-Ln-C5H4—[(CH2)2—O]—, (iBuO)-Ln-C5H4—[(CH2)2—O]—, (sBuO)-Ln-C5H4—[(CH2)2—O]—, (tBuO)-Ln-C5H4—[(CH2)2—O]—, (H2N)-Ln-C5H4[(CMe2)2—NH]—, (Me2N)-Ln-C5H4—[(CMe2)2—NH]—, (Et2N)-Ln-C5H4-[(CMe2)2—NH]—, (nPr2N)-Ln-C5H4—[(CMe2)2—NH]—, (iPr2N)-Ln-C5H4—[(CMe2)2—NH]—, (nBu2N)-Ln-C5H4-[(CMe2)2—NH]—, (iBu2N)-Ln-C5H4—[(CMe2)2—NH]—, (sBu2N)-Ln-C5H4—[(CMe2)2—NH]—, (tBu2N)-Ln-C5H4—[(CMe2)2—NH]—, (Cp)-Ln-C5H4—[(CMe2)2—NH]—, (HO)-Ln-C5H4—[(CMe2)2—NH]—, (MeO)-Ln-C5H4—[(CMe2)2-NH]—, (EtO)-Ln-C5H4—[(CMe2)2—NH]—, (nPrO)-Ln-C5H4—[(CMe2)2—NH]—, (iPrO)-Ln-C5H4—[(CMe2)2—NH]—, (nBuO)-Ln-C5H4—[(CMe2)2—NH]—, (iBuO)-Ln-C5H4—[(CMe2)2—NH]—, (sBuO)Ln-C5H4—[(CMe2)2—NH]—, (tBuO )-Ln-C5H4—[(CMe2)2—NH]—, (H2N)-Ln-C5H4—[(OMe2)2—NMe]-, (Me2N)-Ln-C5H4-[(CMe2)2—NMe]-, (Et2N)-Ln-C5H4-[(CMe2)2—NMe]-, (nPr2N)-Ln-C5H4—[(CMe2)2—NMe]-, (iPr2N)-Ln-C5H4—[(CMe2)2—NMe]-, (nBu2N)-Ln-C5H4—[(CMe2)2—NMe]-, (iBu2N)-Ln-C5H4—[(CMe2)2—NMe]-, (sBu2N)-Ln-C5H4—[(CMe2)2—NMe]-, (tBu2N)-Ln-C5H4—[(CMe2)2—NMe]-, (Cp)-Ln-C5H4—[(CMe2)2—NMe]-, (HO)-Ln-C5H4—[(CMe2)2—NMe]-, (MeO)-Ln-C5H4—[(CMe2)2—NMe]-, (EtO)-Ln-C5H4—[(CMe2)2—NMe]-, (nPrO)-Ln-C5H4—[(CMe2)2—NMe]-, (iPrO)-Ln-C5H4—[(CMe2)2—NMe]-, (nBuO)-Ln-C5H4—[(CMe2)2—NMe]-, (iBuO)-Ln-C5H4—[(CMe2)2—NMe]-, (sBuO)-Ln-C5H4—[(CMe2)2—NMe]-, (tBuO)-Ln-C5H4—[(CMe2)2—NMe]-, (H2N)-Ln-C5H4—[(CMe2)2—NEt]-, (nPr2N)-Ln-C5H4—[(CMe2)2—NEt]-, (iPr2N)-Ln-C5H4—[(CMe2)2—NEt]-, (nBu2N)-Ln-C5H4—[(CMe2)2—NEt]-, (iBu2N)-Ln-C5H4—[(CMe2)2—NEt]-, (sBu2N)-Ln-C5H4—[(CMe2)2—NEt]-, (tBu2N)-Ln-C5H4—[(CMe2)2—NEt]-, (Cp)-Ln-C5H4—[(CMe2)2—NEt]-, (HO)-Ln-C5H4—[(CMe2)2—NEt]-, (MeO)-Ln-C5H4—[(CMe2)2—NEt]-, (EtO)-Ln-C5H4—[(CMe2)2—NEt]-, (nPrO-Ln-C5H4—[(CMe2)2—NEt]-, (iPrO)-Ln-C5H4—[(CMe2)2—NEt]-, (nBuO)-Ln-C5H4—[(CMe2)2—NEt]-, (iBuO)-Ln-C5H4—[(CMe2)2—NEt]-, (sBuO)-Ln-C5H4—[(CMe2)2—NEt]-, (tBuO)-Ln-C5H4—[(CMe2)2—NEt]-, (H2N)-Ln-C5H4—[(CMe2)2—NnPr]—, (Me2N)-Ln-C5H4—[(CMe2)2—NnPr]—, (Et2N)-Ln-C5H4—[(CMe2)2—NnPr]—, (nPr2N)-Ln-C5H4—[(CMe2)2—NnPr]—, (iPr2N)-Ln-C5H4—[(CMe2)2—NnPr]—, (nBu2N)-Ln-C5H4—[(CMe2)2—NnPr]—, (iBu2N)-Ln-C5H4—[(CMe2)2—NnPr]—, (sBu2N)-Ln-C5H4—[(CMe2)2—NnPr]—, (tBu2N)-Ln-C5H4—[(CMe2)2—NnPr]—, (Cp)-Ln-C5H4—[(CMe2)2—NnPr]—, (HO)-Ln-C5H4—[(CMe2)2—NnPr]—, (MeO)-Ln-C5H4—[(CMe2)2—NnPr]—, (EtO)-Ln-C5H4—[(CMe2)2—NnPr]—, (nPrO)-Ln-C5H4—[(CMe2)2—NnPr]—, (iPrO)-Ln-C5H4—[(CMe2)2—NnPr]—, (nBuO)-Ln-C5H4—[(CMe2)2—NnPr]—, (iBuO)-Ln-C5H4—[(CMe2)2—NnPr]—, (sBuO)-Ln-C5H4—[(CMe2)2—NnPr]—, (tBuO)-Ln-C5H4—[(CMe2)2—NnPr]—, (H2N)-Ln-C5H4—[(CMe2)2—NiPr]—, (Me2N)-Ln-C5H4—[(CMe2)2—NiPr]—, (Et2N)-Ln-C5H4—[(CMe2)2—NiPr]—, (nPr2N)-Ln-C5H4—[(CMe2)2—NiPr]—, (iPr2N)-Ln-C5H4—[(CMe2)2—NiPr]—, (nBu2N)-Ln-C5H4—[(CMe2)2—NiPr]—, (iBu2N)-Ln-C5H4—[(CMe2)2—NiPr]—, (sBu2N)-Ln-C5H4—[(CMe2)2—NiPr]—, (tBu2N)-Ln-C5H4—[(CMe2)2—NiPr]—, (Cp)-Ln-C5H4—[(CMe2)2—NiPr]—, (HO)-Ln-C5H4—[(CMe2)2—NiPr]—, (MeO)-Ln-C5H4—[(CMe2)2—NiPr]—, (EtO)-Ln-C5H4—[(CMe2)2—NiPr]—, (nPrO)-Ln-C5H4—[(CMe2)2—NiPr]—, (iPrO)-Ln-C5H4—[(CMe2)2—NiPr]—, (nBuO)-Ln-C5H4—[(CMe2)2—NiPr]—, (iBuO)-Ln-C5H4—[(CMe2)2—NiPr]—, (sBuO)-Ln-C5H4—[(CMe2)2—NiPr]—, (tBuO)-Ln-C5H4—[(CMe2)2—NiPr]—, (H2N)-Ln-C5H4—[(CMe2)2—NnBu]-, (Me2N)-Ln-C5H4—[(CMe2)2—NnBu]-, (Et2N)-Ln-C5H4—[(CMe2)2—NnBu]-, (nPr2N)-Ln-C5H4—[(CMe2)2—NnBu]-, (iPr2N)-Ln-C5H4—[(CMe2)2—NnBu]-, (nBu2N)-Ln-C5H4—[(CMe2)2—NnBu]-, (iBu2N)-Ln-C5H4—[(CMe2)2—NnBu]-, (sBu2N)-Ln-C5H4—[(CMe2)2—NnBu]-, (tBu2N)-Ln-C5H4—[(CMe2)2—NnBu]-, (Cp)-Ln-C5H4—[(CMe2)2—NnBu]-, (HO)-Ln-C5H4—[(CMe2)2—NnBu]-, (MeO)-Ln-C5H4—[(CMe2)2—NnBu]-, (EtO)-Ln-C5H4—[(CMe2)2—NnBu]-, (nPrO)-Ln-C5H4—[(CMe2)2—NnBu]-, (iPrO)-Ln-C5H4—[(CMe2)2—NnBu]-, (nBuO)-Ln-C5H4—[(CMe2)2—NnBu]-, (iBuO)-Ln-C5H4—[(CMe2)2—NnBu]-, (sBuO)-Ln-C5H4—[(CMe2)2—NnBu]-, (tBuO)-Ln-C5H4—[(CMe2)2—NnBu]-, (H2N)-Ln-C5H4—[(CMe2)2—NiBu]-, (Me2N)-Ln-C5H4—[(CMe2)2—NiBu]-, (Et2N)-Ln-C5H4—[(CMe2)2—NiBu]-, (nPr2N)-Ln-C5H4—[(CMe2)2—NiBu]-, (iPr2N)-Ln-C5H4—[(CMe2)2—NiBu]-, (nBu2N)-Ln-C5H4—[(CMe2)2—NiBu]-, (iBu2N)-Ln-C5H4—[(CMe2)2—NiBu]-, (sBu2N)-Ln-C5H4—[(CMe2)2—NiBu]-, (tBu2N)-Ln-C5H4—[(CMe2)2—NiBu]-, (Cp)-Ln-C5H4—[(CMe2)2—NiBu]-, (HO)-Ln-C5H4—[(CMe2)2—NiBu]-, (MeO)-Ln-C5H4—[(CMe2)2—NiBu]-, (EtO)-Ln-C5H4—[(CMe2)2—NiBu]-, (nPrO)-Ln-C5H4—[(CMe2)2—NiBu]-, (iPrO)-Ln-C5H4—[(CMe2)2—NiBu]-, (nBuO)-Ln-C5H4—[(CMe2)2—NiBu]-, (iBuO)-Ln-C5H4—[(CMe2)2—NiBu]-, (sBuO)-Ln-C5H4—[(CMe2)2—NiBu]-, (tBuO)-Ln-C5H4—[(CMe2)2—NiBu]-, (H2N)-Ln-C5H4—[(CMe2)2—NsBu]-, (Me2N)-Ln-C5H4—[(CMe2)2—NsBu]-, (Et2N)-Ln-C5H4—[(CMe2)2—NsBu]-, (nPr2N)-Ln-C5H4—[(CMe2)2—NsBu]-, (iPr2N)-Ln-C5H4—[(CMe2)2—NsBu]-, (nBu2N)-Ln-C5H4—[(CMe2)2—NsBu]-, (iBu2N)-Ln-C5H4—[(CMe2)2—NsBu]-, (sBu2N)-Ln-C5H4—[(CMe2)2—NsBu]-, (tBu2N)-Ln-C5H4—[(CMe2)2—NsBu]-, (Cp)-Ln-C5H4—[(CMe2)2—NsBu]-, (HO)-Ln-C5H4—[(CMe2)2—NsBu]-, (MeO)-Ln-C5H4—[(CMe2)2—NsBu]-, (EtO)-Ln-C5H4—[(CMe2)2—NsBu]-, (nPrO)-Ln-C5H4—[(CMe2)2—NsBu]-, (iPrO-Ln-C5H4—[(CMe2)2—NsBu]-, (nBuO)-Ln-C5H4—[(CMe2)2—NsBu]-, (iBuO)-Ln-C5H4—[(CMe2)2—NsBu]-, (sBuO)-Ln-C5H4—[(CMe2)2—NsBu]-, (tBuO)-Ln-C5H4—[(CMe2)2—NsBu]-, (H2N)-Ln-C5H4—[(CMe2)2—NtBu]-, (Me2N)-Ln-C5H4—[(CMe2)2—NtBu]-, (Et2N)-Ln-C5H4—[(CMe2)2—NtBu]-, (nPr2N)-Ln-C5H4—[(CMe2)2—NtBu]-, (iPr2N)-Ln-C5H4—[(CMe2)2—NtBu]-, (nBu2N)-Ln-C5H4—[(CMe2)2—NtBu]-, (iBu2N)-Ln-C5H4—[(CMe2)2—NtBu]-, (sBu2N)-Ln-C5H4—[(CMe2)2—NtBu]-, (tBu2N)-Ln-C5H4—[(CMe2)2—NtBu]-, (Cp)-Ln-C5H4—[(CMe2)2—NtBu]-, (HO)-Ln-C5H4—[(CMe2)2—NtBu]-, (MeO)-Ln-C5H4—[(CMe2)2—NtBu]-, (EtO)-Ln-C5H4—[(CMe2)2—NtBu]-, (nPrO-Ln-C5H4—[(CMe2)2—NtBu]-, (iPrO)-Ln-C5H4—[(CMe2)2—NtBu]-, (nBuO)-Ln-C5H4—[(CMe2)2—NtBu]-, (iBuO)-Ln-C5H4—[(CMe2)2—NtBu]-, (sBuO)-Ln-C5H4—[(CMe2)2—NtBu]-, (tBuO)-Ln-C5H4—[(CMe2)2—NtBu]-, (H2N)-Ln-C5H4—[(CMe2)2—O]—, (Me2N)-Ln-C5H4—[(CMe2)2—O]—, (Et2N)-Ln-C5H4—[(CMe2)2—O]—, (nPr2N)-Ln-C5H4—[(CMe2)2—O]—, (iPr2N)-Ln-C5H4—[(CMe2)2—O]—, (nBu2N)-Ln-C5H4—[(CMe2)2—O]—, (iBu2N)-Ln-C5H4—[(CMe2)2—O]—, (sBu2N)-Ln-C5H4—[(CMe2)2—O]—, (tBu2N)-Ln-C5H4—[(CMe2)2—O]—, (Cp)-Ln-C5H4—[(CMe2)2—O]—, (HO)-Ln-C5H4—[(CMe2)2—O]—, (MeO)-Ln-C5H4—[(CMe2)2—O]—, (EtO)-Ln-C5H4—[(CMe2)2—O]—, (nPrO)-Ln-C5H4—[(CMe2)2—O]—, (iPrO)-Ln-C5H4—[(CMe2)2—O]—, (nBuO)-Ln-C5H4—[(CMe2)2—O]—, (iBuO)-Ln-C5H4—[(CMe2)2—O]—, (sBuO)-Ln-C5H4—[(CMe2)2—O]—, (tBuO)-Ln-C5H4—[(CMe2)2—O]—, (H2N)-Ln-C5Me4—[(CH2)2—NH]—, (Me2N)-Ln-C5Me4—[(CH2)2—NH]—, (Et2N)-Ln-C5Me4—[(CH2)2—NH]—, (nPr2N)-Ln-C5Me4—[(CH2)2—NH]—, (iPr2N)-Ln-C5Me4—[(CH2)2—NH]—, (nBu2N)-Ln-C5Me4—[(CH2)2—NH]—, (iBu2N)-Ln-C5Me4—[(CH2)2—NH]—, (sBu2N)-Ln-C5Me4—[(CH2)2—NH]—, (tBu2N)-Ln-C5Me4—[(CH2)2—NH]—, (Cp)-Ln-C5Me4—[(CH2)2—NH]—, (HO)-Ln-C5Me4—[(CH2)2—NH]—, (MeO)-Ln-C5Me4—[(CH2)2—NH]—, (EtO)-Ln-C5Me4—[(CH2)2—NH]—, (nPrO)-Ln-C5Me4—[(CH2)2—NH]—, (iPrO)-Ln-C5Me4—[(CH2)2—NH]—, (nBuO)-Ln-C5Me4—[(CH2)2—NH]—, (iBuO)-Ln-C5Me4—[(CH2)2—NH]—, (sBuO)-Ln-C5Me4—[(CH2)2—NH]—, (tBuO)-Ln-C5Me4—[(CH2)2—NH]—, (H2N)-Ln-C5Me4—[(CH2)2—NMe]-, (Me2N)-Ln-C5Me4—[(CH2)2—NMe]-, (Et2N)-Ln-C5Me4—[(CH2)2—NMe]-, (nPr2N)-Ln-C5Me4—[(CH2)2—NMe]-, (iPr2N)-Ln-C5Me4—[(CH2)2—NMe]-, (nB2N)-Ln-C5Me4—[(CH2)2—NMe]-, (iBu2N)-Ln-C5Me4—[(CH2)2—NMe]-, (sBu2N)-Ln-C5Me4—[(CH2)2—NMe]-, (tBu2N)-Ln-C5Me4—[(CH2)2—NMe]-, (Cp)-Ln-C5Me4—[(CH2)2—NMe]-, (HO)-Ln-C5Me4—[(CH2)2—NMe]-, (MeO)-Ln-C5Me4—[(CH2)2—NMe]-, (EtO)-Ln-C5Me4—[(CH2)2—NMe]-, (nPrO)-Ln-C5Me4—[(CH2)2—NMe]-, (iPrO)-Ln-C5Me4—[(CH2)2—NMe]-, (nBuO)-Ln-C5Me4—[(CH2)2—NMe]-, (iBuO)-Ln-C5Me4—[(CH2)2—NMe]-, (sBuO)-Ln-C5Me4—[(CH2)2—NMe]-, (tBuO)-Ln-C5Me4—[(CH2)2—NMe]-, (H2N)-Ln-C5Me4—[(CH2)2—NEt]-, (Me2N)-Ln-C5Me4—[(CH2)2—NEt]-, (Et2N)-Ln-C5Me4—[(CH2)2—NEt]-, (nPr2N)-Ln-C5Me4—[(CH2)2—NEt]-, (iPr2N)-Ln-C5Me4—[(CH2)2—NEt]-, (nBu2N)-Ln-C5Me4—[(CH2)2—NEt]-, (iBu2N)-Ln-C5Me4—[(CH2)2—NEt]-, (sBu2N)-Ln-C5Me4—[(CH2)2—NEt]-, (tBu2N)-Ln-C5Me4—[(CH2)2—NEt]-, (Cp)-Ln-C5Me4—[(CH2)2—NEt]-, (HO)-Ln-C5Me4—[(CH2)2—NEt]-, (MeO)-Ln-C5Me4—[(CH2)2—NEt]-, (EtO)-Ln-C5Me4—[(CH2)2—NEt]-, (nPrO)-Ln-C5Me4—[(CH2)2—NEt]-, (iPrO-Ln-C5Me4—[(CH2)2—NEt]-, (nBuO)-Ln-C5Me4—[(CH2)2—NEt]-, (iBuO)-Ln-C5Me4—[(CH2)2—NEt]-, (sBuO)-Ln-C5Me4—[(CH2)2—NEt]-, (tBuO)-Ln-C5Me4—[(CH2)2—NEt]-, (H2N)-Ln-C5Me4—[(CH2)2—NnPr]—, (Me2N)-Ln-C5Me4-[(CH2)2—NnPr]—, (Et2N)-Ln-C5Me4-[(CH2)2—NnPr]—, (nPr2N)-Ln-C5Me4-[(CH2)2—NnPr]—, (iPr2N)-Ln-C5Me4-[(CH2)2—NnPr]—, (nBu2N)-Ln-C5Me4- [(CH2)2—NnPr]—, (iBu2N)-Ln-C5Me4-[(CH2)2—NnPr]—, (sBu2N)-Ln-C5Me4-[(CH2)2—NnPr]—, (tBu2N)-Ln-C5Me4-[(CH2)2—NnPr]—, (Cp)-Ln-C5Me4-[(CH2)2—NnPr]—, (HO)-Ln-C5Me4-[(CH2)2—NnPr]—, (MeO)-Ln-C5Me4-[(CH2)2—NnPr]—, (EtO)-Ln-C5Me4-[(CH2)2—NnPr]—, (nPrO)-Ln-C5Me4-[(CH2)2—NnPr]—, (iPrO)-Ln-C5Me4-[(CH2)2—NnPr]—, (nBuO)-Ln-C5Me4-[(CH2)2—NnPr]—, (iBuO)-Ln-C5Me4-[(CH2)2—NnPr]—, (sBuO)-Ln-C5Me4-[(CH2)2—NnPr]—, (tBuO)-Ln-C5Me4-[(CH2)2—NnPr]—, (H2N)-Ln-C5Me4-[(CH2)2—NiPr]—, (Me2N)-Ln-C5Me4- [(CH2)2—NiPr]—, (Et2N)-Ln-C5Me4-[(CH2)2—NiPr]—, (nPr2N)-Ln-C5Me4-[(CH2)2—NiPr]—, (iPr2N)-Ln-C5Me4-[(CH2)2—NiPr]—, (nBu2N)-Ln-C5Me4-[(CH2)2—NiPr]—, (iBu2N)-Ln-C5Me4-[(CH2)2—NiPr]—, (sBu2N)-Ln-C5Me4-[(CH2)2—NiPr]—, (tBu2N)-Ln-C5Me4-[(CH2)2—NiPr]—, (Cp)-Ln-C5Me4-[(CH2)2—NiPr]—, (HO)-Ln-C5Me4-[(CH2)2—NiPr]—, (MeO)-Ln-C5Me4-[(CH2)2—NiPr]—, (EtO)-Ln-C5Me4-[(CH2)2—NiPr]—, (nPrO)-Ln-C5Me4-[(CH2)2—NiPr]—, (iPrO)-Ln-C5Me4-[(CH2)2—NiPr]—, (nBuO)-Ln-C5Me4-[(CH2)2—NiPr]—, (iBuO)-Ln-C5Me4-[(CH2)2—NiPr]—, (sBuO)-Ln-C5Me4-[(CH2)2—NiPr]—, (tBuO)-Ln-C5Me4-[(CH2)2—NiPr]—, (H2N)-Ln-C5Me4-[(CH2)2—NnBu]-, (Me2N)-Ln-C5Me4-[(CH2)2—NnBu]-, (Et2N)-Ln-C5Me4-[(CH2)2—NnBu]-, (nPr2N)-Ln-C5Me4-[(CH2)2—NnBu]-, (iPr2N)-Ln-C5Me4-[(CH2)2—NnBu]-, (nBu2N)-Ln-C5Me4-[(CH2)2—NnBu]-, (iBu2N)-Ln-C5Me4-[(CH2)2—NnBu]-, (sBu2N)-Ln-C5Me4-[(CH2)2—NnBu]-, (tBu2N)-Ln-C5Me4-[(CH2)2—NnBu]-, (Cp)-Ln-C5Me4-[(CH2)2—NnBu]-, (HO)-Ln-C5Me4-[(CH2)2—NnBu]-, (MeO)-Ln-C5Me4-[(CH2)2—NnBu]-, (EtO)-Ln-C5Me4-[(CH2)2—NnBu]-, (nPrO)-Ln-C5Me4-[(CH2)2—NnBu]-, (iPrO)-Ln-C5Me4-[(CH2)2—NnBu]-, (nBuO)-Ln-C5Me4-[(CH2)2—NnBu]-, (iBuO)-Ln-C5Me4-[(CH2)2—NnBu]-, (sBuO)-Ln-C5Me4-[(CH2)2—NnBu]-, (tBuO)-Ln-C5Me4-[(CH2)2—NnBu]-, (H2N)-Ln-C5Me4-[(CH2)2—NiBu]-, (Me2N)-Ln-C5Me4-[(CH2)2—NiBu]-, (Et2N)-Ln-C5Me4-[(CH2)2—NiBu]-, (nPr2N)-Ln-C5Me4-[(CH2)2—NiBu]-, (iPr2N)-Ln-C5Me4-[(CH2)2—NiBu]-, (nBu2N)-Ln-C5Me4-[(CH2)2—NiBu]-, (iBu2N)-Ln-C5Me4-[(CH2)2—NiBu]-, (sBu2N)-Ln-C5Me4-[(CH2)2—NiBu]-, (tBu2N)-Ln-C5Me4-[(CH2)2—NiBu]-, (Cp)-Ln-C5Me4-[(CH2)2—NiBu]-, (HO)-Ln-C5Me4-[(CH2)2—NiBu]-, (MeO)-Ln-C5Me4-[(CH2)2—NiBu]-, (EtO)-Ln-C5Me4-[(CH2)2—NiBu]-, (nPrO)-Ln-C5Me4-[(CH2)2—NiBu]-, (iPrO)-Ln-C5Me4-[(CH2)2—NiBu]-, (nBuO)-Ln-C5Me4-[(CH2)2—NiBu]-, (iBuO)-Ln-C5Me4-[(CH2)2—NiBu]-, (sBuO)-Ln-C5Me4-[(CH2)2—NiBu]-, (tBuO)-Ln-C5Me4-[(CH2)2—NiBu]-, (H2N)-Ln-C5Me4-[(CH2)2—NsBu]-, (Me2N)-Ln-C5Me4-[(CH2)2—NsBu]-, (Et2N)-Ln-C5Me4-[(CH2)2—NsBu]-, (nPr2N)-Ln-C5Me4-[(CH2)2—NsBu]-, (iPr2N)-Ln-C5Me4-[(CH2)2—NsBu]-, (nBu2N)-Ln-C5Me4-[(CH2)2—NsBu]-, (iBu2N)-Ln-C5Me4-[(CH2)2—NsBu]-, (sBu2N)-Ln-C5Me4-[(CH2)2—NsBu]-, (tBu2N)-Ln-C5Me4-[(CH2)2—NsBu]-, (Cp)-Ln-C5Me4-[(CH2)2—NsBu]-, (HO)-Ln-C5Me4-[(CH2)2—NsBu]-, (MeO)-Ln-C5Me4-[(CH2)2—NsBu]-, (EtO)-Ln-C5Me4-[(CH2)2—NsBu]-, (nPrO)-Ln-C5Me4-[(CH2)2—NsBu]-, (iPrO)-Ln-C5Me4-[(CH2)2—NsBu]-, (nBuO)-Ln-C5Me4-[(CH2)2—NsBu]-, (iBuO)-Ln-C5Me4-[(CH2)2—NsBu]-, (sBuO)-Ln-C5Me4-[(CH2)2—NsBu]-, (tBuO)-Ln-C5Me4-[(CH2)2—NsBu]-, (H2N)-Ln-C5Me4-[(CH2)2—NtBu]-, (Me2N)-Ln-C5Me4-[(CH2)2—NtBu]-, (Et2N)-Ln-C5Me4-[(CH2)2—NtBu]-, (nPr2N)-Ln-C5Me4-[(CH2)2—NtBu]-, (iPr2N)-Ln-C5Me4-[(CH2)2—NtBu]-, (nBu2N)-Ln-C5Me4-[(CH2)2—NtBu]-, (iBu2N)-Ln-C5Me4-[(CH2)2—NtBu]-, (sBu2N)-Ln-C5Me4-[(CH2)2—NtBu]-, (tBu2N)-Ln-C5Me4-[(CH2)2—NtBu]-, (Cp)-Ln-C5Me4-[(CH2)2—NtBu]-, (HO)-Ln-C5Me4-[(CH2)2—NtBu]-, (MeO)-Ln-C5Me4-[(CH2)2—NtBu]-, (EtO)-Ln-C5Me4-[(CH2)2—NtBu]-, (nPrO)-Ln-C5Me4-[(CH2)2—NtBu]-, (iPrO)-Ln-C5Me4-[(CH2)2—NtBu]-, (nBuO)-Ln-C5Me4-[(CH2)2—NtBu]-, (iBuO)-Ln-C5Me4-[(CH2)2—NtBu]-, (sBuO)-Ln-C5Me4-[(CH2)2—NtBu]-, (tBuO)-Ln-C5Me4-[(CH2)2—NtBu]-, (H2N)-Ln-C5Me4-[(CH2)2—O]—, (Me2N)-Ln-C5Me4-[(CH2)2—O]—, (Et2N)-Ln-C5Me4-[(CH2)2—O]—, (nPr2N)-Ln-C5Me4-[(CH2)2—O]—, (iPr2N)-Ln-C5Me4-[(CH2)2—O]—, (nBu2N)-Ln-C5Me4-[(CH2)2—O]—, (iBu2N)-Ln-C5Me4-[(CH2)2—O]—, (sBu2N)-Ln-C5Me4-[(CH2)2—O]—, (tBu2N)-Ln-C5Me4-[(CH2)2—O]—, (Cp)-Ln-C5Me4-[(CH2)2—O]—, (HO)-Ln-C5Me4-[(CH2)2—O]—, (MeO)-Ln-C5Me4-[(CH2)2—O]—, (EtO)-Ln-C5Me4-[(CH2)2—O]—, (nPrO)-Ln-C5Me4-[(CH2)2—O]—, (iPrO)-Ln-C5Me4-[(CH2)2—O]—, (nBuO)-Ln-C5Me4-[(CH2)2—O]—, (iBuO)-Ln-C5Me4-[(CH2)2—O]—, (sBuO)-Ln-C5Me4-[(CH2)2—O]—, (tBuO)-Ln-C5Me4-[(CH2)2—O]—, (H2N)-Ln-C5Me4-[(CMe2)2-NH]—, (Me2N)-Ln-C5Me4-[(CMe2)2-NH]—, (iPr2N)-Ln-C5Me4-[(CMe2)2-NH]—, (nBu2N)-Ln-C5Me4-[(CMe2)2-NH]—, (iBu2N)-Ln-C5Me4-[(CMe2)2-NH]—, (sBu2N)-Ln-C5Me4-[(CMe2)2-NH]—, (tB2N)-Ln-C5Me4-[(CMe2)2-NH]—, (Cp)-Ln-C5Me4-[(CMe2)2-NH]—, (HO)-Ln-C5Me4-[(CMe2)2-NH]—, (MeO)-Ln-C5Me4-[(CMe2)2-NH]—, (EtO)-Ln-C5Me4-[(CMe2)2-NH]—, (nPrO)-Ln-C5Me4-[(CMe2)2-NH]—, (iPrO)-Ln-C5Me4-[(CMe2)2-NH]—, (nBuO)-Ln-C5Me4-[(CMe2)2-NH]—, (iBuO)-Ln-C5Me4-[(CMe2)2-NH]—, (sBuO)-Ln-C5Me4-[(CMe2)2-NH]—, (tBuO)-Ln-C5Me4-[(CMe2)2-NH]—, (H2N)-Ln-C5Me4-[(CMe2)2-NMe]—, (Me2N)-Ln-C5Me4-[(CMe2)2-NMe]-, (Et2N)-Ln-C5Me4-[(CMe2)2-NMe]-, (nPr2N)-Ln-C5Me4[(CMe2)2-NMe]-, (iPr2N)-Ln-C5Me4-[CMe2)2-NMe]-, (nBu2N)-Ln-C5Me4-[(CMe2)2-NMe]-, (iBu2N)-Ln-C5Me4-[(CMe2)2-NMe]-, (sBu2N)-Ln-C5Me4-[(CMe2)2-NMe]- , (tBu2N)-Ln-C5Me4-[(CMe2)2- NMe]-, (Cp)-Ln-C5Me4-[(CMe2)2-NMe]-, (HO)-Ln-C5M4-[(CMe2)2-NMe]-, (MeO)-Ln-C5Me4-[(CMe2)2-NMe]-, (EtO)-Ln-C5Me4-[CMe2)2-NMe]-, (nPrO)-Ln-C5Me4-[(CMe2)2-NMe]-, (iPrO)-Ln-C5Me4-[(CMe2)2-NMe]-, (nBuO)-Ln-C5Me4-[(CMe2)2-NMe]-, (iBuO)-Ln-C5Me4-[(CMe2)2-NMe]-, (sBuO)-Ln-C5Me4-[(CMe2)2-NMe]-, (tBuO)-Ln-C5Me4-[(CMe2)2-NMe]-, (H2N)-Ln-C5Me4-[(CMe2)2-NEt]-, (Me2N)-Ln-C5Me4-[(CMe2)2-NEt]-, (Et2N)-Ln-C5Me4-[(CMe2)2-NEt]-, (nPr2N)-Ln-C5Me4-[(CMe2)2-NEt]-, (iPr2N)-Ln-C5Me4-[(CMe2)2-NEt]-, (nBu2N)-Ln-C5Me4-[(CMe2)2-NEt]-, (iBu2N)-Ln-C5Me4-[(CMe2)2-NEt]-, (sBu2N)-Ln-C5Me4-[(CMe2)2-NEt]-, (tBu2N)-Ln-C5Me4-[(CMe2)2-NEt]-, (Cp)-Ln-C5Me4-[(CMe2)2-NEt]-, (HO)-Ln-C5Me4-[(CMe2)2-NEt]-, (MeO)-Ln-C5Me4-[(CMe2)2-NEt]-, (EtO)-Ln-C5Me4-[(CMe2)2-NEt]-, (nPrO-Ln-C5Me4-[(CMe2)2-NEt]-, (iPrO)-Ln-C5Me4-[(CMe2)2-NEt]-, (nBuO)-Ln-C5Me4-[(CMe2)2-NEt]-, (iBuO)-Ln-C5Me4-[(CMe2)2-NEt]-, (sBuO)-Ln-C5Me4-[(CMe2)2-NEt]-, (tBuO)-Ln-C5Me4-[(CMe2)2-NEt]-, (H2N)-Ln-C5Me4-[(CMe2)2-NnPr]—, (Me2N)-Ln-C5Me4-[(CMe2)2-NnPr]—, (Et2N)-Ln-C5Me4-[(CMe2)2-NnPr]—, (nPr2N)-Ln-C5Me4-[(CMe2)2-NnPr]—, (iPr2N)-Ln-C5Me4-[(CMe2)2-NnPr]—, (nBu2N)-Ln-C5Me4-[(CMe2)2-NnPr]—, (iBu2N)-Ln-C5Me4-[(CMe2)2-NnPr]—, (sBu2N)-Ln-C5Me4-[(CMe2)2-NnPr]—, (tBu2N)-Ln-C5Me4-[(CMe2)2-NnPr]—, (Cp)-Ln-C5Me4-[(CMe2)2-NnPr]—, (HO)-Ln-C5Me4-[(CMe2)2-NnPr]—, (MeO)-Ln-C5Me4-[(CMe2)2-NnPr]—, (EtO)-Ln-C5Me4-[(CMe2)2-NnPr]—, (nPrO)-Ln-C5Me4-[(CMe2)2-NnPr]—, (iPrO)-Ln-C5Me4-[(CMe2)2-NnPr]—, (nBuO)-Ln-C5Me4-[(CMe2)2-NnPr]—, (iBuO)-Ln-C5Me4-[(CMe2)2-NnPr]—, (sBuO)-Ln-C5Me4-[(CMe2)2-NnPr]—, (tBuO)-Ln-C5Me4-[(CMe2)2-NnPr]—, (H2N)-Ln-C5Me4-[(CMe2)2-NiPr]—, (Me2N)-Ln-C5Me4-[(CMe2)2-NiPr]—, (Et2N)-Ln-C5Me4-[(CMe2)2-NiPr]—, (nPr2N)-Ln-C5Me4-[(CMe2)2-NiPr]—, (iPr2N)-Ln-C5Me4-[(CMe2)2-NiPr]—, (nBu2N)-Ln-C5Me4-[(CMe2)2-NiPr]—, (iBu2N)-Ln-C5Me4-[(CMe2)2-NiPr]—, (sBu2N)-Ln-C5Me4-[(CMe2)2-NiPr]—, (tBu2N)-Ln-C5Me4-[(CMe2)2-NiPr]—, (Cp)-Ln-C5Me4-[(CMe2)2-NiPr]—, (HO)-Ln-C5Me4-[(CMe2)2-NiPr]—, (MeO)-Ln-C5Me4-[(CMe2)2-NiPr]—, (EtO)-Ln-C5Me4-[(CMe2)2-NiPr]—, (nPrO)-Ln-C5Me4-[(CMe2)2-NiPr]—, (iPrO)-Ln-C5Me4-[(CMe2)2-NiPr]—, (nBuO)-Ln-C5Me4-[(CMe2)2-NiPr]—, (iBuO)-Ln-C5Me4-[(CMe2)2- NiPr]—, (sBuO)-Ln-C5Me4-[(CMe2)2-NiPr]—, (tBuO)-Ln-C5Me4-[(CMe2)2-NiPr]—, (H2N)-Ln-C5Me4-[(CMe2)2-NnBu]-, (Me2N)-Ln-C5Me4-[(CMe2)2-NnBu]-, (Et2N)-Ln-C5Me4-[(CMe2)2-NnBu]-, (nPr2N)-Ln-C5Me4-[(CMe2)2-NnBu]-, (iPr2N)-Ln-C5Me4-[(CMe2)2-NnBu]-, (nBu2N)-Ln-C5Me4-[(CMe2)2-NnBu]-, (iBu2N)-Ln-C5Me4-[(CMe2)2-NnBu]-, (sBu2N)-Ln-C5Me4-[(CMe2)2-NnBu]-, (tBu2N)-Ln-C5Me4-[(CMe2)2-NnBu]-, (Cp)-Ln-C5Me4-[(CMe2)2-NnBu]-, (HO)-Ln-C5Me4-[(CMe2)2-NnBu]-, (MeO)-Ln-C5Me4-[(CMe2)2-NnBu]-, (EtO)-Ln-C5Me4-[(CMe2)2-NnBu]-, (nPrO)-Ln-C5Me4-[(CMe2)2-NnBu]-, (iPrO)-Ln-C5Me4-[(CMe2)2-NnBu]-, (nBuO)-Ln-C5Me4-[(CMe2)2-NnBu]-, (iBuO)-Ln-C5Me4-[(CMe2)2-NnBu]-, (sBuO)-Ln-C5Me4-[(CMe2)2-NnBu]-, (tBuO)-Ln-C5Me4-[(CMe2)2-NnBu]-, (H2N)-Ln-C5Me4-[(CMe2)2-NiBu]-, (Me2N)-Ln-C5Me4-[(CMe2)2-NiBu]-, (Et2N)-Ln-C5Me4-[(CMe2)2-NiBu]-, (nPr2N)-Ln-C5Me4-[(CMe2)2- NiBu]-, (iPr2N)-Ln-C5Me4-[(CMe2)2-NiBu]-, (nBu2N)-Ln-C5Me4-[(CMe2)2-NiBu]-, (iBu2N)-Ln-C5Me4-[(CMe2)2-NiBu]-, (sBu2N)-Ln-C5Me4-[(CMe2)2-NiBu]-, (tBu2N)-Ln-C5Me4-[(CMe2)2-NiBu]-, (Cp)-Ln-C5Me4-[(CMe2)2-NiBu]-, (HO)-Ln-C5Me4-[(CMe2)2-NiBu]-, (MeO)-Ln-C5Me4-[(CMe2)2-NiBu]-, (EtO)-Ln-C5Me4-[(CMe2)2-NiBu]-, (nPrO)-Ln-C5Me4-[(CMe2)2-NiBu]-, (iPrO-Ln-C5Me4-[(CMe2)2-NiBu]-, (nBuO)-Ln-C5Me4-[(CMe2)2-NiBu]-, (iBuO)-Ln-C5Me4-[(CMe2)2-NiBu]-, (sBuO)-Ln-C5Me4-[(CMe2)2-NiBu]-, (tBuO)-Ln-C5Me4-[(CMe2)2-NiBu]-, (H2N)-Ln-C5Me4-[(CMe2)2-NsBu]-, (Me2N)-Ln-C5Me4-[(CMe2)2-NsBu]-, (Et2)-Ln-C5Me4-[(CMe2)2-NsBu]-, (nPr2N)-Ln-C5Me4-[(CMe2)2-NsBu]-, (iPr2N)-Ln-C5Me4-[(CMe2)2-NsBu]-, (nBu2N)-Ln-C5Me4-[(CMe2)2-NsBu]-, (iBu2N)-Ln-C5Me4-[(CMe2)2-NsBu]-, (sBu2N)-Ln-C5Me4-[(CMe2)2-NsBu]-, (tBu2N)-Ln-C5Me4-[(CMe2)2-NsBu]-, (Cp)-Ln-C5Me4-[(CMe2)2-NsBu]-, (HO)-Ln-C5Me4-[(CMe2)2-NsBu]-, (MeO)-Ln-C5Me4-[(CMe2)2-NsBu]-, (EtO)-Ln-C5Me4-[(CMe2)2-NsBu]-, (nPrO)-Ln-C5Me4-[(CMe2)2-NsBu]-, (iPrO)-Ln-C5Me4-[(CMe2)2-NsBu]-, (nBuO)-Ln-C5Me4-[(CMe2)2-NsBu]-, (iBuO)-Ln-C5Me4-[(CMe2)2-NsBu]-, (sBuO)-Ln-C5Me4-[(CMe2)2-NsBu]-, (tBuO)-Ln-C5Me4-[(CMe2)2-NsBu]-, (H2N)-Ln-C5Me4-[(CMe2)2-NtBu]-, (Me2N)-Ln-C5Me4-[(CMe2)2-NtBu]-, (Et2N)-Ln-C5Me4-[(CMe2)2-NtBu]-, (nPr2N)-Ln-C5Me4-[(CMe2)2-NtBu]-, (iPr2N)-Ln-C5Me4-[(CMe2)2-NtBu]-, (nBu2N)-Ln-C5Me4-[(CMe2)2-NtBu]-, (iBu2N)-Ln-C5Me4-[(CMe2)2-NtBu]-, (sBu2N)-Ln-C5Me4-[(CMe2)2-NtBu]-, (tBu2N)-Ln-C5Me4-[(CMe2)2-NtBu]-, (Cp)-Ln-C5Me4-[(CMe2)2-NtBu]-, (HO)-Ln-C5Me4-[(CMe2)2-NtBu]-, (MeO)-Ln-C5Me4-[(CMe2)2-NtBu]-, (EtO)-Ln-C5Me4-[(CMe2)2-NtBu]-, (nPrO-Ln-C5Me4-[(CMe2)2-NtBu]-, (iPrO)-Ln-C5Me4-[(CMe2)2-NtBu]-, (nBuO)-Ln-C5Me4-[(CMe2)2-NtBu]-, (iBuO)-Ln-C5Me4-[(CMe2)2-NtBu]-, (sBuO)-Ln-C5Me4-[(CMe2)2-NtBu]-, (tBuO)-Ln-C5Me4-[(CMe2)2-NtBu]-, (H2N)-Ln-C5Me4-[(CMe2)2-O]—, (Me2N)-Ln-C5Me4-[(CMe2)2-O]—, (Et2N)-Ln-C5Me4-[(CMe2)2-O]—, (nPr2N)-Ln-C5Me4-[(CMe2)2-O]—, (iPr2N)-Ln-C5Me4-[(CMe2)2-O]—, (nBu2N)-Ln-C5Me4-[(CMe2)2-O]—, (iBu2N)-Ln-C5Me4-[(CMe2)2-O]—, (sBu2N)-Ln-C5Me4-[(CMe2)2-O]—, (tBu2N)-Ln-C5Me4-[(CMe2)2-O]—, (Cp)-Ln-C5Me4-[(CMe2)2-O]—, (HO)-Ln-C5Me4-[(CMe2)2-O]—, (MeO)-Ln-C5Me4-[(CMe2)2-O]—, (EtO)-Ln-C5Me4-[(CMe2)2-O]—, (nPrO)-Ln-C5Me4-[(CMe2)2-O]—, (iPrO)-Ln-C5Me4-[(CMe2)2-O]—, (nBuO)-Ln-C5Me4-[(CMe2)2-O]—, (iBuO)-Ln-C5Me4-[(CMe2)2-O]—, (sBuO)-Ln-C5Me4-[(CMe2)2-O]—, (tBuO)-Ln-C5Me4-[(CMe2)2-O]—, (H2N)-Ln-C5H3-1-Me-3-[(CH2)2—NH]—, (Me2N)-Ln-C5H3-1-Me-3-[(CH2)2—NH]—, (Et2N)-Ln-C5H3-1-Me-3-[(CH2)2—NH]—, (nPr2N)-Ln-C5H3-1-Me-3-[(CH2)2—NH]—, (iPr2N)-Ln-C5H3-1-Me-3-[(CH2)2—NH]—, (nBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—NH]—, (iBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—NH]—, (sB2N)-Ln-C5H3-1-Me-3-[(CH2)2—NH]—, (tBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—NH]—, (Cp)-Ln-C5H3-1-Me-3-[(CH2)2—NH]—, (HO)-Ln-C5H3-1-Me-3-[(CH2)2—NH]—, (MeO)-Ln-C5H3-1-Me-3-[(CH2)2—NH]—, (EtO)-Ln-C5H3-1-Me-3-[(CH2)2—NH]—, (nPrO)-Ln-C5H3-1-Me-3-[(CH2)2—NH]—, (iPrO)-Ln-C5H3-1-Me-3-[(CH2)2—NH]—, (nBuO)-Ln-C5H3-1-Me-3-[(CH2)2—NH]—, (iBuO)-Ln-C5H3-1-Me-3-[(CH2)2—NH]—, (sBuO)-Ln-C5H3-1-Me-3-[(CH2)2—NH]—, (tBuO)-Ln-C5H3-1-Me-3-[(CH2)2—NH]—, (H2N)-Ln-C5H3-1-Me-3-[(CH2)2—NMe]-, (Me2N)-Ln-C5H3-1- Me-3-[(CH2)2—NMe]-, (Et2N)-Ln-C5H3-1-Me-3-[(CH2)2—NMe]-, (nPr2N)-Ln-C5H3-1-Me-3-[(CH2)2—NMe]-, (iPr2N)-Ln-C5H3-1-Me-3-[(CH2)2—NMe]-, (nBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—NMe]-, (iBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—NMe]-, (sBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—NMe]-, (tBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—NMe]-, (Cp)-Ln-C5H3-1-Me-3-[(CH2)2—NMe]-, (HO)-Ln-C5H3-1-Me-3-[(CH2)2—NMe]-, (MeO)-Ln-C5H3-1-Me-3-[(CH2)2—NMe]-, (EtO)-Ln-C5H3-1-Me-3-[(CH2)2—NMe]-, (nPrO)-Ln-C5H3-1-Me-3-[(CH2)2—NMe]-, (iPrO)-Ln-C5H3-1-Me-3-[(CH2)2—NMe]-, (nBuO)-Ln-C5H3-1-Me-3-[(CH2)2—NMe]-, (iBuO)-Ln-C5H3-1-Me-3-[(CH2)2—NMe]-, (sBuO)-Ln-C5H3-1-Me-3-[(CH2)2—NMe]-, (tBuO)-Ln-C5H3-1-Me-3-[(CH2)2—NMe]-, (H2N)-Ln-C5H3-1-Me-3-[(CH2)2—NEt]-, (Me2N)-Ln-C5H3-1-Me-3-[(CH2)2—NEt]-, (Et2N)-Ln-C5H3-1-Me-3-[(CH2)2—NEt]-, (nPr2N)-Ln-C5H3-1-Me-3-[(CH2)2—NEt]-, (iPr2N)-Ln-C5H3-1-Me-3-[(CH2)2—NEt]-, (nBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—NEt]-, (iBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—NEt]-, (sBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—NEt]-, (tBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—NEt]-, (Cp)-Ln-C5H3-1-Me-3-[(CH2)2—NEt]-, (HO)-Ln-C5H3-1-Me-3-[(CH2)2—NEt]-, (MeO)-Ln-C5H3-1-Me-3-[(CH2)2—NEt]-, (EtO)-Ln-C5H3-1-Me-3-[(CH2)2—NEt]-, (nPrO)-Ln-C5H3-1-Me-3-[(CH2)2—NEt]-, (iPrO)-Ln-C5H3-1-Me-3-[(CH2)2—NEt]-, (nBuO)-Ln-C5H3-1-Me-3-[(CH2)2—NEt]-, (iBuO)-Ln-C5H3-1-Me-3-[(CH2)2—NEt]-, (sBuO)-Ln-C5H3-1-Me-3-[(CH2)2—NEt]-, (tBuO)-Ln-C5H3-1-Me-3-[(CH2)2—NEt]-, (H2N)-Ln-C5H3-1-Me-3-[(CH2)2—NnPr]—, (Me2N)-Ln-C5H3-1-Me-3-[(CH2)2—NnPr]—, (Et2N)-Ln-C5H3-1-Me-3-[(CH2)2—NnPr]—, (nPr2N)-Ln-C5H3-1-Me-3-[(CH2)2—NnPr]—, (iPr2N)-Ln-C5H3-1-Me-3-[(CH2)2—NnPr]—, (nBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—NnPr]—, (iBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—NnPr]—, (sBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—NnPr]—, (tBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—NnPr]—, (Cp)-Ln-C5H3-1-Me-3-[(CH2)2—NnPr]—, (HO)-Ln-C5H3-1-Me-3-[(CH2)2—NnPr]—, (MeO)-Ln-C5H3-1-Me-3-[(CH2)2—NnPr]—, (EtO)-Ln-C5H3-1-Me-3-[(CH2)2—NnPr]—, (nPrO)-Ln-C5H3-1-Me-3-[(CH2)2—NnPr]—, (iPrO)-Ln-C5H3-1-Me-3-[(CH2)2—NnPr]—, (nBuO)-Ln-C5H3-1-Me-3-[(CH2)2—NnPr]—, (iBuO)-Ln-C5H3-1-Me-3-[(CH2)2—NnPr]—, (sBuO)-Ln-C5H3-1-Me-3-[(CH2)2—NnPr]—, (tBuO)-Ln-C5H3-1-Me-3-[(CH2)2—NnPr]—, (H2N)-Ln-C5H3-1-Me-3-[(CH2)2—NnPr]—, (Me2N)-Ln-C5H3-1-Me-3-[(CH2)2—NiPr]—, (Et2N)-Ln-C5H3-1-Me-3-[(CH2)2—NiPr]—, (nPr2N)-Ln-C5H3-1-Me-3-[(CH2)2—NiPr]—, (iPr2N)-Ln-C5H3-1-Me-3-[(CH2)2—NiPr]—, (nBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—NiPr]—, (iBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—NiPr]—, (sBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—NiPr]—, (tBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—NiPr]—, (Cp)-Ln-C5H3-1-Me-3-[(CH2)2—NiPr]—, (HO)-Ln-C5H3-1-Me-3-[(CH2)2—NiPr]—, (MeO)-Ln-C5H3-1-Me-3-[(CH2)2—NiPr]—, (EtO)-Ln-C5H3-1-Me-3-[(CH2)2—NiPr]—, (nPrO)-Ln-C5H3-1-Me-3-[(CH2)2—NiPr]—, (iPrO)-Ln-C5H3-1-Me-3-[(CH2)2—NiPr]—, (nBuO)-Ln-C5H3-1-Me-3-[(CH2)2—NiPr]—, (iBuO)-Ln-C5H3-1-Me-3-[(CH2)2—NiPr]—, (sBuO)-Ln-C5H3-1-Me-3-[(CH2)2—NiPr]—, (tBuO)-Ln-C5H3-1-Me-3-[(CH2)2—NiPr]—, (H2N)-Ln-C5H3-1-Me-3-[(CH2)2—NnBu]-, (Me2N)-Ln-C5H3-1-Me-3-[(CH2)2—NnBu]-, (Et2N)-Ln-C5H3-1-Me-3-[(CH2)2—NnBu]-, (nPr2N)-Ln-C5H3-1-Me-3-[(CH2)2—NnBu]-, (iPr2N)-Ln-C5H3-1-Me-3-[(CH2)2—NnBu]-, (nBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—NnBu]-, (iBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—NnBu]-, (sBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—NnBu]-, (tBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—NnBu]-, (Cp)-Ln-C5H3-1-Me-3-[(CH2)2—NnBu]-, (HO)-Ln-C5H3-1-Me-3-[(CH2)2—NnBu]-, (MeO)-Ln-C5H3-1-Me-3-[(CH2)2—NnBu]-, (EtO)-Ln-C5H3-1-Me-3-[(CH2)2—NnBu]-, (nPrO)-Ln-C5H3-1-Me-3-[(CH2)2—NnBu]-, (iPrO)-Ln-C5H3-1-Me-3-[(CH2)2—NnBu]-, (nBuO)-Ln-C5H3-1-Me-3-[(CH2)2—NnBu]-, (iBuO)-Ln-C5H3-1-Me-3-[(CH2)2—NnBu]-, (sBuO)-Ln-C5H3-1-Me-3-[(CH2)2—NnBu]-, (tBuO)-Ln-C5H3-1-Me-3-[(CH2)2—NnBu]-, (H2N)-Ln-C5H3-1-Me-3-[(CH2)2—NiBu]-, (Me2N)-Ln-C5H3-1-Me-3-[(CH2)2—NiBu]-, (Et2N)-Ln-C5H3-1-Me-3-[(CH2)2—NiBu]-, (nPr2N)-Ln-C5H3-1-Me-3-[(CH2)2—NiBu]-, (iPr2N)-Ln-C5H3-1-Me-3-[(CH2)2—NiBu]-, (nBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—NiBu]-, (iBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—NiBu]-, (sBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—NiBu]-, (tBu2)-Ln-C5H3-1-Me-3-[(CH2)2—NiBu]-, (Cp)-Ln-C5H3-1-Me-3-[(CH2)2—NiBu]-, (HO)-Ln-C5H3-1-Me-3-[(CH2)2—NiBu]-, (MeO)-Ln-C5H3-1-Me-3-[(CH2)2—NiBu]-, (EtO)-Ln-C5H3-1-Me-3-[(CH2)2—NiBu]-, (nPrO)-Ln-C5H3-1-Me-3-[(CH2)2—NiBu]-, (iPrO)-Ln-C5H3-1-Me-3-[(CH2)2—NiBu]-, (nBuO)-Ln-C5H3-1-Me-3-[(CH2)2—NiBu]-, (iBuO)-Ln-C5H3-1-Me-3-[(CH2)2—NiBu]-, (sBuO)-Ln-C5H3-1-Me-3-[(CH2)2—NiBu]-, (tBuO)-Ln-C5H3-1-Me-3-[(CH2)2—NiBu]-, (H2N)-Ln-C5H3-1-Me-3-[(CH2)2—NsBu]-, (Me2N)-Ln-C5H3-1-Me-3-[(CH2)2—NsBu]-, (Et2N)-Ln-C5H3-1-Me-3-[(CH2)2—NsBu]-, (nPr2N)-Ln-C5H3-1-Me-3-[(CH2)2—NsBu]-, (iPr2N)-Ln-C5H3-1-Me-3-[(CH2)2—NsBu]-, (nBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—NsBu]-, (iBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—NsBu]-, (sBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—NsBu]-, (tBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—NsBu]-, (Cp)-Ln-C5H3-1-Me-3-[(CH2)2—NsBu]-, (HO)-Ln-C5H3-1-Me-3-[(CH2)2—NsBu]-, (MeO)-Ln-C5H3-1-Me-3-[(CH2)2—NsBu]-, (EtO)-Ln-C5H3-1-Me-3-[(CH2)2—NsBu]-, (nPrO-Ln-C5H3-1-Me-3-[(CH2)2—NsBu]-, (iPrO)-Ln-C5H3-1-Me-3-[(CH2)2—NsBu]-, (nBuO)-Ln-C5H3-1-Me-3-[(CH2)2—NsBu]-, (iBuO)-Ln-C5H3-1-Me-3-[(CH2)2—NsBu]-, (sBuO)-Ln-C5H3-1-Me-3-[(CH2)2—NsBu]-, (tBuO)-Ln-C5H3-1-Me-3-[(CH2)2—NsBu]-, (H2N)-Ln-C5H3-1-Me-3-[(CH2)2—NtBu]-, (Me2N)-Ln-C5H3-1-Me-3-[(CH2)2—NtBu]-, (Et2N)-Ln-C5H3-1-Me-3-[(CH2)2—NtBu]-, (nPr2N)-Ln-C5H3-1-Me-3-[(CH2)2—NtBu]-, (iPr2N)-Ln-C5H3-1-Me-3-[(CH2)2—NtBu]-, (nBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—NtBu]-, (iBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—NtBu]-, (sBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—NtBu]-, (tBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—NtBu]-, (Cp)-Ln-C5H3-1-Me-3-[(CH2)2—NtBu]-, (HO)-Ln-C5H3-1-Me-3-[(CH2)2—NtBu]-, (MeO)-Ln-C5H3-1-Me-3-[(CH2)2—NtBu]-, (EtO)-Ln-C5H3-1-Me-3-[(CH2)2—NtBu]-, (nPrO)-Ln-C5H3-1-Me-3-[(CH2)2—NtBu]-, (iPrO)-Ln-C5H3-1-Me-3-[(CH2)2—NtBu]-, (nBuO)-Ln-C5H3-1-Me-3-[(CH2)2—NtBu]-, (iBuO)-Ln-C5H3-1-Me-3-[(CH2)2—NtBu]-, (sBuO)-Ln-C5H3-1-Me-3-[(CH2)2—NtBu]-, (tBuO)-Ln-C5H3-1-Me-3-[(CH2)2—NtBu]-, (H2N)-Ln-C5H3-1-Me-3-[(CH2)2—O]—, (Me2N)-Ln-C5H3-1-Me-3-[(CH2)2—O]—, (Et2N)-Ln-C5H3-1-Me-3-[(CH2)2—O]—, (nPr2N)-Ln-C5H3-1-Me-3-[(CH2)2—O]—, (iPr2N)-Ln-C5H3-1-Me-3-[(CH2)2—O]—, (nBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—O]—, (iBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—O]—, (sBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—O]—, (tBu2N)-Ln-C5H3-1-Me-3-[(CH2)2—O]—, (Cp)-Ln-C5H3-1-Me-3-[(CH2)2—O]—, (HO)-Ln-C5H3-1-Me-3-[(CH2)2—O]—, (MeO)-Ln-C5H3-1-Me-3-[(CH2)2—O]—, (HO)-Ln-C5H3-1-Me-3-[(CH2)2—O]—, (MeO)-Ln-C5H3-1-Me-3-[(CH2)2—O]—, (EtO)-Ln-C5H3-1-Me-3-[(CH2)2—O]—, (nPrO)-Ln-C5H3-1-Me-3-[(CH2)2—O]—, (iPrO)-Ln-C5H3-1-Me-3-[(CH2)2—O]—, (nBuO)-Ln-C5H3-1-Me-3-[(CH2)2—O]—, (iBuO)-Ln-C5H3-1-Me-3-[(CH2)2—O]—, (sBu)-Ln-C5H3-1-Me-3-[(CH2)2—O]—, (tBuO)-Ln-C5H3-1-Me-3-[(CH2)2—O]—, (H2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NH]—, (Me2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NH]—, (Et2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NH]—, (nPr2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NH]—, (iPr2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NH]—, (nBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NH]—, (iBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NH]—, (sBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NH]—, (tBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NH]—, (Cp)-Ln-C5H3-1-Me-3-[(CMe2)2-NH]—, (HO)-Ln-C5H3-1-Me-3-[(CMe2)2-NH]—, (MeO)-Ln-C5H3-1-Me-3-[(CMe2)2-NH]—, (EtO)-Ln-C5H3-1-Me-3-[(CMe2)2-NH]—, (nPrO)-Ln-C5H3-1-Me-3-[(CMe2)2-NH]—, (iPrO)-Ln-C5H3-1-Me-3-[(CMe2)2-NH]—, (nBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-NH]—, (iBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-NH]—, (sBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-NH]—, (tBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-NH]—, (H2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NMe]-, (Me2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NMe]-, (Et2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NMe]-, (nPr2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NMe]-, (iPr2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NMe]-, (nBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NMe]-, (iBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NMe]-, (sBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NMe]-, (tBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NMe]-, (Cp)-Ln-C5H3-1-Me-3-[(CMe2)2-NMe]-, (HO)-Ln-C5H3-1-Me-3-[(CMe2)2-NMe]-, (MeO)-Ln-C5H3-1-Me-3-[(CMe2)2-NMe]-, (EtO)-Ln-C5H3-1-Me-3-[(CMe2)2-NMe]-, (nPrO)-Ln-C5H3-1-Me-3-[(CMe2)2-NMe]-, (iPrO)-Ln-C5H3-1-Me-3-[(CMe2)2-NMe]-, (nBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-NMe]-, (iBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-NMe]-, (sBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-NMe]-, (tBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-NMe]-, (H2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NEt]-, (Me2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NEt]-, (Et2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NEt]-, (nPr2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NEt]-, (iPr2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NEt]-, (nBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NEt]-, (iBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NEt]-, (sBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NEt]-, (tBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NEt]-, (Cp)-Ln-C5H3-1-Me-3-[(CMe2)2-NEt]-, (HO)-Ln-C5H3-1-Me-3-[(CMe2)2-NEt]-, (MeO)-Ln-C5H3-1-Me-3-[(CMe2)2-NEt]-, (EtO)-Ln-C5H3-1-Me-3-[(CMe2)2-NEt]-, (nPrO)-Ln-C5H3-1-Me-3-[(CMe2)2-NEt]-, (iPrO)-Ln-C5H3-1-Me-3-[(CMe2)2-NEt]-, (nBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-NEt]-, (iBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-NEt]-, (sBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-NEt]-, (tBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-NEt]-, (H2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NnPr]—, (Me2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NnPr]—, (Et2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NnPr]—, (nPr2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NnPr]—, (iPr2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NnPr]—, (nBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NnPr]—, (iBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NnPr]—, (sBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NnPr]—, (tBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NnPr]—, (Cp)-Ln-C5H3-1-Me-3-[(CMe2)2-NnPr]—, (HO)-Ln-C5H3-1-Me-3-[(CMe2)2-NnPr]—, (MeO)-Ln-C5H3-1-Me-3-[(CMe2)2-NnPr]—, (EtO)-Ln-C5H3-1-Me-3-[(CMe2)2-NnPr]—, (nPrO)-Ln-C5H3-1-Me-3-[(CMe2)2-NnPr]—, (iPrO)-Ln-C5H3-1-Me-3-[(CMe2)2-NnPr]—, (nBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-NnPr]—, (iBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-NnPr]—, (sBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-NnPr]—, (tBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-NnPr]—, (H2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NiPr]—, (Me2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NiPr]—, (Et2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NiPr]—, (nPr2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NiPr]—, (iPr2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NiPr]—, (nBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NiPr]—, (iBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NiPr]—, (sBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NiPr]—, (tBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NiPr]—, (Cp)-Ln-C5H3-1-Me-3-[(CMe2)2-NiPr]—, (HO)-Ln-C5H3-1-Me-3-[(CMe2)2-NiPr]—, (MeO)-Ln-C5H3-1-Me-3-[(CMe2)2-NiPr]—, (EtO)-Ln-C5H3-1-Me-3-[(CMe2)2-NiPr]—, (nPrO)-Ln-C5H3-1-Me-3-[(CMe2)2-NiPr]—, (iPrO)-Ln-C5H3-1-Me-3-[(CMe2)2-NiPr]—, (nBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-NiPr]—, (iBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-NiPr]—, (sBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-NiPr]—, (tBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-NiPr]—, (H2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NnBu]-, (Me2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NnBu]-, (Et2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NnBu]-, (nPr2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NnBu]-, (iPr2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NnBu]-, (nBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NnBu]-, (iBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NnBu]-, (sBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NnBu]-, (tBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NnBu]-, (Cp)-Ln-C5H3-1-Me-3-[(CMe2)2-NnBu]-, (HO)-Ln-C5H3-1-Me-3-[(CMe2)2-NnBu]-, (MeO)-Ln-C5H3-1-Me-3-[(CMe2)2-NnBu]-, (EtO)-Ln-C5H3-1-Me-3-[(CMe2)2-NnBu]-, (nPrO)-Ln-C5H3-1-Me-3-[(CMe2)2-NnBu]-, (iPrO)-Ln-C5H3-1-Me-3-[(CMe2)2-NnBu]-, (nBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-NnBu]-, (iBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-NnBu]-, (sBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-NnBu]-, (tBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-NnBu]-, (H2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NiBu]-, (Me2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NiBu]-, (Et2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NiBu]-, (nPr2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NiBu]-, (iPr2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NiBu]-, (nBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NiBu]-, (iBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NiBu]-, (sBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NiBu]-, (tBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NiBu]-, (Cp)-Ln-C5H3-1-Me-3-[(CMe2)2-NiBu]-, (HO)-Ln-C5H3-1-Me-3-[(CMe2)2-NiBu]-, (MeO)-Ln-C5H3-1-Me-3-[(CMe2)2-NiBu]-, (EtO)-Ln-C5H3-1-Me-3-[(CMe2)2-NiBu]-, (nPrO)-Ln-C5H3-1-Me-3-[(CMe2)2-NiBu]-, (iPrO)-Ln-C5H3-1-Me-3-[(CMe2)2-NiBu]-, (nBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-NiBu]-, (iBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-NiBu]-, (sBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-NiBu]-, (tBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-NiBu]-, (H2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NsBu]-, (Me2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NsBu]-, (Et2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NsBu]-, (nPr2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NsBu]-, (iPr2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NsBu]-, (nBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NsBu]-, (iBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NsBu]-, (sBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NsBu]-, (tBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NsBu]-, (Cp)-Ln-C5H3-1-Me-3-[(CMe2)2-NsBu]-, (HO)-Ln-C5H3-1-Me-3-[(CMe2)2-NsBu]-, (MeO)-Ln-C5H3-1-Me-3-[(CMe2)2-NsBu]-, (EtO)-Ln-C5H3-1-Me-3-[(CMe2)2-NsBu]-, (nPrO)-Ln-C5H3-1-Me-3-[(CMe2)2-NsBu]-, (nBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-NsBu]-, (iBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-NsBu]-, (sBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-NsBu]-, (tBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-NsBu]-, (H2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NtBu]-, (Me2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NtBu]-, (Et2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NtBu]-, (nPr2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NtBu]-, (iPr2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NtBu]-, (nBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NtBu]-, (iBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NtBu]-, (sBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NtBu]-, (tBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-NtBu]-, (Cp)-Ln-C5H3-1-Me-3-[(CMe2)2-NtBu]-, (HO)-Ln-C5H3-1-Me-3-[(CMe2)2-NtBu]-, (MeO)-Ln-C5H3-1-Me-3-[(CMe2)2-NtBu]-, (EtO)-Ln-C5H3-1-Me-3-[(CMe2)2-NtBu]-, (nPrO)-Ln-C5H3-1-Me-3-[(CMe2)2-NtBu]-, (iPrO)-Ln-C5H3-1-Me-3-[(CMe2)2-NtBu]-, (nBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-NtBu]-, (iBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-NtBu]-, (sBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-NtBu]-, (tBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-NtBu]-, (H2N)-Ln-C5H3-1-Me-3-[(CMe2)2-O]—, (Me2N)-Ln-C5H3-1-Me-3-[(CMe2)2-O]—, (Et2N)-Ln-C5H3-1-Me-3-[(CMe2)2-O]—, (nPr2N)-Ln-C5H3-1-Me-3-[(CMe2)2-O]—, (iPr2N)-Ln-C5H3-1-Me-3-[(CMe2)2-O]—, (nBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-O]—, (iBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-O]—, (sBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-O]—, (tBu2N)-Ln-C5H3-1-Me-3-[(CMe2)2-O]—, (Cp)-Ln-C5H3-1-Me-3-[(CMe2)2-O]—, (HO)-Ln-C5H3-1-Me-3-[(CMe2)2-O]—, (MeO)-Ln-C5H3-1-Me-3-[(CMe2)2-O]—, (EtO)-Ln-C5H3-1-Me-3-[(CMe2)2-O]—, (nPrO)-Ln-C5H3-1-Me-3-[(CMe2)2-O]—, (iPrO)-Ln-C5H3-1-Me-3-[(CMe2)2-O]—, (nBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-O]—, (iBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-O]—, (sBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-O]—, (tBuO)-Ln-C5H3-1-Me-3-[(CMe2)2-O]—, (H2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NH]—, (Me2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NH]—, (Et2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NH]—, (nPr2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NH]—, (iPr2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NH]—, (nBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NH]—, (iBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NH]—, (sBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NH]—, (tBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NH]—, (Cp)-Ln-C5H3-1-Me-3-[(CEt2)2-NH]—, (HO)-Ln-C5H3-1-Me-3-[(CEt2)2-NH]—, (MeO)-Ln-C5H3-1-Me-3-[(CEt2)2-NH]—, (EtO)-Ln-C5H3-1-Me-3-[(CEt2)2-NH]—, (nPrO)-Ln-C5H3-1-Me-3-[(CEt2)2-NH]—, (iPrO)-Ln-C5H3-1-Me-3-[(CEt2)2-NH]—, (nBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-NH]—, (iBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-NH]—, (sBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-NH]—, (tBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-NH]—, (H2O)-Ln-C5H3-1-Me-3-[(CEt2)2-NH]—, (Me2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NMe]-, (Et2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NMe]-, (nPr2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NMe]-, (iPr2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NMe]-, (nBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NMe]-, (iBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NMe]-, (sBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NMe]-, (tBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NMe]-, (Cp)-Ln-C5H3-1-Me-3-[(CEt2)2-NMe]-, (HO)-Ln-C5H3-1-Me-3-[(CEt2)2-NMe]-, (MeO)-Ln-C5H3-1-Me-3-[(CEt2)2-NMe]-, (EtO)-Ln-C5H3-1-Me-3-[(CEt2)2-NMe]-, (nPrO)-Ln-C5H3-1-Me-3-[(CEt2)2-NMe]-, (iPrO)-Ln-C5H3-1-Me-3-[(CEt2)2-NMe]-, (nBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-NMe]-, (iBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-NMe]-, (sBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-NMe]-, (tBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-NMe]-, (H2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NEt]-, (Me2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NEt]-, (Et2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NEt]-, (nPr2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NEt]-, (iPr2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NEt]-, (nBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NEt]-, (iBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NEt]-, (sBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NEt]-, (tBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NEt]-, (Cp)-Ln-C5H3-1-Me-3-[(CEt2)2-NEt]-, (HO)-Ln-C5H3-1-Me-3-[(CEt2)2-NEt]-, (MeO)-Ln-C5H3-1-Me-3-[(CEt2)2-NEt]-, (EtO)-Ln-C5H3-1-Me-3-[(CEt2)2-NEt]-, (nPrO)-Ln-C5H3-1-Me-3-[(CEt2)2-NEt]-, (iPrO)-Ln-C5H3-1-Me-3-[(CEt2)2-NEt]-, (nBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-NEt]-, (iBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-NEt]-, (sBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-NEt]-, (tBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-NEt]-, (H2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NnPr]—, (Me2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NnPr]—, (Et2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NnPr]—, (nPr2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NnPr]—, (iPr2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NnPr]—, (nBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NnPr]—, (iBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NnPr]—, (sBu2N)v (tBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NnPr]—, (Cp)-Ln-C5H3-1-Me-3-[(CEt2)2-NnPr]—, (HO)-Ln-C5H3-1-Me-3-[(CEt2)2-NnPr]—, (MeO)-Ln-C5H3-1-Me-3-[(CEt2)2-NnPr]—, (EtO)-Ln-C5H3-1-Me-3-[(CEt2)2-NnPr]—, (nPrO)-Ln-C5H3-1-Me-3-[(CEt2)2-NnPr]—, (iPrO)-Ln-C5H3-1-Me-3-[(CEt2)2-NnPr]—, (nBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-NnPr]—, (iBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-NnPr]—, (sBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-NnPr]—, (tBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-NnPr]—, (H2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NiPr]—, (Me2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NiPr]—, (Et2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NiPr]—, (nPr2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NiPr]—, (iPr2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NiPr]—, (nBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NiPr]—, (iBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NiPr]—, (sBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NiPr]—, (tBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NiPr]—, (Cp)-Ln-C5H3-1-Me-3-[(CEt2)2-NiPr]—, (HO)-Ln-C5H3-1-Me-3-[(CEt2)2-NiPr]—, (MeO)-Ln-C5H3-1-Me-3-[(CEt2)2-NiPr]—, (EtO)-Ln-C5H3-1-Me-3-[(CEt2)2-NiPr]—, (nPrO)-Ln-C5H3-1-Me-3-[(CEt2)2-NiPr]—, (iPrO)-Ln-C5H3-1-Me-3-[(CEt2)2-NiPr]—, (nBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-NiPr]—, (iBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-NiPr]—, (sBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-NiPr]—, (tBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-NiPr]—, (H2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NnBu]-, (Me2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NnBu]-, (Et2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NnBu]-, (nPr2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NnBu]-, (iPr2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NnBu]-, (nBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NnBu]-, (iBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NnBu]-, (sBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NnBu]-, (tBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NnBu]-, (Cp)-Ln-C5H3-1-Me-3-[(CEt2)2-NnBu]-, (HO)-Ln-C5H3-1-Me-3-[(CEt2)2-NnBu]-, (MeO)-Ln-C5H3-1-Me-3-[(CEt2)2-NnBu]-, (EtO)-Ln-C5H3-1-Me-3-[(CEt2)2-NnBu]-, (nPrO)-Ln-C5H3-1-Me-3-[(CEt2)2-NnPr]—, (iPrO)-Ln-C5H3-1-Me-3-[(CEt2)2-NnBu]-, (nBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-NnBu]-, (iBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-NnBu]-, (sBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-NnBu]-, (tBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-NnBu]-, (H2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NiBu]-, (Me2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NiBu]-, (Et2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NiBu]-, (nPr2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NiBu]-, (iPr2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NiBu]-, (nBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NiBu]-, (iBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NiBu]-, (sBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NiBu]-, (tBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NiBu]-, (Cp)-Ln-C5H3-1-Me-3-[(CEt2)2-NiBu]-, (HO)-Ln-C5H3-1-Me-3-[(CEt2)2-NiBu]-, (MeO)-Ln-C5H3-1-Me-3-[(CEt2)2-NiBu]-, (EtO)-Ln-C5H3-1-Me-3-[(CEt2)2-NiBu]-, (nPrO)-Ln-C5H3-1-Me-3-[(CEt2)2-NiBu]-, (iPrO)-Ln-C5H3-1-Me-3-[(CEt2)2-NiBu]-, (nBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-NiBu]-, (iBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-NiBu]-, (sBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-NiBu]-, (tBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-NiBu]-, (H2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NsBu]-, (Me2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NsBu]-, (Et2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NsBu]-, (nPr2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NsBu]-, (iPr2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NsBu]-, (nBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NsBu]-, (iBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NsBu]-, (sBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NsBu]-, (tBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NsBu]-, (Cp)-Ln-C5H3-1-Me-3-[(CEt2)2-NsBu]-, (HO)-Ln-C5H3-1-Me-3-[(CEt2)2-NsBu]-, (MeO)-Ln-C5H3-1-Me-3-[(CEt2)2-NsBu]-, (EtO)-Ln-C5H3-1-Me-3-[(CEt2)2-NsBu]-, (nPrO)-Ln-C5H3-1-Me-3-[(CEt2)2-NsBu]-, (iPrO)-Ln-C5H3-1-Me-3-[(CEt2)2-NsBu]-, (nBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-NsBu]-, (iBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-NsBu]-, (sBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-NsBu]-, (tBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-NsBu]-, (H2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NtBu]-, (Me2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NtBu]-, (Et2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NtBu]-, (nPr2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NtBu]-, (iPr2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NtBu]-, (nBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NtBu]-, (iBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NtBu]-, (sBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NtBu]-, (tBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-NtBu]-, (Cp)-Ln-C5H3-1-Me-3-[(CEt2)2-NtBu]-, (HO)-Ln-C5H3-1-Me-3-[(CEt2)2-NtBu]-, (MeO)-Ln-C5H3-1-Me-3-[(CEt2)2-NtBu]-, (EtO)-Ln-C5H3-1-Me-3-[(CEt2)2-NtBu]-, (nPrO)-Ln-C5H3-1-Me-3-[(CEt2)2-NtBu]-, (iPrO)-Ln-C5H3-1-Me-3-[(CEt2)2-NtBu]-, (nBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-NtBu]-, (iBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-NtBu]-, (sBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-NtBu]-, (tBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-NtBu]-, (H2N)-Ln-C5H3-1-Me-3-[(CEt2)2-O]—, (Me2N)-Ln-C5H3-1-Me-3-[(CEt2)2-O]—, (Et2N)-Ln-C5H3-1-Me-3-[(CEt2)2-O]—, (nPr2N)-Ln-C5H3-1-Me-3-[(CEt2)2-O]—, (iPr2N)-Ln-C5H3-1-Me-3-[(CEt2)2-O]—, (nBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-O]—, (iBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-O]—, (sBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-O]—, (tBu2N)-Ln-C5H3-1-Me-3-[(CEt2)2-O]—, (Cp)-Ln-C5H3-1-Me-3-[(CEt2)2-O]—, (HO)-Ln-C5H3-1-Me-3-[(CEt2)2-O]—, (MeO)-Ln-C5H3-1-Me-3-[(CEt2)2-O]—, (EtO)-Ln-C5H3-1-Me-3-[(CEt2)2-O]—, (nPrO)-Ln-C5H3-1-Me-3-[(CEt2)2-O]—, (iPrO)-Ln-C5H3-1-Me-3-[(CEt2)2-O]—, (nBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-O]—, (iBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-O]—, (sBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-O]—, (tBuO)-Ln-C5H3-1-Me-3-[(CEt2)2-O]—, (H2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NH]—, (Me2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NH]—, (Et2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NH]—, (nPr2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NH]—, (iPr2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NH]—, (nBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NH]—, (iBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NH]—, (sBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NH]—, (tBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NH]—, (Cp)-Ln-C5H3-1-Me-3-[(CiPr2)2—NH]—, (HO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NH]—, (MeO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NH]—, (EtO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NH]—, (nPrO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NH]—, (iPrO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NH]—, (nBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NH]—, (iBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NH]—, (sBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NH]—, (tBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NH]—, (H2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NMe]-, (Me2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NMe]-, (Et2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NMe]-, (nPr2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NMe]-, (iPr2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NMe]-, (nBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NMe]-, (iBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NMe]-, (sBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NMe]-, (tBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NMe]-, (Cp)-Ln-C5H3-1-Me-3-[(CiPr2)2—NMe]-, (HO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NMe]-, (MeO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NMe]-, (EtO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NMe]-, (nPrO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NMe]-, (iPrO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NMe]-, (nBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NMe]-, (iBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NMe]-, (sBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NMe]-, (tBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NMe]-, (H2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NEt]-, (Me2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NEt]-, (Et2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NEt]-, (nPr2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NEt]-, (iPr2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NEt]-, (nBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NEt]-, (iBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NEt]-, (sBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NEt]-, (tBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NEt]-, (Cp)-Ln-C5H3-1-Me-3-[(CiPr2)2—NEt]-, (HO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NEt]-, (MeO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NEt]-, (EtO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NEt]-, (nPrO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NEt]-, (iPrO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NEt]-, (nBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NEt]-, (iBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NEt]-, (sBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NEt]-, (tBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NEt]-, (H2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnPr]—, (Me2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnPr]—, (Et2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnPr]—, (nPr2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnPr]—, (iPr2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnPr]—, (nBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnPr]—, (iBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnPr]—, (sBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnPr]—, (tBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnPr]—, (Cp)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnPr]—, (HO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnPr]—, (MeO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnPr]—, (EtO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnPr]—, (nPrO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnPr]—, (iPrO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnPr]—, (nBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnPr]—, (iBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnPr]—, (sBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnPr]—, (tBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnPr]—, (H2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiPr]—, (Me2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiPr]—, (Et2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiPr]—, (nPr2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiPr]—, (iPr2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiPr]—, (nBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiPr]—, (iBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiPr]—, (sBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiPr]—, (tBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiPr]—, (CP)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiPr]—, (HO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiPr]—, (MeO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiPr]—, (EtO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiPr]—, (nPrO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiPr]—, (iPrO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiPr]—, (nBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiPr]—, (iBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiPr]—, (sBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiPr]—, (tBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiPr]—, (H2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnBu]-, (Me2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnBu]-, (Et2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnBu]-, (nPr2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnBu]-, (iPr2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnBu]-, (nBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnBu]-, (iBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnBu]-, (sBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnBu]-, (tBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnBu]-, (Cp)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnBu]-, (HO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnBu]-, (MeO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnBu]-, (EtO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnBu]-, (nPrO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnBu]-, (iPrO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnBu]-, (nBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnBu]-, (iBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnBu]-, (sBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnBu]-, (tBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NnBu]-, (H2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiBu]-, (Me2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiBu]-, (Et2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiBu]-, (nPr2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiBu]-, (iPr2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiBu]-, (nBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiBu]-, (iBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiBu]-, (sBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiBu]-, (tBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiBu]-, (Cp)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiBu]-, (HO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiBu]-, (MeO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiBu]-, (EtO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiBu]-, (nPrO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiBu]-, (iPrO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiBu]-, (nBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiBu]-, (iBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiBu]-, (sBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiBu]-, (tBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NiBu]-, (H2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NsBu]-, (Me2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NsBu]-, (Et2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NsBu]-, (nPr2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NsBu]-, (iPr2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NsBu]-, (nBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NsBu]-, (iBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NsBu]-, (sBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NsBu]-, (tBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NsBu]-, (Cp)-Ln-C5H3-1-Me-3-[(CiPr2)2—NsBu]-, (HO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NsBu]-, (MeO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NsBu]-, (EtO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NsBu]-, (nPrO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NsBu]-, (iPrO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NsBu]-, (nBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NsBu]-, (iBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NsBu]-, (sBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NsBu]-, (tBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NsBu]-, (H2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NtBu]-, (Me2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NtBu]-, (Et2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NtBu]-, (nPr2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NtBu]-, (iPR2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NtBu]-, (nBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NtBu]-, (iBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NtBu]-, (sBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NtBu]-, (tBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—NtBu]-, (Cp)-Ln-C5H3-1-Me-3-[(CiPr2)2—NtBu]-, (HO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NtBu]-, (MeO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NtBu]-, (EtO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NtBu]-, (nPrO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NtBu]-, (iPrO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NtBu]-, (nBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NtBu]-, (iBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NtBu]-, (sBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NtBu]-, (tBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—NtBu]-, (H2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—O]—, (Me2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—O]—, (Et2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—O]—, (nPr2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—O]—, (iPr2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—O]—, (nBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—O]—, (iBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—O]—, (sBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—O]—, (tBu2N)-Ln-C5H3-1-Me-3-[(CiPr2)2—O]—, (Cp)-Ln-C5H3-1-Me-3-[(CiPr2)2—O]—, (HO)-Ln-C5H3-1-Me-3-[(CiPr2)2—O]—, (MeO)-Ln-C5H3-1-Me-3-[(CiPr2)2—O]—, (EtO)-Ln-C5H3-1-Me-3-[(CiPr2)2—O]—, (nPrO)-Ln-C5H3-1-Me-3-[(CiPr2)2—O]—, (iPrO)-Ln-C5H3-1-Me-3-[(CiPr2)2—O]—, (nBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—O]—, (iBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—O]—, (sBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—O]—, (tBuO)-Ln-C5H3-1-Me-3-[(CiPr2)2—O]—, (H2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NH]—, (Me2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NH]—, (Et2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NH]—, (nPr2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NH]—, (iPr2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NH]—, (nBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NH]—, (iBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NH]—, (sBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NH]—, (tBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NH]—, (Cp)-Ln-C5H3-1-Me-3-[(CtBu2)2-NH]—, (HO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NH]—, (MeO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NH]—, (EtO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NH]—, (nPrO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NH]—, (iPrO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NH]—, (nBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NH]—, (iBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NH]—, (sBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NH]—, (tBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NH]—, (H2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NMe]-, (Me2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NMe]-, (Et2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NMe]-, (nPr2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NMe]-, (iPr2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NMe]-, (nBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NMe]-, (iBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NMe]-, (sBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NMe]-, (tBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NMe]-, (Cp)-Ln-C5H3-1-Me-3-[(CtBu2)2-NMe]-, (HO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NMe]-, (MeO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NMe]-, (EtO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NMe]-, (nPrO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NMe]-, (iPrO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NMe]-, (nBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NMe]-, (iBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NMe]-, (sBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NMe]-, (tBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NMe]-, (H2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NEt]-, (Me2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NEt]-, (Et2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NEt]-, (nPr2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NEt]-, (iPr2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NEt]-, (nBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NEt]-, (iBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NEt]-, (sBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NEt]-, (tBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NEt]-, (Cp)-Ln-C5H3-1-Me-3-[(CtBu2)2-NEt]-, (HO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NEt]-, (MeO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NEt]-, (EtO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NEt]-, (nPrO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NEt]-, (iPrO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NEt]-, (nBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NEt]-, (iBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NEt]-, (sBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NEt]-, (tBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NEt]-, (H2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnPr]—, (Me2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnPr]—, (Et2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnPr]—, (nPr2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnPr]—, (iPr2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnPr]—, (nBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnPr]—, (iBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnPr]—, (sBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnPr]—, (tBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnPr]—, (Cp)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnPr]—, (HO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnPr]—, (MeO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnPr]—, (EtO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnPr]—, (nPrO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnPr]—, (iPrO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnPr]—, (nBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnPr]—, (iBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnPr]—, (sBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnPr]—, (tBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnPr]—, (H2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiPr]—, (Me2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiPr]—, (Et2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiPr]—, (nPr2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiPr]—, (iPr2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiPr]—, (nBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiPr]—, (iBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiPr]—, (sBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiPr]—, (tBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiPr]—, (Cp)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiPr]—, (HO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiPr]—, (MeO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiPr]—, (EtO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiPr]—, (nPrO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiPr]—, (iPrO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiPr]—, (nBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiPr]—, (iBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiPr]—, (sBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiPr]—, (tBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiPr]—, (H2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnBu]-, (Me2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnBu]-, (Et2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnBu]-, (nPr2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnBu]-, (iPr2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnBu]-, (nBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnBu]-, (iBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnBu]-, (sBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnBu]-, (tBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnBu]-, (Cp)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnBu]-, (HO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnBu]-, (MeO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnBu]-, (EtO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnBu]-, (nPrO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnBu]-, (iPrO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnBu]-, (nBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnBu]-, (iBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnBu]-, (sBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnBu]-, (tBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NnBu]-, (H2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiBu]-, (Me2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiBu]-, (Et2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiBu]-, (nPr2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiBu]-, (iPr2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiBu]-, (nBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiBu]-, (iBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiBu]-, (sBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiBu]-, (tBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiBu]-, (Cp)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiBu]-, (HO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiBu]-, (MeO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiBu]-, (EtO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiBu]-, (nPrO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiBu]-, (iPrO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiBu]-, (nBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiBu]-, (iBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiBu]-, (sBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiBu]-, (tBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NiBu]-, (H2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NsBu]-, (Me2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NsBu]-, (Et2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NsBu]-, (nPr2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NsBu]-, (iPr2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NsBu]-, (nBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NsBu]-, (iBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NsBu]-, (sBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NsBu]-, (tBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NsBu]-, (Cp)-Ln-C5H3-1-Me-3-[(CtBu2)2-NsBu]-, (HO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NsBu]-, (MeO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NsBu]-, (EtO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NsBu]-, (nPrO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NsBu]-, (iPrO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NsBu]-, (nBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NsBu]-, (iBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NsBu]-, (sBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NsBu]-, (tBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NsBu]-, (H2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NtBu]-, (Me2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NtBu]-, (Et2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NtBu]-, (nPr2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NtBu]-, (iPr2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NtBu]-, (nBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NtBu]-, (iBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NtBu]-, (sBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NtBu]-, (tBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-NtBu]-, (Cp)-Ln-C5H3-1-Me-3-[(CtBu2)2-NtBu]-, (HO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NtBu]-, (MeO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NtBu]-, (EtO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NtBu]-, (nPrO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NtBu]-, (iPrO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NtBu]-, (nBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NtBu]-, (iBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NtBu]-, (sBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NtBu]-, (tBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-NtBu]-, (H2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-O]—, (Me2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-O]—, (Et2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-O]—, (nPr2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-O]—, (iPr2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-O]—, (nBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-O]—, (iBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-O]—, (sBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-O]—, (tBu2N)-Ln-C5H3-1-Me-3-[(CtBu2)2-O]—, (Cp)-Ln-C5H3-1-Me-3-[(CtBu2)2-O]—, (HO)-Ln-C5H3-1-Me-3-[(CtBu2)2-O]—, (MeO)-Ln-C5H3-1-Me-3-[(CtBu2)2-O]—, (EtO)-Ln-C5H3-1-Me-3-[(CtBu2)2-O]—, (nPrO)-Ln-C5H3-1-Me-3-[(CtBu2)2-O]—, (iPrO)-Ln-C5H3-1-Me-3-[(CtBu2)2-O]—, (nBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-O]—, (iBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-O]—, (sBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-O]—, (tBuO)-Ln-C5H3-1-Me-3-[(CtBu2)2-O]—, (H2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NH]—, (Me2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NH]—, (Et2)-Ln-C5H3-1-iPr-3-[(CH2)2—NH]—, (nPr2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NH]—, (iPr2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NH]—, (nBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NH]—, (iBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NH]—, (sBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NH]—, (tBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NH]—, (Cp)-Ln-C5H3-1-iPr-3-[(CH2)2—NH]—, (HO)-Ln-C5H3-1-iPr-3-[(CH2)2—NH]—, (MeO)-Ln-C5H3-1-iPr-3-[(CH2)2—NH]—, (EtO)-Ln-C5H3-1-iPr-3-[(CH2)2—NH]—, (nPrO)-Ln-C5H3-1-iPr-3-[(CH2)2—NH]—, (iPrO)-Ln-C5H3-1-iPr-3-[(CH2)2—NH]—, (nBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—NH]—, (iBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—NH]—, (sBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—NH]—, (tBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—NH]—, (H2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NMe]-, (Me2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NMe]-, (Et2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NMe]-, (nPr2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NMe]-, (iPr2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NMe]-, (nBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NMe]-, (iBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NMe]-, (sBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NMe]-, (tBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NMe]-, (Cp)-Ln-C5H3-1-iPr-3-[(CH2)2—NMe]-, (HO)-Ln-C5H3-1-iPr-3-[(CH2)2—NMe]-, (MeO)-Ln-C5H3-1-iPr-3-[(CH2)2—NMe]-, (EtO)-Ln-C5H3-1-iPr-3-[(CH2)2—NMe]-, (nPrO)-Ln-C5H3-1-iPr-3-[(CH2)2—NMe]-, (iPrO)-Ln-C5H3-1-iPr-3-[(CH2)2—NMe]-, (nBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—NMe]-, (iBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—NMe]-, (sBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—NMe]-, (tBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—NMe]-, (H2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NEt]-, (Me2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NEt]-, (Et2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NEt]-, (nPr2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NEt]-, (iPr2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NEt]-, (nBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NEt]-, (iBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NEt]-, (sBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NEt]-, (tBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NEt]-, (Cp)-Ln-C5H3-1-iPr-3-[(CH2)2—NEt]-, (HO)-Ln-C5H3-1-iPr-3-[(CH2)2—NEt]-, (MeO)-Ln-C5H3-1-iPr-3-[(CH2)2—NEt]-, (EtO)-Ln-C5H3-1-iPr-3-[(CH2)2—NEt]-, (nPrO)-Ln-C5H3-1-iPr-3-[(CH2)2—NEt]-, (iPrO)-Ln-C5H3-1-iPr-3-[(CH2)2—NEt]-, (nBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—NEt]-, (iBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—NEt]-, (sBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—NEt]-, (tBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—NEt]-, (H2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NnPr]—, (Me2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NnPr]—, (Et2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NnPr]—, (nPr2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NnPr]—, (iPr2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NnPr]—, (nBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NnPr]—, (iBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NnPr]—, (sBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NnPr]—, (tBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NnPr]—, (Cp)-Ln-C5H3-1-iPr-3-[(CH2)2—NnPr]—, (HO)-Ln-C5H3-1-iPr-3-[(CH2)2—NnPr]—, (MeO)-Ln-C5H3-1-iPr-3-[(CH2)2—NnPr]—, (EtO)-Ln-C5H3-1-iPr-3-[(CH2)2—NnPr]—, (nPrO)-Ln-C5H3-1-iPr-3-[(CH2)2—NnPr]—, (iPrO)-Ln-C5H3-1-iPr-3-[(CH2)2—NnPr]—, (nBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—NnPr]—, (iBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—NnPr]—, (sBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—NnPr]—, (tBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—NnPr]—, (H2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NiPr]—, (Me2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NiPr]—, (Et2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NiPr]—, (nPr2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NiPr]—, (iPr2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NiPr]—, (nBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NiPr]—, (iBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NiPr]—, (sBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NiPr]—, (tBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NiPr]—, (Cp)-Ln-C5H3-1-iPr-3-[(CH2)2—NiPr]—, (HO)-Ln-C5H3-1-iPr-3-[(CH2)2—NiPr]—, (MeO)-Ln-C5H3-1-iPr-3-[(CH2)2—NiPr]—, (EtO)-Ln-C5H3-1-iPr-3-[(CH2)2—NiPr]—, (nPrO)-Ln-C5H3-1-iPr-3-[(CH2)2—NiPr]—, (iPrO)-Ln-C5H3-1-iPr-3-[(CH2)2—NiPr]—, (nBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—NiPr]—, (iBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—NiPr]—, (sBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—NiPr]—, (tBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—NiPr]—, (H2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NnBu]-, (Me2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NnBu]-, (Et2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NnBu]-, (nPr2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NnBu]-, (iPr2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NnBu]-, (nBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NnBu]-, (iBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NnBu]-, (sBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NnBu]-, (tBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NnBu]-, (Cp)-Ln-C5H3-1-iPr-3-[(CH2)2—NnBu]-, (HO)-Ln-C5H3-1-iPr-3-[(CH2)2—NnBu]-, (MeO)-Ln-C5H3-1-iPr-3-[(CH2)2—NnBu]-, (EtO)-Ln-C5H3-1-iPr-3-[(CH2)2—NnBu]-, (nPrO)-Ln-C5H3-1-iPr-3-[(CH2)2—NnBu]-, (iPrO)-Ln-C5H3-1-iPr-3-[(CH2)2—NnBu]-, (nBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—NnBu]-, (iBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—NnBu]-, (sBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—NnBu]-, (tBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—NnBu]-, (H2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NiBu]-, (Me2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NiBu]-, (Et2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NiBu]-, (nPr2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NiBu]-, (iPr2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NiBu]-, (nBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NiBu]-, (iBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NiBu]-, (sBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NiBu]-, (tBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NiBu]-, (Cp)-Ln-C5H3-1-iPr-3-[(CH2)2—NiBu]-, (HO)-Ln-C5H3-1-iPr-3-[(CH2)2—NiBu]-, (MeO)-Ln-C5H3-1-iPr-3-[(CH2)2—NiBu]-, (EtO)-Ln-C5H3-1-iPr-3-[(CH2)2—NiBu]-, (nPrO)-Ln-C5H3-1-iPr-3-[(CH2)2—NiBu]-, (iPrO)-Ln-C5H3-1-iPr-3-[(CH2)2—NiBu]-, (nBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—NiBu]-, (iBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—NiBu]-, (sBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—NiBu]-, (tBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—NiBu]-, (H2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NsBu]-, (Me2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NsBu]-, (Et2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NsBu]-, (nPr2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NsBu]-, (iPr2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NsBu]-, (nBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NsBu]-, (iBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NsBu]-, (sBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NsBu]-, (tBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NsBu]-, (Cp)-Ln-C5H3-1-iPr-3-[(CH2)2—NsBu]-, (HO)-Ln-C5H3-1-iPr-3-[(CH2)2—NsBu]-, (MeO)-Ln-C5H3-1-iPr-3-[(CH2)2—NsBu]-, (EtO)-Ln-C5H3-1-iPr-3-[(CH2)2—NsBu]-, (nPrO)-Ln-C5H3-1-iPr-3-[(CH2)2—NsBu]-, (iPrO)-Ln-C5H3-1-iPr-3-[(CH2)2—NsBu]-, (nBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—NsBu]-, (iBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—NsBu]-, (sBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—NsBu]-, (tBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—NsBu]-, (H2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NtBu]-, (Me2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NtBu]-, (Et2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NtBu]-, (nPr2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NtBu]-, (iPr2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NtBu]-, (nBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NtBu]-, (iBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NtBu]-, (sBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NtBu]-, (tBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—NtBu]-, (Cp)-Ln-C5H3-1-iPr-3-[(CH2)2—NtBu]-, (HO)-Ln-C5H3-1-iPr-3-[(CH2)2—NtBu]-, (MeO)-Ln-C5H3-1-iPr-3-[(CH2)2—NtBu]-, (EtO)-Ln-C5H3-1-iPr-3-[(CH2)2—NtBu]-, (nPrO)-Ln-C5H3-1-iPr-3-[(CH2)2—NtBu]-, (iPrO)-Ln-C5H3-1-iPr-3-[(CH2)2—NtBu]-, (nBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—NtBu]-, (iBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—NtBu]-, (sBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—NtBu]-, (tBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—NtBu]-, (H2N)-Ln-C5H3-1-iPr-3-[(CH2)2—O]—, (Me2N)-Ln-C5H3-1-iPr-3-[(CH2)2—O]—, (Et2N)-Ln-C5H3-1-iPr-3-[(CH2)2—O]—, (nPr2N)-Ln-C5H3-1-iPr-3-[(CH2)2—O]—, (iPr2N)-Ln-C5H3-1-iPr-3-[(CH2)2—O]—, (nBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—O]—, (iBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—O]—, (sBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—O]—, (tBu2N)-Ln-C5H3-1-iPr-3-[(CH2)2—O]—, (Cp)-Ln-C5H3-1-iPr-3-[(CH2)2—O]—, (HO)-Ln-C5H3-1-iPr-3-[(CH2)2—O]—, (MeO)-Ln-C5H3-1-iPr-3-[(CH2)2—O]—, (EtO)-Ln-C5H3-1-iPr-3-[(CH2)2—O]—, (nPrO)-Ln-C5H3-1-iPr-3-[(CH2)2—O]—, (iPrO)-Ln-C5H3-1-iPr-3-[(CH2)2—O]—, (nBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—O]—, (iBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—O]—, (sBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—O]—, (tBuO)-Ln-C5H3-1-iPr-3-[(CH2)2—O]—, (H2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NH]—, (Me2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NH]—, (Et2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NH]—, (nPr2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NH]—, (iPr2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NH]—, (nBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NH]—, (iBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NH]—, (sBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NH]—, (tBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NH]—, (Cp)-Ln-C5H3-1-iPr-3-[(CMe2)2-NH]—, (HO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NH]—, (MeO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NH]—, (EtO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NH]—, (nPrO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NH]—, (iPrO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NH]—, (nBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NH]—, (iBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-N]H—, (sBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NH]—, (tBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NH]—, (H2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NMe]-, (Me2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NMe]-, (Et2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NMe]-, (nPr2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NMe]-, (iPr2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NMe]-, (nBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NM]e-, (iBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NMe]-, (sBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NMe]-, (tBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NMe]-, (Cp)-Ln-C5H3-1-iPr-3-[(CMe2)2-NMe]-, (HO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NMe]-, (MeO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NMe]-, (EtO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NMe]-, (nPrO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NMe]-, (iPrO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NMe]-, (nBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NMe]-, (iBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NMe]-, (sBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NMe]-, (tBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NMe]-, (H2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NEt]-, (Me2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NEt]-, (Et2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NEt]-, (nPr2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NEt]-, (iPr2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NEt]-, (nBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NEt]-, (iBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NEt]-, (sBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NEt]-, (tBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NEt]-, (Cp)-Ln-C5H3-1-iPr-3-[(CMe2)2-NEt]-, (HO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NEt]-, (MeO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NEt]-, (EtO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NEt]-, (nPrO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NEt]-, (iPrO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NEt]-, (nBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NEt]-, (iBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NEt]-, (sBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NEt]-, (tBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NEt]-, (H2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnPr]—, (Me2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnPr]—, (Et2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnPr]—, (nPr2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnPr]—, (iPr2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnPr]—, (nBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnPr]—, (iBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnPr]—, (sBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnPr]—, (tBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnPr]—, (Cp)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnPr]—, (HO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnPr]—, (MeO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnPr]—, (EtO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnPr]—, (nPrO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnPr]—, (iPrO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnPr]—, (nBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnPr]—, (iBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnPr]—, (sBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnPr]—, (tBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnPr]—, (H2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiPr]—, (Me2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiPr]—, (Et2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiPr]—, (nPr2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiPr]—, (iPr2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiPr]—, (nBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiPr]—, (iBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiPr]—, (sBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiPr]—, (tBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiPr]—, (Cp)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiPr]—, (HO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiPr]—, (MeO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiPr]—, (EtO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiPr]—, (nPrO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiPr]—, (iPrO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiPr]—, (nBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiPr]—, (iBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiPr]—, (sBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiPr]—, (tBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiPr]—, (H2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnBu]-, (Me2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnBu]-, (Et2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnBu]-, (nPr2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnBu]-, (iPr2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnBu]-, (nBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnBu]-, (iBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnBu]-, (sBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnBu]-, (tBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnBu]-, (Cp)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnBu]-, (HO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnBu]-, (MeO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnBu]-, (EtO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnBu]-, (nPrO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnBu]-, (iPrO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnBu]-, (nBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnBu]-, (iBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnBu]-, (sBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnBu]-, (tBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NnBu]-, (H2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiBu]-, (Me2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiBu]-, (Et2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiBu]-, (nPr2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiBu]-, (iPr2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiBu]-, (nBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiBu]-, (iBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiBu]-, (sBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiBu]-, (tBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiBu]-, (Cp)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiBu]-, (HO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiBu]-, (MeO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiBu]-, (EtO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiBu]-, (nPrO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiBu]-, (iPrO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiBu]-, (nBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiBu]-, (iBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiBu]-, (sBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiBu]-, (tBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NiBu]-, (H2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NsBu]-, (Me2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NsBu]-, (Et2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NsBu]-, (nPr2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NsBu]-, (iPr2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NsBu]-, (nBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NsBu]-, (iBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NsBu]-, (sBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NsBu]-, (tBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NsBu]-, (Cp)-Ln-C5H3-1-iPr-3-[(CMe2)2-NsBu]-, (HO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NsBu]-, (MeO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NsBu]-, (EtO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NsBu]-, (nPrO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NsBu]-, (iPrO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NsBu]-, (nBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NsBu]-, (iBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NsBu]-, (sBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NsBu]-, (tBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NsBu]-, (H2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NtBu]-, (Me2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NtBu]-, (Et2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NtBu]-, (nPr2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NtBu]-, (iPr2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NtBu]-, (nBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NtBu]-, (iBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NtBu]-, (sBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NtBu]-, (tBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-NtBu]-, (Cp)-Ln-C5H3-1-iPr-3-[(CMe2)2-NtBu]-, (HO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NtBu]-, (MeO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NtBu]-, (EtO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NtBu]-, (nPrO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NtBu]-, (iPrO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NtBu]-, (nBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NtBu]-, (iBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NtBu]-, (sBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NtBu]-, (tBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-NtBu]-, (H2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-O]—, (Me2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-O]—, (Et2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-O]—, (nPr2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-O]—, (iPr2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-O]—, (nBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-O]—, (iBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-O]—, (sBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-O]—, (tBu2N)-Ln-C5H3-1-iPr-3-[(CMe2)2-O]—, (Cp)-Ln-C5H3-1-iPr-3-[(CMe2)2-O]—, (HO)-Ln-C5H3-1-iPr-3-[(CMe2)2-O]—, (MeO)-Ln-C5H3-1-iPr-3-[(CMe2)2-O]—, (EtO)-Ln-C5H3-1-iPr-3-[(CMe2)2-O]—, (nPrO)-Ln-C5H3-1-iPr-3-[(CMe2)2-O]—, (iPrO)-Ln-C5H3-1-iPr-3-[(CMe2)2-O]—, (nBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-O]—, (iBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-O]—, (sBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-O]—, (tBuO)-Ln-C5H3-1-iPr-3-[(CMe2)2-O]—, (H2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NH]—, (Me2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NH]—, (Et2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NH]—, (nPr2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NH]—, (iPr2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NH]—, (nBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NH]—, (iBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NH]—, (sBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NH]—, (tBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NH]—, (Cp)-Ln-C5H3-1-iPr-3-[(CEt2)2-NH]—, (HO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NH]—, (MeO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NH]—, (EtO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NH]—, (nPrO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NH]—, (iPrO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NH]—, (nBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NH]—, (iBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NH]—, (sBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NH]—, (tBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NH]—, (H2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NMe]-, (Me2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NMe]-, (Et2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NMe]-, (nPr2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NMe]-, (iPr2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NMe]-, (nBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NMe]-, (iBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NMe]-, (sBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NMe]-, (tBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NMe]-, (Cp)-Ln-C5H3-1-iPr-3-[(CEt2)2-NMe]-, (HO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NMe]-, (MeO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NMe]-, (EtO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NMe]-, (nPrO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NMe]-, (iPrO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NMe]-, (nBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NMe]-, (iBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NMe]-, (sBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NMe]-, (tBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NMe]-, (H2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NEt]-, (Me2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NEt]-, (Et2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NEt]-, (nPr2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NEt]-, (iPr2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NEt]-, (nBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NEt]-, (iBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NEt]-, (sBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NEt]-, (tBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NEt]-, (Cp)-Ln-C5H3-1-iPr-3-[(CEt2)2-NEt]-, (HO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NEt]-, (MeO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NEt]-, (EtO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NEt]-, (nPrO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NEt]-, (iPrO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NEt]-, (nBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NEt]-, (iBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NEt]-, (sBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NEt]-, (tBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NEt]-, (H2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnPr]—, (Me2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnPr]—, (Et2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnPr]—, (nPr2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnPr]—, (iPr2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnPr]—, (nBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnPr]—, (iBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnPr]—, (sBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnPr]—, (tBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnPr]—, (Cp)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnPr]—, (HO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnPr]—, (MeO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnPr]—, (EtO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnPr]—, (nPrO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnPr]—, (iPrO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnPr]—, (nBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnPr]—, (iBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnPr]—, (sBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnPr]—, (tBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnPr]—, (H2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiPr]—, (Me2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiPr]—, (Et2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiPr]—, (nPr2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiPr]—, (iPr2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiPr]—, (nBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiPr]—, (iBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiPr]—, (sBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiPr]—, (tBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiPr]—, (Cp)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiPr]—, (HO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiPr]—, (MeO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiPr]—, (EtO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiPr]—, (nPrO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiPr]—, (iPrO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiPr]—, (nBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiPr]—, (iBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiPr]—, (sBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiPr]—, (tBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiPr]—, (H2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnBu]-, (Me2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnBu]-, (Et2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnBu]-, (nPr2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnBu]-, (iPr2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnBu]-, (nBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnBu]-, (iBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnBu]-, (sBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnBu]-, (tBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnBu]-, (Cp)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnBu]-, (HO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnBu]-, (MeO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnBu]-, (EtO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnBu]-, (nPrO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnBu]-, (iPrO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnBu]-, (nBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnBu]-, (iBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnBu]-, (sBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnBu]-, (tBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NnBu]-, (H2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiBu]-, (Me2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiBu]-, (Et2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiBu]-, (nPr2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiBu]-, (iPr2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiBu]-, (nBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiBu]-, (iBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiBu]-, (sBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiBu]-, (tBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiBu]-, (Cp)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiBu]-, (HO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiBu]-, (MeO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiBu]-, (EtO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiBu]-, (nPrO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiBu]-, (iPrO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiBu]-, (nBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiBu]-, (iBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiBu]-, (sBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiBu]-, (tBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NiBu]-, (H2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NsBu]-, (Me2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NsBu]-, (Et2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NsBu]-, (nPr2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NsBu]-, (iPr2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NsBu]-, (nBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NsBu]-, (iBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NsBu]-, (sBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NsBu]-, (tBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NsBu]-, (Cp)-Ln-C5H3-1-iPr-3-[(CEt2)2-NsBu]-, (HO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NsBu]-, (MeO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NsBu]-, (EtO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NsBu]-, (nPrO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NsBu]-, (iPrO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NsBu]-, (nBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NsBu]-, (iBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NsBu]-, (sBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NsBu]-, (tBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NsBu]-, (H2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NtBu]-, (Me2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NtBu]-, (Et2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NtBu]-, (nPr2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NtBu]-, (iPr2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NtBu]-, (nBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NtBu]-, (iBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NtBu]-, (sBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NtBu]-, (tB2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-NtBu]-, (Cp)-Ln-C5H3-1-iPr-3-[(CEt2)2-NtBu]-, (HO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NtBu]-, (MeO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NtBu]-, (EtO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NtBu]-, (nPrO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NtBu]-, (iPrO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NtBu]-, (nBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NtBu]-, (iBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NtBu]-, (sBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NtBu]-, (tBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-NtBu]-, (H2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-O]—, (Me2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-O]—, (Et2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-O]—, (nPr2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-O]—, (iPr2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-O]—, (nBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-O]—, (iBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-O]—, (sBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-O]—, (tBu2N)-Ln-C5H3-1-iPr-3-[(CEt2)2-O]—, (Cp)-Ln-C5H3-1-iPr-3-[(CEt2)2-O]—, (HO)-Ln-C5H3-1-iPr-3-[(CEt2)2-O]—, (MeO)-Ln-C5H3-1-iPr-3-[(CEt2)2-O]—, (EtO)-Ln-C5H3-1-iPr-3-[(CEt2)2-O]—, (nPrO)-Ln-C5H3-1-iPr-3-[(CEt2)2-O]—, (iPrO)-Ln-C5H3-1-iPr-3-[(CEt2)2-O]—, (nBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-O]—, (iBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-O]—, (sBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-O]—, (tBuO)-Ln-C5H3-1-iPr-3-[(CEt2)2-O]—, (H2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NH]—, (Me2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NH]—, (Et2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NH]—, (nPr2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NH]—, (iPr2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NH]—, (nBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NH]—, (iBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NH]—, (sBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NH]—, (tBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NH]—, (Cp)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NH]—, (HO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NH]—, (MeO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NH]—, (EtO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NH]—, (nPrO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NH]—, (iPrO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NH]—, (nBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NH]—, (iBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NH]—, (sBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NH]—, (tBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NH]—, (H2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NH]—, (Me2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NMe]-, (Et2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NMe]-, (nPr2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NMe]-, (iPr2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NMe]-, (nBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NMe]-, (iBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NMe]-, (sBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NMe]-, (tBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NMe]-, (Cp)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NMe]-, (HO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NMe]-, (MeO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NMe]-, (EtO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NMe]-, (nPrO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NMe]-, (iPrO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NMe]-, (nBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NMe]-, (iBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NMe]-, (sBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NMe]-, (tBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NMe]-, (H2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NEt]-, (Me2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NEt]-, (Et2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NEt]-, (nPr2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NEt]-, (iPr2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NEt]-, (nBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NEt]-, (iBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NEt]-, (sBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NEt]-, (tBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NEt]-, (Cp)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NEt]-, (HO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NEt]-, (MeO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NEt]-, (EtO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NEt]-, (nPrO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NEt]-, (iPrO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NEt]-, (nBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NEt]-, (iBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NEt]-, (sBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NEt]-, (tBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NEt]-, (H2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnPr]—, (Me2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnPr]—, (Et2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnPr]—, (nPr2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnPr]—, (iPr2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnPr]—, (nBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnPr]—, (iBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnPr]—, (sBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnPr]—, (tBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnPr]—, (Cp)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnPr]—, (HO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnPr]—, (MeO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnPr]—, (EtO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnPr]—, (nPrO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnPr]—, (iPrO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnPr]—, (nBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnPr]—, (iBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnPr]—, (sBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnPr]—, (tBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnPr]—, (H2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiPr]—, (Me2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiPr]—, (Et2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiPr]—, (nPr2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiPr]—, (iPr2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiPr]—, (nBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiPr]—, (iBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiPr]—, (sBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiPr]—, (tBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiPr]—, (Cp)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiPr]—, (HO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiPr]—, (MeO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiPr]—, (EtO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiPr]—, (nPrO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiPr]—, (iPrO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiPr]—, (nBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiPr]—, (iBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiPr]—, (sBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiPr]—, (tBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiPr]—, (H2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnBu]-, (Me2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnBu]- , (Et2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnBu]-, (nPr2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnBu]-, (iPr2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnBu]-, (nBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnBu]-, (iBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnBu]-, (sBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnBu]-, (tBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnBu]-, (Cp)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnBu]-, (HO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnBu]-, (MeO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnBu]-, (EtO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnBu]-, (nPrO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnBu]-, (iPrO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnBu]-, (nBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnBu]-, (iBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnBu]-, (sBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnBu]-, (tBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NnBu]-, (H2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiBu]-, (Me2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiBu]-, (Et2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiBu]-, (nPr2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiBu]-, (iPr2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiBu]-, (nBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiBu]-, (iBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiBu]-, (sBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiBu]-, (tBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiBu]-, (Cp)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiBu]-, (HO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiBu]-, (MeO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiBu]-, (EtO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiBu]-, (nPrO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiBu]-, (iPrO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiBu]-, (nBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiBu]-, (iBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiBu]-, (sBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiBu]-, (tBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NiBu]-, (H2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NsBu]-, (Me2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NsBu]-, (Et2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NsBu]-, (nPr2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NsBu]-, (iPr2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NsBu]-, (nBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NsBu]-, (iBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NsBu]-, (sBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NsBu]-, (tBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NsBu]-, (Cp)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NsBu]-, (HO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NsBu]-, (MeO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NsBu]-, (EtO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NsBu]-, (nPrO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NsBu]-, (iPrO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NsBu]-, (nBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NsBu]-, (iBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NsBu]-, (sBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NsBu]-, (tBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NsBu]-, (H2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NtBu]-, (Me2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NtBu]-, (Et2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NtBu]-, (nPr2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NtBu]-, (iPr2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NtBu]-, (nBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NtBu]-, (iBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NtBu]-, (sBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NtBu]-, (tBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NtBu]-, (Cp)-Ln-C5H3-1-iPr- 3-[(CiPr2)2—NtBu]-, (HO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NtBu]-, (MeO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NtBu]-, (EtO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NtBu]-, (nPrO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NtBu]-, (iPrO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NtBu]-, (nBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NtBu]-, (iBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NtBu]-, (sBuO)-Ln-C5H3-1-iPr-3- [(CiPr2)2—NtBu]-, (tBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—NtBu]-, (H2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—O]—, (Me2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—O]—, (Et2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—O]—, (nPr2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—O]—, (iPr2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—O]—, (nBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—O]—, (iBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—O]—, (sBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—O]—, (tBu2N)-Ln-C5H3-1-iPr-3-[(CiPr2)2—O]—, (Cp)-Ln-C5H3-1-iPr-3-[(CiPr2)2—O]—, (HO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—O]—, (MeO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—O]—, (EtO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—O]—, (nPrO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—O]—, (iPrO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—O]—, (nBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—O]—, (iBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—O]—, (sBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—O]—, (tBuO)-Ln-C5H3-1-iPr-3-[(CiPr2)2—O]—, (H2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NH]—, (Me2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NH]—, (Et2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NH]—, (nPr2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NH]—, (iPr2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NH]—, (nBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NH]—, (iBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NH]—, (sBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NH]—, (tBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NH]—, (Cp)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NH]—, (HO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NH]—, (MeO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NH]—, (EtO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NH]—, (nPrO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NH]—, (iPrO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NH]—, (nBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NH]—, (iBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NH]—, (sBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NH]—, (tBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NH]—, (H2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NMe]-, (Me2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NMe]-, (Et2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NMe]-, (nPr2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NMe]-, (iPr2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NMe]-, (nBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NMe]-, (iBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NMe]-, (sBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NMe]-, (tBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NMe]-, (Cp)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NMe]-, (HO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NMe]-, (MeO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NMe]-, (EtO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NMe]-, (nPrO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NMe]-, (iPrO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NMe]-, (nBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NMe]-, (iBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NMe]-, (sBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NMe]-, (tBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NMe]-, (H2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NEt]-, (Me2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NEt]-, (Et2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NEt]-, (nPr2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NEt]-, (iPr2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NEt]-, (nBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NEt]-, (iBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NEt]-, (sBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NEt]-, (tBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NEt]-, (Cp)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NEt]-, (HO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NEt]-, (MeO)-Ln-C5H3-1- iPr-3-[(CtBu2)2-NEt]-, (EtO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NEt]-, (nPrO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NEt]-, (iPrO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NEt]-, (nBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NEt]-, (iBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NEt]-, (sBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NEt]-, (tBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NEt]-, (H2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnPr]—, (Me2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnPr]—, (Et2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnPr]—, (nPr2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnPr]—, (iPr2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnPr]—, (nBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnPr]—, (iBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnPr]—, (sBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnPr]—, (tBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnPr]—, (Cp)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnPr]—, (HO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnPr]—, (MeO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnPr]—, (EtO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnPr]—, (nPrO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnPr]—, (iPrO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnPr]—, (nBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnPr]—, (iBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnPr]—, (sBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnPr]—, (tBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnPr]—, (H2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NiPr]—, (Me2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NiPr]—, (Et2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NiPr]—, (nPr2N)-Ln-C5H3-1-iPr- 3-[(CtBu2)2-NiPr]—, (iPr2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NiPr]—, (nBu2N)-Ln-C5H3- 1-iPr-3-[(CtBu2)2-NiPr]—, (iBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NiPr]—, (sBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NiPr]—, (tBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2- NiPr]—, (Cp)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NiPr]—, (HO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NiPr]—, (MeO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NiPr]—, (EtO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NiPr]—, (nPrO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NiPr]—, (iPrO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NiPr]—, (nBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NiPr]—, (iBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NiPr]—, (sBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NiPr]—, (tBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NiPr]—, (H2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnBu]-, (Me2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnBu]-, (Et2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnBu]-, (nPr2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnBu]-, (iPr2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnBu]-, (nBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnBu]-, (iBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnBu]-, (sBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnBu]-, (tBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnBu]-, (Cp)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnBu]-, (HO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnBu]-, (MeO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnBu]-, (EtO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnBu]-, (nPrO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnBu]-, (iPrO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnBu]-, (nBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnBu]-, (iBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnBu]-, (sBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnBu]-, (tBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NnBu]-, (H2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NiBu]-, (Me2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NiBu]-, (Et2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NiBu]-, (nPr2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NiBu]-, (iPr2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NiBu]-, (nBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NiBu]-, (iBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NiBu]-, (sBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NiBu]-, (tBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NiBu]-, (Cp)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NiBu]-, (HO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NiBu]-, (MeO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NiBu]-, (EtO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NiBu]-, (nPrO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NiBu]-, (iPrO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NiBu]-, (nBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NiBu]-, (iBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NiBu]-, (sBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NiBu]-, (tBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NiBu]-, (H2O)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NsBu]-, (Me2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NsBu]-, (Et2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NsBu]-, (nPr2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NsBu]-, (iPr2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NsBu]-, (nBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NsBu]-, (iBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NsBu]-, (sBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NsBu]-, (tBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NsBu]-, (Cp)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NsBu]-, (HO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NsBu]-, (MeO)-Ln-C5H3-1-iPr-3-[(CtBu2)2- NsBu]-, (EtO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NsBu]-, (nPrO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NsBu]-, (iPrO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NsBu]-, (nBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NsBu]-, (iBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NsBu]-, (sBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NsBu]-, (tBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NsBu]-, (H2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NsBu]-, (Me2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NtBu]-, (Et2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NtBu]-, (nPr2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NtBu]-, (iPr2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NtBu]-, (nBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NtBu]-, (iBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NtBu]-, (sBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NtBu]-, (tBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NtBu]-, (Cp)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NtBu]-, (HO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NtBu]-, (MeO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NtBu]-, (EtO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NtBu]-, (nPrO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NtBu]-, (iPrO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NtBu]-, (nBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NtBu]-, (iBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NtBu]-, (sBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NtBu]-, (tBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-NtBu]-, (H2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-O]—, (Me2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-O]—, (Et2N)-Ln- C5H3-1-iPr-3-[(CtBu2)2-O]—, (nPr2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-O]—, (iPr2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-O]—, (iPr2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-O]—, (nBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-O]—, (iBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-O]—, (sBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-O]—, (tBu2N)-Ln-C5H3-1-iPr-3-[(CtBu2)2-O]—, (Cp)-Ln-C5H3-1-iPr-3-[(CtBu2)2-O]—, (HO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-O]—, (MeO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-O]—, (EtO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-O]—, (nPrO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-O]—, (iPrO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-O]—, (nBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-O]—, (iBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-O]—, (sBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-O]—, (tBuO)-Ln-C5H3-1-iPr-3-[(CtBu2)2-O]—, (H2N)-Ln-C4NH3-3-[(CH2)2—NH]—, (Me2N)-Ln-C4NH3-3-[(CH2)2—NH]—, (Et2N)-Ln-C4NH3-3-[(CH2)2—NH]—, (nPr2N)-Ln-C4NH3-3-[(CH2)2—NH]—, (iPr2N)-Ln-C4NH3-3-[(CH2)2—NH]—, (nBu2N)-Ln-C4NH3-3-[(CH2)2—NH]—, (iBu2N)-Ln-C4NH3-3-[(CH2)2—NH]—, (sBu2N)-Ln-C4NH3-3-[(CH2)2—NH]—, (tBu2N)-Ln-C4NH3-3-[(CH2)2—NH]—, (Cp)-Ln-C4NH3-3-[(CH2)2—NH]—, (HO)-Ln-C4NH3-3-[(CH2)2—NH]—, (MeO)-Ln-C4NH3-3-[(CH2)2—NH]—, (EtO)-Ln-C4NH3-3-[(CH2)2—NH]—, (nPrO)-Ln-C4NH3-3-[(CH2)2—NH]—, (iPrO)-Ln-C4NH3-3-[(CH2)2—NH]—, (nBuO)-Ln-C4NH3-3-[(CH2)2—NH]—, (iBuO)-Ln-C4NH3-3-[(CH2)2—NH]—, (sBuO)-Ln-C4NH3-3-[(CH2)2—NH]—, (tBuO)-Ln-C4NH3-3-[(CH2)2—NH]—, (H2N)-Ln-C4NH3-3-[(CH2)2—NMe]-, (Me2N)-Ln-C4NH3-3-[(CH2)2—NMe]-, (Et2N)-Ln-C4NH3-3-[(CH2)2—NMe]-, (nPr2N)-Ln-C4NH3-3-[(CH2)2—NMe]-, (iPr2N)-Ln-C4NH3-3-[(CH2)2—NMe]-, (nBu2N)-Ln-C4NH3-3-[(CH2)2—NMe]-, (iBu2N)-Ln-C4NH3-3-[(CH2)2—NMe]-, (sBu2N)-Ln-C4NH3-3-[(CH2)2—NMe]-, (tBu2N)-Ln-C4NH3-3-[(CH2)2—NMe]-, (Cp)-Ln-C4NH3-3-[(CH2)2—NMe]-, (HO)-Ln-C4NH3-3-[(CH2)2—NMe]-, (MeO)-Ln-C4NH3-3-[(CH2)2—NMe]-, (EtO)-Ln-C4NH3-3-[(CH2)2—NMe]-, (nPrO)-Ln-C4NH3-3-[(CH2)2—NMe]-, (iPrO)-Ln-C4NH3-3-[(CH2)2—NMe]-, (nBuO)-Ln-C4NH3-3-[(CH2)2—NMe]-, (iBuO)-Ln-C4NH3-3-[(CH2)2—NMe]-, (sBuO)-Ln-C4NH3-3-[(CH2)2—NMe]-, (tBuO)-Ln-C4NH3-3-[(CH2)2—NMe]-, (H2N)-Ln-C4NH3-3-[(CH2)2—NEt]-, (Me2N)-Ln-C4NH3-3-[(CH2)2—NEt]-, (Et2N)-Ln-C4NH3-3-[(CH2)2—NEt]-, (nPr2N)-Ln-C4NH3-3-[(CH2)2—NEt]-, (nBu2N)-Ln-C4NH3-3-[(CH2)2—NEt]-, (iBu2N)-Ln-C4NH3-3-[(CH2)2—NEt]-, (sBu2N)-Ln-C4NH3-3-[(CH2)2—NEt]-, (tBu2N)-Ln-C4NH3-3-[(CH2)2—NEt]-, (Cp)-Ln-C4NH3-3-[(CH2)2—NEt]-, (HO)-Ln-C4NH3-3-[(CH2)2—NEt]-, (MeO)-Ln-C4NH3-3-[(CH2)2—NEt]-, (EtO)-Ln-C4NH3-3-[(CH2)2—NEt]-, (nPrO)-Ln-C4NH3-3-[(CH2)2—NEt]-, (iPrO)-Ln-C4NH3-3-[(CH2)2—NEt]-, (nBuO)-Ln-C4NH3-3-[(CH2)2—NEt]-, (iBuO)-Ln-C4NH3-3-[(CH2)2—NEt]-, (sBuO)-Ln-C4NH3-3-[(CH2)2—NEt]-, (tBuO)-Ln-C4NH3-3-[(CH2)2—NEt]-, (H2N)-Ln-C4NH3-3-[(CH2)2—NnPr]—, (Me2N)-Ln-C4NH3-3-[(CH2)2—NnPr]—, (Et2N)-Ln-C4NH3-3-[(CH2)2—NnPr]—, (nPr2N)-Ln-C4NH3-3-[(CH2)2—NnPr]—, (iPr2N)-Ln-C4NH3-3-[(CH2)2—NnPr]—, (nBu2N)-Ln-C4NH3-3-[(CH2)2—NnPr]—, (iBu2N)-Ln-C4NH3-3-[(CH2)2—NnPr]—, (sBu2N)-Ln-C4NH3-3-[(CH2)2—NnPr]—, (tBu2N)-Ln-C4NH3-3-[(CH2)2—NnPr]—, (Cp)-Ln-C4NH3-3-[(CH2)2—NnPr]—, (HO)-Ln-C4NH3-3-[(CH2)2—NnPr]—, (MeO)-Ln-C4NH3-3-[(CH2)2—NnPr]—, (EtO)-Ln-C4NH3-3-[(CH2)2—NnPr]—, (nPrO)-Ln-C4NH3-3-[(CH2)2—NnPr]—, (iPrO)-Ln-C4NH3-3-[(CH2)2—NnPr]—, (nBuO)-Ln-C4NH3-3-[(CH2)2—NnPr]—, (iBuO)-Ln-C4NH3-3-[(CH2)2—NnPr]—, (sBuO)-Ln-C4NH3-3-[(CH2)2—NnPr]—, (tBuO)-Ln-C4NH3-3-[(CH2)2—NnPr]—, (H2N)-Ln-C4NH3-3-[(CH2)2—NiPr]—, (Me2N)-Ln-C4NH3-3-[(CH2)2—NiPr]—, (Et2N)-Ln-C4NH3-3-[(CH2)2—NiPr]—, (nPr2N)-Ln-C4NH3-3-[(CH2)2—NiPr]—, (iPr2N)-Ln-C4NH3-3-[(CH2)2—NiPr]—, (nBu2N)-Ln-C4NH3-3-[(CH2)2—NiPr]—, (iBu2N)-Ln-C4NH3-3-[(CH2)2—NiPr]—, (sBu2N)-Ln-C4NH3-3-[(CH2)2—NiPr]—, (tBu2N)-Ln-C4NH3-3-[(CH2)2—NiPr]—, (Cp)-Ln-C4NH3-3-[(CH2)2—NiPr]—, (HO)-Ln-C4NH3-3-[(CH2)2—NiPr]—, (MeO)-Ln-C4NH3-3-[(CH2)2—NiPr]—, (EtO)-Ln-C4NH3-3-[(CH2)2—NiPr]—, (nPrO)-Ln-C4NH3-3-[(CH2)2—NiPr]—, (iPrO)-Ln-C4NH3-3-[(CH2)2—NiPr]—, (nBuO)-Ln-C4NH3-3-[(CH2)2—NiPr]—, (iBuO)-Ln-C4NH3-3-[(CH2)2—NiPr]—, (sBuO)-Ln-C4NH3-3-[(CH2)2—NiPr]—, (tBuO)-Ln-C4NH3-3-[(CH2)2—NiPr]—, (H2N)-Ln-C4NH3-3-[(CH2)2—NnBu]-, (Et2N)-Ln-C4NH3-3-[(CH2)2—NnBu]-, (nPr2N)-Ln-C4NH3-3-[(CH2)2—NnBu]-, (iPr2N)-Ln-C4NH3-3-[(CH2)2—NnBu]-, (nBu2N)-Ln-C4NH3-3-[(CH2)2—NnBu]-, (iBu2N)-Ln-C4NH3-3-[(CH2)2—NnBu]-, (sBu2N)-Ln-C4NH3-3-[(CH2)2—NnBu]-, (tBu2N)-Ln-C4NH3-3-[(CH2)2—NnBu]-, (Cp)-Ln-C4NH3-3-[(CH2)2—NnBu]-, (HO)-Ln-C4NH3-3-[(CH2)2—NnBu]-, (MeO)-Ln-C4NH3-3-[(CH2)2—NnBu]-, (EtO)-Ln-C4NH3-3-[(CH2)2—NnBu]-, (nPrO)-Ln-C4NH3-3-[(CH2)2—NnBu]-, (iPrO)-Ln-C4NH3-3-[(CH2)2—NnBu]-, (nBuO)-Ln-C4NH3-3-[(CH2)2—NnBu]-, (iBuO)-Ln-C4NH3-3-[(CH2)2—NnBu]-, (sBuO)-Ln-C4NH3-3-[(CH2)2—NnBu]-, (tBuO)-Ln-C4NH3-3-[(CH2)2—NnBu]-, (H2N)-Ln-C4NH3-3-[(CH2)2—NiBu]-, (Me2N)-Ln-C4NH3-3-[(CH2)2—NiBu]-, (Et2N)-Ln-C4NH3-3-[(CH2)2—NiBu]-, (nPr2N)-Ln-C4NH3-3-[(CH2)2—NiBu]-, (iPr2N)-Ln-C4NH3-3-[(CH2)2—NiBu]-, (nBu2N)-Ln-C4NH3-3-[(CH2)2—NiBu]-, (iBu2N)-Ln-C4NH3-3-[(CH2)2—NiBu]-, (sBu2N)-Ln-C4NH3-3-[(CH2)2—NiBu]-, (tBu2N)-Ln-C4NH3-3-[(CH2)2—NiBu]-, (Cp)-Ln-C4NH3-3-[(CH2)2—NiBu]-, (HO)-Ln-C4NH3-3-[(CH2)2—NiBu]-, (MeO)-Ln-C4NH3-3-[(CH2)2—NiBu]-, (EtO)-Ln-C4NH3-3-[(CH2)2—NiBu]-, (nPrO)-Ln-C4NH3-3-[(CH2)2—NiBu]-, (iPrO)-Ln-C4NH3-3-[(CH2)2—NiBu]-, (nBuO)-Ln-C4NH3-3-[(CH2)2—NiBu]-, (iBuO)-Ln-C4NH3-3-[(CH2)2—NiBu]-, (sBuO)-Ln-C4NH3-3-[(CH2)2—NiBu]-, (tBuO)-Ln-C4NH3-3-[(CH2)2—NiBu]-, (H2N)-Ln-C4NH3-3-[(CH2)2—NsBu]-, (Me2N)-Ln-C4NH3-3-[(CH2)2—NsBu]-, (Et2N)-Ln-C4NH3-3-[(CH2)2—NsBu]-, (nPr2N)-Ln-C4NH3-3-[(CH2)2—NsBu]-, (iPr2N)-Ln-C4NH3-3-[(CH2)2—NsBu]-, (nBu2N)-Ln-C4NH3-3-[(CH2)2—NsBu]-, (iBu2N)-Ln-C4NH3-3-[(CH2)2—NsBu]-, (sBu2N)-Ln-C4NH3-3-[(CH2)2—NsBu]-, (tBu2N)-Ln-C4NH3-3-[(CH2)2—NsBu]-, (Cp)-Ln-C4NH3-3-[(CH2)2—NsBu]-, (HO)-Ln-C4NH3-3-[(CH2)2—NsBu]-, (MeO)-Ln-C4NH3-3-[(CH2)2—NsBu]-, (EtO)-Ln-C4NH3-3-[(CH2)2—NsBu]-, (nPrO)-Ln-C4NH3-3-[(CH2)2—NsBu]-, (iPrO)-Ln-C4NH3-3-[(CH2)2—NsBu]-, (nBuO)-Ln-C4NH3-3-[(CH2)2—NsBu]-, (iBuO)-Ln-C4NH3-3-[(CH2)2—NsBu]-, (sBuO)-Ln-C4NH3-3-[(CH2)2—NsBu]-, (tBuO)-Ln-C4NH3-3-[(CH2)2—NsBu]-, (H2N)-Ln-C4NH3-3-[(CH2)2—NtBu]-, (Me2N)-Ln-C4NH3-3-[(CH2)2—NtBu]-, (Et2N)-Ln-C4NH3-3-[(CH2)2—NtBu]-, (nPr2N)-Ln-C4NH3-3-[(CH2)2—NtBu]-, (iPr2N)-Ln-C4NH3-3-[(CH2)2—NtBu]-, (nBu2N)-Ln-C4NH3-3-[(CH2)2—NtBu]-, (iBu2N)-Ln-C4NH3-3-[(CH2)2—NtBu]-, (sB2N)-Ln-C4NH3-3-[(CH2)2—NtBu]-, (tB2N)-Ln-C4NH3-3-[(CH2)2—NtBu]-, (Cp)-Ln-C4NH3-3-[(CH2)2—NtBu]-, (HO)-Ln-C4NH3-3-[(CH2)2—NtBu]-, (MeO)-Ln-C4NH3-3-[(CH2)2—NtBu]-, (EtO)-Ln-C4NH3-3-[(CH2)2—NtBu]-, (nPrO)-Ln-C4NH3-3-[(CH2)2—NtBu]-, (iPrO)-Ln-C4NH3-3-[(CH2)2—NtBu]-, (nBuO)-Ln-C4NH3-3-[(CH2)2—NtBu]-, (iBuO)-Ln-C4NH3-3-[(CH2)2—NtBu]-, (sBuO)-Ln-C4NH3-3-[(CH2)2—NtBu]-, (tBuO)-Ln-C4NH3-3-[(CH2)2—NtBu]-, (H2N)-Ln-C4NH3-3-[(CH2)2—O]—, (Me2N)-Ln-C4NH3-3-[(CH2)2—O]—, (Et2N)-Ln-C4NH3-3-[(CH2)2—O]—, (iBu2N)-Ln-C4NH3-3-[(CH2)2—O]—, (sBu2N)-Ln-C4NH3-3-[(CH2)2—O]—, (tBu2N)-Ln-C4NH3-3-[(CH2)2—O]—, (Cp)-Ln-C4NH3-3-[(CH2)2—O]—, (HO)-Ln-C4NH3-3-[(CH2)2—O]—, (MeO)-Ln-C4NH3-3-[(CH2)2—O]—, (EtO)-Ln-C4NH3-3-[(CH2)2—O]—, (nPrO)-Ln-C4NH3-3-[(CH2)2—O]—, (iPrO)-Ln-C4NH3-3-[(CH2)2—O]—, (nBuO)-Ln-C4NH3-3-[(CH2)2—O]—, (iBuO)-Ln-C4NH3-3-[(CH2)2—O]—, (sBuO)-Ln-C4NH3-3-[(CH2)2—O]—, (tBuO)-Ln-C4NH3-3-[(CH2)2—O]—, (H2N)-Ln-C4NH3-3-[(CMe2)2-NH]—, (Me2N)-Ln-C4NH3-3-[(CMe2)2-NH]—, (Et2N)-Ln-C4NH3-3-[(CMe2)2-NH]—, (nPr2N)-Ln-C4NH3-3-[(CMe2)2-NH]—, (iPr2N)-Ln-C4NH3-3-[(CMe2)2-NH]—, (nBu2N)-Ln-C4NH3-3-[(CMe2)2-NH]—, (iBu2N)-Ln-C4NH3-3-[(CMe2)2-NH]—, (sBu2N)-Ln-C4NH3-3-[(CMe2)2-NH]—, (tBu2N)-Ln-C4NH3-3-[(CMe2)2-NH]—, (Cp)-Ln-C4NH3-3-[(CMe2)2-NH]—, (HO)-Ln-C4NH3-3-[(CMe2)2-NH]—, (MeO)-Ln-C4NH3-3-[(CMe2)2-NH]—, (EtO)-Ln-C4NH3-3-[(CMe2)2-NH]—, (nPrO)-Ln-C4NH3-3-[(CMe2)2-NH]—, (iPrO)-Ln-C4NH3-3-[(CMe2)2-NH]—, (nBuO)-Ln-C4NH3-3-[(CMe2)2-NH]—, (iBuO)-Ln-C4NH3-3-[(CMe2)2-NH]—, (sBuO)-Ln-C4NH3-3-[(CMe2)2-NH]—, (tBuO)-Ln-C4NH3-3-[(CMe2)2-NH]—, (H2N)-Ln-C4NH3-3-[(CMe2)2-NMe]-, (Me2N)-Ln-C4NH3-3-[(CMe2)2-NMe]-, (Et2N)-Ln-C4NH3-3-[(CMe2)2-NMe]-, (nPr2N)-Ln-C4NH3-3-[(CMe2)2-NMe]-, (iPr2N)-Ln-C4NH3-3-[(CMe2)2-NMe]-, (nBu2N)-Ln-C4NH3-3-[(CMe2)2-NMe]-, (iBu2N)-Ln-C4NH3-3-[(CMe2)2-NMe]-, (sBu2N)-Ln-C4NH3-3-[(CMe2)2-NMe]-, (tBu2N)-Ln-C4NH3-3-[(CMe2)2-NMe]-, (Cp)-Ln-C4NH3-3-[(CMe2)2-NMe]-, (HO)-Ln-C4NH3-3-[(CMe2)2-NMe]-, (MeO)-Ln-C4NH3-3-[(CMe2)2-NMe]-, (EtO)-Ln-C4NH3-3-[(CMe2)2-NMe]-, (nPrO)-Ln-C4NH3-3-[(CMe2)2-NMe]-, (iPrO)-Ln-C4NH3-3-[(CMe2)2-NMe]-, (nBuO)-Ln-C4NH3-3-[(CMe2)2-NMe]-, (iBuO)-Ln-C4NH3-3-[(CMe2)2-NMe]-, (sBuO)-Ln-C4NH3-3-[(CMe2)2-NMe]-, (tBuO)-Ln-C4NH3-3-[(CMe2)2-NMe]-, (H2N)-Ln-C4NH3-3-[(CMe2)2-NEt]-, (Me2N)-Ln-C4NH3-3-[(CMe2)2-NEt]-, (Et2N)-Ln-C4NH3-3-[(CMe2)2-NEt]-, (nPr2N)-Ln-C4NH3-3-[(CMe2)2-NEt]-, (iPr2N)-Ln-C4NH3-3-[(CMe2)2-NEt]-, (nBu2N)-Ln-C4NH3-3-[(CMe2)2-NEt]-, (iBu2N)-Ln-C4NH3-3-[(CMe2)2-NEt]-, (sBu2N)-Ln-C4NH3-3-[(CMe2)2-NEt]-, (tBu2N)-Ln-C4NH3-3-[(CMe2)2-NEt]-, (Cp)-Ln-C4NH3-3-[(CMe2)2-NEt]-, (HO)-Ln-C4NH3-3-[(CMe2)2-NEt]-, (MeO)-Ln-C4NH3-3-[(CMe2)2-NEt]-, (EtO)-Ln-C4NH3-3-[(CMe2)2-NEt]-, (nPrO)-Ln-C4NH3-3-[(CMe2)2-NEt]-, (iPrO)-Ln-C4NH3-3-[(CMe2)2-NEt]-, (nBuO)-Ln-C4NH3-3-[(CMe2)2-NEt]-, (iBuO)-Ln-C4NH3-3-[(CMe2)2-NEt]-, (sBuO)-Ln-C4NH3-3-[(CMe2)2-NEt]-, (tBuO)-Ln-C4NH3-3-[(CMe2)2-NEt]-, (H2N)-Ln-C4NH3-3-[(CMe2)2-NnPr]—, (Me2N)-Ln-C4NH3-3-[(CMe2)2-NnPr]—, (Et2N)-Ln-C4NH3-3-[(CMe2)2-NnPr]—, (nPr2N)-Ln-C4NH3-3-[(CMe2)2-NnPr]—, (iPr2N)-Ln-C4NH3-3-[(CMe2)2-NnPr]—, (nBu2N)-Ln-C4NH3-3-[(CMe2)2-NnPr]—, (iBu2N)-Ln-C4NH3-3-[(CMe2)2-NnPr]—, (sBu2N)-Ln-C4NH3-3-[(CMe2)2-NnPr]—, (tBu2N)-Ln-C4NH3-3-[(CMe2)2-NnPr]—, (Cp)-Ln-C4NH3-3-[(CMe2)2-NnPr]—, (HO)-Ln-C4NH3-3-[(CMe2)2-NnPr]—, (MeO)-Ln-C4NH3-3-[(CMe2)2-NnPr]—, (EtO)-Ln-C4NH3-3-[(CMe2)2-NnPr]—, (nPrO)-Ln-C4NH3-3-[(CMe2)2-NnPr]—, (iPrO)-Ln-C4NH3-3-[(CMe2)2-NnPr]—, (nBuO)-Ln-C4NH3-3-[(CMe2)2-NnPr]—, (iBuO)-Ln-C4NH3-3-[(CMe2)2-NnPr]—, (sBuO)-Ln-C4NH3-3-[(CMe2)2-NnPr]—, (tBuO)-Ln-C4NH3-3-[(CMe2)2-NnPr]—, (H2N)-Ln-C4NH3-3-[(CMe2)2-NiPr]—, (Me2N)-Ln-C4NH3-3-[(CMe2)2-NiPr]—, (Et2N)-Ln-C4NH3-3-[(CMe2)2-NiPr]—, (nPr2N)-Ln-C4NH3-3-[(CMe2)2-NiPr]—, (iPr2N)-Ln-C4NH3-3-[(CMe2)2-NiPr]—, (nBu2N)-Ln-C4NH3-3-[(CMe2)2-NiPr]—, (iBu2N)-Ln-C4NH3-3-[(CMe2)2-NiPr]—, (sBu2N)-Ln-C4NH3-3-[(CMe2)2-NiPr]—, (tBu2N)-Ln-C4NH3-3-[(CMe2)2-NiPr]—, (Cp)-Ln-C4NH3-3-[(CMe2)2-NiPr]—, (HO)-Ln-C4NH3-3-[(CMe2)2-NiPr]—, (MeO)-Ln-C4NH3-3-[(CMe2)2-NiPr]—, (EtO)-Ln-C4NH3-3-[(CMe2)2-NiPr]—, (nPrO)-Ln-C4NH3-3-[(CMe2)2-NiPr]—, (iPrO)-Ln-C4NH3-3-[(CMe2)2-NiPr]—, (nBuO)-Ln-C4NH3-3-[(CMe2)2-NiPr]—, (iBuO)-Ln-C4NH3-3-[(CMe2)2-NiPr]—, (sBuO)-Ln-C4NH3-3-[(CMe2)2-NiPr]—, (tBuO)-Ln-C4NH3-3-[(CMe2)2-NiPr]—, (H2N)-Ln-C4NH3-3-[(CMe2)2-NnBu]-, (Me2N)-Ln-C4NH3-3-[(CMe2)2-NnBu]-, (Et2N)-Ln-C4NH3-3-[(CMe2)2-NnBu]-, (nPr2N)-Ln-C4NH3-3-[(CMe2)2-NnBu]-, (iPr2N)-Ln-C4NH3-3-[(CMe2)2-NnBu]-, (nBu2N)-Ln-C4NH3-3-[(CMe2)2-NnBu]-, (iBu2N)-Ln-C4NH3-3-[(CMe2)2-NnBu]-, (sBu2N)-Ln-C4NH3-3-[(CMe2)2-NnBu]-, (tBu2N)-Ln-C4NH3-3-[(CMe2)2-NnBu]-, (Cp)-Ln-C4NH3-3-[(CMe2)2-NnBu]-, (HO)-Ln-C4NH3-3-[(CMe2)2-NnBu]-, (MeO)-Ln-C4NH3-3-[(CMe2)2-NnBu]-, (EtO)-Ln-C4NH3-3-[(CMe2)2-NnBu]-, (nPrO)-Ln-C4NH3-3-[(CMe2)2-NnBu]-, (iPrO)-Ln-C4NH3-3-[(CMe2)2-NnBu]-, (nBuO)-Ln-C4NH3-3-[(CMe2)2-NnBu]-, (iBuO)-Ln-C4NH3-3-[(CMe2)2-NnBu]-, (sBuO)-Ln-C4NH3-3-[(CMe2)2-NnBu]-, (tBuO)-Ln-C4NH3-3-[(CMe2)2-NnBu]-, (H2N)-Ln-C4NH3-3-[(CMe2)2-NiBu]-, (Me2N)-Ln-C4NH3-3-[(CMe2)2-NiBu]-, (Et2N)-Ln-C4NH3-3-[(CMe2)2-NiBu]-, (nPr2N)-Ln-C4NH3-3-[(CMe2)2-NiBu]-, (iPr2N)-Ln-C4NH3-3-[(CMe2)2-NiBu]-, (nBu2N)-Ln-C4NH3-3-[(CMe2)2-NiBu]-, (iBu2N)-Ln-C4NH3-3-[(CMe2)2-NiBu]-, (sBu2N)-Ln-C4NH3-3-[(CMe2)2-NiBu]-, (tBu2N)-Ln-C4NH3-3-[(CMe2)2-NiBu]-, (Cp)-Ln-C4NH3-3-[(CMe2)2-NiBu]-, (HO)-Ln-C4NH3-3-[(CMe2)2-NiBu]-, (MeO)-Ln-C4NH3-3-[(CMe2)2-NiBu]-, (EtO)-Ln-C4NH3-3-[(CMe2)2-NiBu]-, (nPrO)-Ln-C4NH3-3-[(CMe2)2-NiBu]-, (iPrO)-Ln-C4NH3-3-[(CMe2)2-NiBu]-, (nBuO)-Ln-C4NH3-3-[(CMe2)2-NiBu]-, (iBuO)-Ln-C4NH3-3-[(CMe2)2-NiBu]-, (sBuO)-Ln-C4NH3-3-[(CMe2)2-NiBu]-, (tBuO)-Ln-C4NH3-3-[(CMe2)2-NiBu]-, (H2N)-Ln-C4NH3-3-[(CMe2)2-NsBu]-, (Me2N)-Ln-C4NH3-3-[(CMe2)2-NsBu]-, (Et2N)-Ln-C4NH3-3-[(CMe2)2-NsBu]-, (nPr2N)-Ln-C4NH3-3-[(CMe2)2-NsBu]-, (iPr2N)-Ln-C4NH3-3-[(CMe2)2-NsBu]-, (nBu2N)-Ln-C4NH3-3-[(CMe2)2-NsBu]-, (iBu2N)-Ln-C4NH3-3-[(CMe2)2-NsBu]-, (sBu2N)-Ln-C4NH3-3-[(CMe2)2-NsBu]-, (tBu2N)-Ln-C4NH3-3-[(CMe2)2-NsBu]-, (Cp)-Ln-C4NH3-3-[(CMe2)2-NsBu]-, (HO)-Ln-C4NH3-3-[(CMe2)2-NsBu]-, (MeO)-Ln-C4NH3-3-[(CMe2)2-NsBu]-, (EtO)-Ln-C4NH3-3-[(CMe2)2-NsBu]-, (nPrO)-Ln-C4NH3-3-[(CMe2)2-NsBu]-, (iPrO)-Ln-C4NH3-3-[(CMe2)2-NsBu]-, (nBuO)-Ln-C4NH3-3-[(CMe2)2-NsBu]-, (iBuO)-Ln-C4NH3-3-[(CMe2)2-NsBu]-, (sBuO)-Ln-C4NH3-3-[(CMe2)2-NsBu]-, (tBuO)-Ln-C4NH3-3-[(CMe2)2-NsBu]-, (H2N)-Ln-C4NH3-3-[(CMe2)2-NtBu]-, (Me2N)-Ln-C4NH3-3-[(CMe2)2-NtBu]-, (Et2N)-Ln-C4NH3-3-[(CMe2)2-NtBu]-, (nPr2N)-Ln-C4NH3-3-[(CMe2)2-NtBu]-, (iPr2N)-Ln-C4NH3-3-[(CMe2)2-NtBu]-, (nBu2N)-Ln-C4NH3-3-[(CMe2)2-NtBu]-, (iBu2N)-Ln-C4NH3-3-[(CMe2)2-NtBu]-, (sBu2N)-Ln-C4NH3-3-[(CMe2)2-NtBu]-, (tBu2N)-Ln-C4NH3-3-[(CMe2)2-NtBu]-, (Cp)-Ln-C4NH3-3-[(CMe2)2-NtBu]-, (HO)-Ln-C4NH3-3-[(CMe2)2-NtBu]-, (MeO)-Ln-C4NH3-3-[(CMe2)2-NtBu]-, (EtO)-Ln-C4NH3-3-[(CMe2)2-NtBu]-, (nPrO)-Ln-C4NH3-3-[(CMe2)2-NtBu]-, (iPrO)-Ln-C4NH3-3-[(CMe2)2-NtBu]-, (nBuO)-Ln-C4NH3-3-[(CMe2)2-NtBu]-, (iBuO)-Ln-C4NH3-3-[(CMe2)2-NtBu]-, (sBuO)-Ln-C4NH3-3-[(CMe2)2-NtBu]-, (tBuO)-Ln-C4NH3-3-[(CMe2)2-NtBu]-, (H2N)-Ln-C4NH3-3-[(CMe2)2-O]—, (Me2N)-Ln-C4NH3-3-[(CMe2)2-O]—, (Et2N)-Ln-C4NH3-3-[(CMe2)2-O]—, (nPr2N)-Ln-C4NH3-3-[(CMe2)2-O]—, (iPr2N)-Ln-C4NH3-3-[(CMe2)2-O]—, (nBu2N)-Ln-C4NH3-3-[(CMe2)2-O]—, (iBu2N)-Ln-C4NH3-3-[(CMe2)2-O]—, (sBu2N)-Ln-C4NH3-3-[(CMe2)2-O]—, (tBu2N)-Ln-C4NH3-3-[(CMe2)2-O]—, (Cp)-Ln-C4NH3-3-[(CMe2)2-O]—, (HO)-Ln-C4NH3-3-[(CMe2)2-O]—, (MeO)-Ln-C4NH3-3-[(CMe2)2-O]—, (EtO)-Ln-C4NH3-3-[(CMe2)2-O]—, (nPrO)-Ln-C4NH3-3-[(CMe2)2-O]—, (iPrO)-Ln-C4NH3-3-[(CMe2)2-O]—, (nBuO)-Ln-C4NH3-3-[(CMe2)2-O]—, (iBuO)-Ln-C4NH3-3-[(CMe2)2-O]—, (sBuO)-Ln-C4NH3-3-[(CMe2)2-O]—, (tBuO)-Ln-C4NH3-3-[(CMe2)2-O]—, (H2N)-Ln-C4NH3-3-[(CEt2)2-NH]—, (Me2N)-Ln-C4NH3-3-[(CEt2)2-NH]—, (Et2N)-Ln-C4NH3-3-[(CEt2)2-NH]—, (nPr2N)-Ln-C4NH3-3-[(CEt2)2-NH]—, (iPr2N)-Ln-C4NH3-3-[(CEt2)2-NH]—, (nBu2N)-Ln-C4NH3-3-[(CEt2)2-NH]—, (iBu2N)-Ln-C4NH3-3-[(CEt2)2-NH]—, (sBu2N)-Ln-C4NH3-3-[(CEt2)2-NH]—, (tBu2-Ln-C4NH3-3-[(CEt2)2-NH]—, (Cp)-Ln-C4NH3-3-[(CEt2)2-NH]—, (HO)-Ln-C4NH3-3-[(CEt2)2-NH]—, (MeO)-Ln-C4NH3-3-[(CEt2)2-NH]—, (EtO)-Ln-C4NH3-3-[(CEt2)2-NH]—, (nPrO)-Ln-C4NH3-3-[(CEt2)2-NH]—, (iPrO)-Ln-C4NH3-3-[(CEt2)2-NH]—, (nBuO)-Ln-C4NH3-3-[(CEt2)2-NH]—, (iBuO)-Ln-C4NH3-3-[(CEt2)2-NH]—, (sBuO)-Ln-C4NH3-3-[(CEt2)2-NH]—, (tBuO)-Ln-C4NH3-3-[(CEt2)2-NH]—, (H2N)-Ln-C4NH3-3-[(CEt2)2-NMe]-, (Me2N)-Ln-C4NH3-3-[(CEt2)2-NMe]-, (Et2N)-Ln-C4NH3-3-[(CEt2)2-NMe]-, (nPr2N)-Ln-C4NH3-3-[(CEt2)2-NMe]-, (iBu2N)-Ln-C4NH3-3-[(CEt2)2-NiPr]—, (sBu2N)-Ln-C4NH3-3-[(CEt2)2-NiPr]—, (tBu2N)-Ln-C4NH3-3-[(CEt2)2-NiPr]—, (Cp)-Ln-C4NH3-3-[(CEt2)2-NiPr]—, (HO)-Ln-C4NH3-3-[(CEt2)2-NiPr]—, (MeO)-Ln-C4NH3-3-[(CEt2)2-NiPr]—, (EtO)-Ln-C4NH3-3-[(CEt2)2-NiPr]—, (nPrO)-Ln-C4NH3-3-[(CEt2)2-NiPr]—, (iPrO)-Ln-C4NH3-3-[(CEt2)2-NiPr]—, (nBuO)-Ln-C4NH3-3-[(CEt2)2-NiPr]—, (iBuO)-Ln-C4NH3-3-[(CEt2)2-NiPr]—, (sBuO)-Ln-C4NH3-3-[(CEt2)2-NiPr]—, (tBuO)-Ln-C4NH3-3-[(CEt2)2-NiPr]—, (H2N)-Ln-C4NH3-3-[(CEt2)2-NnBu]-, (Me2N)-Ln-C4NH3-3-[(CEt2)2-NnBu]-, (Et2N)-Ln-C4NH3-3-[(CEt2)2-NnBu]-, (nPr2N)-Ln-C4NH3-3-[(CEt2)2-NnBu]-, (iPr2N)-Ln-C4NH3-3-[(CEt2)2-NnBu]-, (nBu2N)-Ln-C4NH3-3-[(CEt2)2-NnBu]-, (iBu2N)-Ln-C4NH3-3-[(CEt2)2-NnBu]-, (sBu2N)-Ln-C4NH3-3-[(CEt2)2-NnBu]-, (tBu2N)-Ln-C4NH3-3-[(CEt2)2-NnBu]-, (Cp)-Ln-C4NH3-3-[(CEt2)2-NnBu]-, (HO)-Ln-C4NH3-3-[(CEt2)2-NnBu]-, (MeO)-Ln-C4NH3-3-[(CEt2)2-NnBu]-, (EtO)-Ln-C4NH3-3-[(CEt2)2-NnBu]-, (nPrO)-Ln-C4NH3-3-[(CEt2)2-NnBu]-, (iPrO)-Ln-C4NH3-3-[(CEt2)2-NnBu]-, (nBuO)-Ln-C4NH3-3-[(CEt2)2-NnBu]-, (iBuO)-Ln-C4NH3-3-[(CEt2)2-NnBu]-, (sBuO)-Ln-C4NH3-3-[(CEt2)2-NnBu]-, (tBuO)-Ln-C4NH3-3-[(CEt2)2-NnBu]-, (H2N)-Ln-C4NH3-3-[(CEt2)2-NiBu]-, (Me2N)-Ln-C4NH3-3-[(CEt2)2-NiBu]-, (Et2N)-Ln-C4NH3-3-[(CEt2)2-NiBu]-, (nPr2N)-Ln-C4NH3-3-[(CEt2)2-NiBu]-, (iPr2N)-Ln-C4NH3-3-[(CEt2)2-NiBu]-, (nBu2N)-Ln-C4NH3-3-[(CEt2)2-NiBu]-, (iBu2N)-Ln-C4NH3-3-[(CEt2)2-NiBu]-, (sBu2N)-Ln-C4NH3-3-[(CEt2)2-NiBu]-, (tBu2N)-Ln-C4NH3-3-[(CEt2)2-NiBu]-, (Cp)-Ln-C4NH3-3-[(CEt2)2-NiBu]-, (HO)-Ln-C4NH3-3-[(CEt2)2-NiBu]-, (MeO)-Ln-C4NH3-3-[(CEt2)2-NiBu]-, (EtO)-Ln-C4NH3-3-[(CEt2)2-NiBu]-, (nPrO)-Ln-C4NH3-3-[(CEt2)2-NiBu]-, (iPrO)-Ln-C4NH3-3-[(CEt2)2-NiBu]-, (nBuO)-Ln-C4NH3-3-[(CEt2)2-NiBu]-, (iBuO)-Ln-C4NH3-3-[(CEt2)2-NiBu]-, (sBuO)-Ln-C4NH3-3-[(CEt2)2-NiBu]-, (tBuO)-Ln-C4NH3-3-[(CEt2)2-NiBu]-, (H2N)-Ln-C4NH3-3-[(CEt2)2-NsBu]-, (Me2N)-Ln-C4NH3-3-[(CEt2)2-NsBu]-, (Et2N)-Ln-C4NH3-3-[(CEt2)2-NsBu]-, (nPr2N)-Ln-C4NH3-3-[(CEt2)2-NsBu]-, (iPr2N)-Ln-C4NH3-3-[(CEt2)2-NsBu]-, (nBu2N)-Ln-C4NH3-3-[(CEt2)2-NsBu]-, (iBu2N)-Ln-C4NH3-3-[(CEt2)2-NsBu]-, (sBu2N)-Ln-C4NH3-3-[(CEt2)2-NsBu]-, (tBu2N)-Ln-C4NH3-3-[(CEt2)2-NsBu]-, (Cp)-Ln-C4NH3-3-[(CEt2)2-NsBu]-, (HO)-Ln-C4NH3-3-[(CEt2)2-NsBu]-, (MeO)-Ln-C4NH3-3-[(CEt2)2-NsBu]-, (EtO)-Ln-C4NH3-3-[(CEt2)2-NsBu]-, (nPrO)-Ln-C4NH3-3-[(CEt2)2-NsBu]-, (iPrO)-Ln-C4NH3-3-[(CEt2)2-NsBu]-, (nBuO)-Ln-C4NH3-3-[(CEt2)2-NsBu]-, (iBuO)-Ln-C4NH3-3-[(CEt2)2-NsBu]-, (sBuO)-Ln-C4NH3-3-[(CEt2)2-NsBu]-, (tBuO)-Ln-C4NH3-3-[(CEt2)2-NsBu]-, (H2N)-Ln-C4NH3-3-[(CEt2)2-NtBu]-, (Me2N)-Ln-C4NH3-3-[(CEt2)2-NtBu]-, (Et2N)-Ln-C4NH3-3-[(CEt2)2-NtBu]-, (nPr2N)-Ln-C4NH3-3-[(CEt2)2-NtBu]-, (iPr2N)-Ln-C4NH3-3-[(CEt2)2-NtBu]-, (nBu2N)-Ln-C4NH3-3-[(CEt2)2-NtBu]-, (iBu2N-Ln-C4NH3-3-[(CEt2)2-NtBu]-, (sBu2N)-Ln-C4NH3-3-[(CEt2)2-NtBu]-, (tBu2N)-Ln-C4NH3-3-[(CEt2)2-NtBu]-, (Cp)-Ln-C4NH3-3-[(CEt2)2-NtBu]-, (HO)-Ln-C4NH3-3-[(CEt2)2-NtBu]-, (MeO)-Ln-C4NH3-3-[(CEt2)2-NtBu]-, (EtO)-Ln-C4NH3-3-[(CEt2)2-NtBu]-, (nPrO)-Ln-C4NH3-3-[(CEt2)2-NtBu]-, (iPrO)-Ln-C4NH3-3-[(CEt2)2-NtBu]-, (nBuO)-Ln-C4NH3-3-[(CEt2)2-NtBu]-, (iBuO)-Ln-C4NH3-3-[(CEt2)2-NtBu]-, (sBuO)-Ln-C4NH3-3-[(CEt2)2-NtBu]-, (tBuO)-Ln-C4NH3-3-[(CEt2)2-NtBu]-, (H2N)-Ln-C4NH3-3-[(CEt2)2-O]—, (Me2N)-Ln-C4NH3-3-[(CEt2)2-O]—, (Et2N)-Ln-C4NH3-3-[(CEt2)2-O]—, (nPr2N)-Ln-C4NH3-3-[(CEt2)2-O]—, (iPr2N)-Ln-C4NH3-3-[(CEt2)2-O]—, (nBu2N)-Ln-C4NH3-3-[(CEt2)2-O]—, (iBu2N)-Ln-C4NH3-3-[(CEt2)2-O]—, (sBu2N)-Ln-C4NH3-3-[(CEt2)2-O]—, (tBu2N)-Ln-C4NH3-3-[(CEt2)2-O]—, (Cp)-Ln-C4NH3-3-[(CEt2)2-O]—, (HO)-Ln-C4NH3-3-[(CEt2)2-O]—, (MeO)-Ln-C4NH3-3-[(CEt2)2-O]—, (EtO)-Ln-C4NH3-3-[(CEt2)2-O]—, (nPrO)-Ln-C4NH3-3-[(CEt2)2-O]—, (iPrO)-Ln-C4NH3-3-[(CEt2)2-O]—, (nBuO)-Ln-C4NH3-3-[(CEt2)2-O]—, (iBuO)-Ln-C4NH3-3-[(CEt2)2-O]—, (sBuO)-Ln-C4NH3-3-[(CEt2)2-O]—, (tBuO)-Ln-C4NH3-3-[(CEt2)2-O]—, (H2N)-Ln-C4NH3-3-[(CiPr2)2—NH]—, (Me2N)-Ln-C4NH3-3-[(CiPr2)2—NH]—, (Et2N)-Ln-C4NH3-3-[(CiPr2)2—NH]—, (nPr2N)-Ln-C4NH3-3-[(CiPr2)2—NH]—, (iPr2N)-Ln-C4NH3-3-[(CiPr2)2—NH]—, (nBu2N)-Ln-C4NH3-3-[(CiPr2)2—NH]—, (iBu2N)-Ln-C4NH3-3-[(CiPr2)2—NH]—, (sBu2N)-Ln-C4NH3-3-[(CiPr2)2—NH]—, (tBu2N)-Ln-C4NH3-3-[(CiPr2)2—NH]—, (Cp)-Ln-C4NH3-3-[(CiPr2)2—NH]—, (HO)-Ln-C4NH3-3-[(CiPr2)2—NH]—, (MeO)-Ln-C4NH3-3-[(CiPr2)2—NH]—, (EtO)-Ln-C4NH3-3-[(CiPr2)2—NH]—, (nPrO)-Ln-C4NH3-3-[(CiPr2)2—NH]—, (iPrO)-Ln-C4NH3-3-[(CiPr2)2—NH]—, (nBuO)-Ln-C4NH3-3-[(CiPr2)2—NH]—, (iBuO)-Ln-C4NH3-3-[(CiPr2)2—NH]—, (sBuO)-Ln-C4NH3-3-[(CiPr2)2—NH]—, (tBuO)-Ln-C4NH3-3-[(CiPr2)2—NH]—, (H2N)-Ln-C4NH3-3-[(CiPr2)2—NMe]-, (Me2N)-Ln-C4NH3-3-[(CiPr2)2—NMe]-, (Et2N)-Ln-C4NH3-3-[(CiPr2)2—NMe]-, (nPr2N)-Ln-C4NH3-3-[(CiPr2)2—NMe]-, (iPr2N)-Ln-C4NH3-3-[(CiPr2)2—NMe]-, (nBu2N)-Ln-C4NH3-3-[(CiPr2)2—NMe]-, (iBu2N)-Ln-C4NH3-3-[(CiPr2)2—NMe]-, (sBu2N)-Ln-C4NH3-3-[(CiPr2)2—NMe]-, (tBu2N)-Ln-C4NH3-3-[(CiPr2)2—NMe]-, (Cp)-Ln-C4NH3-3-[(CiPr2)2—NMe]-, (HO)-Ln-C4NH3-3-[(CiPr2)2—NMe]-, (MeO)-Ln-C4NH3-3-[(CiPr2)2—NMe]-, (EtO)-Ln-C4NH3-3-[(CiPr2)2—NMe]-, (nPrO)-Ln-C4NH3-3-[(CiPr2)2—NMe]-, (iPrO)-Ln-C4NH3-3-[(CiPr2)2—NMe]-, (nBuO)-Ln-C4NH3-3-[(CiPr2)2—NMe]-, (iBuO)-Ln-C4NH3-3-[(CiPr2)2—NMe]-, (sBuO)-Ln-C4NH3-3-[(CiPr2)2—NMe]-, (tBuO)-Ln-C4NH3-3-[(CiPr2)2—NMe]-, (H2N)-Ln-C4NH3-3-[(CiPr2)2—NEt]-, (Me2N)-Ln-C4NH3-3-[(CiPr2)2—NEt]-, (Et2N)-Ln-C4NH3-3-[(CiPr2)2—NEt]-, (nPr2N)-Ln-C4NH3-3-[(CiPr2)2—NEt]-, (iPr2N)-Ln-C4NH3-3-[(CiPr2)2—NEt]-, (nBu2N)-Ln-C4NH3-3-[(CiPr2)2—NEt]-, (iBu2N)-Ln-C4NH3-3-[(CiPr2)2—NEt]-, (sBu2N)-Ln-C4NH3-3-[(CiPr2)2—NEt]-, (tBu2N)-Ln-C4NH3-3-[(CiPr2)2—NEt]-, (Cp)-Ln-C4NH3-3-[(CiPr2)2—NEt]-, (HO)-Ln-C4NH3-3-[(CiPr2)2—NEt]-, (MeO)-Ln-C4NH3-3-[(CiPr2)2—NEt]-, (EtO)-Ln-C4NH3-3-[(CiPr2)2—NEt]-, (nPrO)-Ln-C4NH3-3-[(CiPr2)2—NEt]-, (iPrO)-Ln-C4NH3-3-[(CiPr2)2—NEt]-, (nBuO)-Ln-C4NH3-3-[(CiPr2)2—NEt]-, (iBuO)-Ln-C4NH3-3-[(CiPr2)2—NEt]-, (sBuO)-Ln-C4NH3-3-[(CiPr2)2—NEt]-, (tBuO)-Ln-C4NH3-3-[(CiPr2)2—NEt]-, (H2N)-Ln-C4NH3-3-[(CiPr2)2—NnPr]—, (Me2N)-Ln-C4NH3-3-[(CiPr2)2—NnPr]—, (Et2N)-Ln-C4NH3-3-[(CiPr2)2—NnPr]—, (nPr2N)-Ln-C4NH3-3-[(CiPr2)2—NnPr]—, (iPr2N)-Ln-C4NH3-3-[(CiPr2)2—NnPr]—, (nBu2N)-Ln-C4NH3-3-[(CiPr2)2—NnPr]—, (iBu2N)-Ln-C4NH3-3-[(CiPr2)2—NnPr]—, (sBu2N)-Ln-C4NH3-3-[(CiPr2)2—NnPr]—, (tBu2N)-Ln-C4NH3-3-[(CiPr2)2—NnPr]—, (Cp)-Ln-C4NH3-3-[(CiPr2)2—NnPr]—, (HO)-Ln-C4NH3-3-[(CiPr2)2—NnPr]—, (MeO)-Ln-C4NH3-3-[(CiPr2)2—NnPr]—, (EtO)-Ln-C4NH3-3-[(CiPr2)2—NnPr]—, (nPrO)-Ln-C4NH3-3-[(CiPr2)2—NnPr]—, (iPrO)-Ln-C4NH3-3-[(CiPr2)2—NnPr]—, (nBuO)-Ln-C4NH3-3-[(CiPr2)2—NnPr]—, (iBuO)-Ln-C4NH3-3-[(CiPr2)2—NnPr]—, (sBuO)-Ln-C4NH3-3-[(CiPr2)2—NnPr]—, (tBuO)-Ln-C4NH3-3-[(CiPr2)2—NnPr]—, (H2N)-Ln-C4NH3-3-[(CiPr2)2—NiPr]—, (Me2N)-Ln-C4NH3-3-[(CiPr2)2—NiPr]—, (Et2N)-Ln-C4NH3-3-[(CiPr2)2—NiPr]—, (nPr2N)-Ln-C4NH3-3-[(CiPr2)2—NiPr]—, (iPr2N)-Ln-C4NH3-3-[(CiPr2)2—NiPr]—, (nBu2N)-Ln-C4NH3-3-[(CiPr2)2—NiPr]—, (iBu2N)-Ln-C4NH3-3-[(CiPr2)2—NiPr]—, (sBu2N)-Ln-C4NH3-3-[(CiPr2)2—NiPr]—, (tBu2N)-Ln-C4NH3-3-[(CiPr2)2—NiPr]—, (Cp)-Ln-C4NH3-3-[(CiPr2)2—NiPr]—, (HO)-Ln-C4NH3-3-[(CiPr2)2—NiPr]—, (MeO)-Ln-C4NH3-3-[(CiPr2)2—NiPr]—, (EtO)-Ln-C4NH3-3-[(CiPr2)2—NiPr]—, (nPrO)-Ln-C4NH3-3-[(CiPr2)2—NiPr]—, (iPrO)-Ln-C4NH3-3-[(CiPr2)2—NiPr]—, (nBuO)-Ln-C4NH3-3-[(CiPr2)2—NiPr]—, (iBuO)-Ln-C4NH3-3-[(CiPr2)2—NiPr]—, (sBuO)-Ln-C4NH3-3-[(CiPr2)2—NiPr]—, (tBuO)-Ln-C4NH3-3-[(CiPr2)2—NiPr]—, (H2N)-Ln-C4NH3-3-[(CiPr2)2—NnBu]-, (Me2N)-Ln-C4NH3-3-[(CiPr2)2—NnBu]-, (Et2N)-Ln-C4NH3-3-[(CiPr2)2—NnBu]-, (nPr2N)-Ln-C4NH3-3-[(CiPr2)2—NnBu]-, (iPr2N)-Ln-C4NH3-3-[(CiPr2)2—NnBu]-, (nBu2N)-Ln-C4NH3-3-[(CiPr2)2—NnBu]-, (iBu2N)-Ln-C4NH3-3-[(CiPr2)2—NnBu]-, (sBu2N)-Ln-C4NH3-3-[(CiPr2)2—NnBu]-, (tBu2N)-Ln-C4NH3-3-[(CiPr2)2—NnBu]-, (Cp)-Ln-C4NH3-3-[(CiPr2)2—NnBu]-, (HO)-Ln-C4NH3-3-[(CiPr2)2—NnBu]-, (MeO)-Ln-C4NH3-3-[(CiPr2)2—NnBu]-, (EtO)-Ln-C4NH3-3-[(CiPr2)2—NnBu]-, (nPrO)-Ln-C4NH3-3-[(CiPr2)2—NnBu]-, (iPrO)-Ln-C4NH3-3-[(CiPr2)2—NnBu]-, (nBuO)-Ln-C4NH3-3-[(CiPr2)2—NnBu]-, (iBuO)-Ln-C4NH3-3-[(CiPr2)2—NnBu]-, (sBuO)-Ln-C4NH3-3-[(CiPr2)2—NnBu]-, (tBuO)-Ln-C4NH3-3-[(CiPr2)2—NnBu]-, (H2N)-Ln-C4NH3-3-[(CiPr2)2—NiBu]-, (Me2N)-Ln-C4NH3-3-[(CiPr2)2—NiBu]-, (Et2N)-Ln-C4NH3-3-[(CiPr2)2—NiBu]-, (nPr2N)-Ln-C4NH3-3-[(CiPr2)2—NiBu]-, (iPr2N)-Ln-C4NH3-3-[(CiPr2)2—NiBu]-, (nBu2N)-Ln-C4NH3-3-[(CiPr2)2—NiBu]-, (iBu2N)-Ln-C4NH3-3-[(CiPr2)2—NiBu]-, (sBu2N)-Ln-C4NH3-3-[(CiPr2)2—NiBu]-, (tBu2N)-Ln-C4NH3-3-[(CiPr2)2—NiBu]-, (Cp)-Ln-C4NH3-3-[(CiPr2)2—NiBu]-, (HO)-Ln-C4NH3-3-[(CiPr2)2—NiBu]-, (MeO)-Ln-C4NH3-3-[(CiPr2)2—NiBu]-, (EtO)-Ln-C4NH3-3-[(CiPr2)2—NiBu]-, (nPrO)-Ln-C4NH3-3-[(CiPr2)2—NiBu]-, (iPrO)-Ln-C4NH3-3-[(CiPr2)2—NiBu]-, (nBuO)-Ln-C4NH3-3-[(CiPr2)2—NiBu]-, (iBuO)-Ln-C4NH3-3-[(CiPr2)2—NiBu]-, (sBuO)-Ln-C4NH3-3-[(CiPr2)2—NiBu]-, (tBuO)-Ln-C4NH3-3-[(CiPr2)2—NiBu]-, (H2N)-Ln-C4NH3-3-[(CiPr2)2—NsBu]-, (Me2N)-Ln-C4NH3-3-[(CiPr2)2—NsBu]-, (Et2N)-Ln-C4NH3-3-[(CiPr2)2—NsBu]-, (nPr2N)-Ln-C4NH3-3-[(CiPr2)2—NsBu]-, (iPr2N)-Ln-C4NH3-3-[(CiPr2)2—NsBu]-, (nBu2N)-Ln-C4NH3-3-[(CiPr2)2—NsBu]-, (iBu2N)-Ln-C4NH3-3-[(CiPr2)2—NsBu]-, (sBu2N)-Ln-C4NH3-3-[(CiPr2)2—NsBu]-, (tBu2N)-Ln-C4NH3-3-[(CiPr2)2—NsBu]-, (Cp)-Ln-C4NH3-3-[(CiPr2)2—NsBu]-, (HO)-Ln-C4NH3-3-[(CiPr2)2—NsBu]-, (MeO)-Ln-C4NH3-3-[(CiPr2)2—NsBu]-, (EtO)-Ln-C4NH3-3-[(CiPr2)2—NsBu]-, (nPrO)-Ln-C4NH3-3-[(CiPr2)2—NsBu]-, (iPrO)-Ln-C4NH3-3-[(CiPr2)2—NsBu]-, (nBuO)-Ln-C4NH3-3-[(CiPr2)2—NsBu]-, (iBuO)-Ln-C4NH3-3-[(CiPr2)2—NsBu]-, (sBuO)-Ln-C4NH3-3-[(CiPr2)2—NsBu]-, (tBuO)-Ln-C4NH3-3-[(CiPr2)2—NsBu]-, (H2N)-Ln-C4NH3-3-[(CiPr2)2—NtBu]-, (Me2N)-Ln-C4NH3-3-[(CiPr2)2—NtBu]-, (Et2N)-Ln-C4NH3-3-[(CiPr2)2—NtBu]-, (nPR2N)-Ln-C4NH3-3-[(CiPr2)2—NtBu]-, (iPr2N)-Ln-C4NH3-3-[(CiPr2)2—NtBu]-, (nBu2N)-Ln-C4NH3-3-[(CiPr2)2—NtBu]-, (iBu2N)-Ln-C4NH3-3-[(CiPr2)2—NtBu]-, (sBu2N)-Ln-C4NH3-3-[(CiPr2)2—NtBu]-, (tBu2N)-Ln-C4NH3-3-[(CiPr2)2—NtBu]-, (Cp)-Ln-C4NH3-3-[(CiPr2)2—NtBu]-, (HO)-Ln-C4NH3-3-[(CiPr2)2—NtBu]-, (MeO)-Ln-C4NH3-3-[(CiPr2)2—NtBu]-, (EtO)-Ln-C4NH3-3-[(CiPr2)2—NtBu]-, (nPrO)-Ln-C4NH3-3-[(CiPr2)2—NtBu]-, (iPrO)-Ln-C4NH3-3-[(CiPr2)2—NtBu]-, (nBuO)-Ln-C4NH3-3-[(CiPr2)2—NtBu]-, (iBuO)-Ln-C4NH3-3-[(CiPr2)2—NtBu]-, (sBuO)-Ln-C4NH3-3-[(CiPr2)2—NtBu]-, (tBuO)-Ln-C4NH3-3-[(CiPr2)2—NtBu]-, (H2N)-Ln-C4NH3-3-[(CiPr2)2—O]—, (Me2N)-Ln-C4NH3-3-[(CiPr2)2—O]—, (Et2N)-Ln-C4NH3-3-[(CiPr2)2—O]—, (nPr2N)-Ln-C4NH3-3-[(CiPr2)2—O]—, (iPr2N)-Ln-C4NH3-3-[(CiPr2)2—O]—, (nBu2N)-Ln-C4NH3-3-[(CiPr2)2—O]—, (iBu2N)-Ln-C4NH3-3-[(CiPr2)2—O]—, (sBu2N)-Ln-C4NH3-3-[(CiPr2)2—O]—, (tBu2N)-Ln-C4NH3-3-[(CiPr2)2—O]—, (Cp)-Ln-C4NH3-3-[(CiPr2)2—O]—, (HO)-Ln-C4NH3-3-[(CiPr2)2—O]—, (MeO)-Ln-C4NH3-3-[(CiPr2)2—O]—, (EtO)-Ln-C4NH3-3-[(CiPr2)2—O]—, (nPrO)-Ln-C4NH3-3-[(CiPr2)2—O]—, (iPrO)-Ln-C4NH3-3-[(CiPr2)2—O]—, (nBuO)-Ln-C4NH3-3-[(CiPr2)2—O]—, (iBuO)-Ln-C4NH3-3-[(CiPr2)2—O]—, (sBuO)-Ln-C4NH3-3-[(CiPr2)2—O]—, (tBuO)-Ln-C4NH3-3-[(CiPr2)2—O]—, (H2N)-Ln-C4NH3-3-[(CtBu2)2-NH]—, (Me2N)-Ln-C4NH3-3-[(CtBu2)2-NH]—, (Et2N)-Ln-C4NH3-3-[(CtBu2)2-NH]—, (nPr2N)-Ln-C4NH3-3-[(CtBu2)2-NH]—, (iPr2N)-Ln-C4NH3-3-[(CtBu2)2-NH]—, (nBu2N)-Ln-C4NH3-3-[(CtBu2)2-NH]—, (iBu2N)-Ln-C4NH3-3-[(CtBu2)2-NH]—, (iBu2N)-Ln-C4NH3-3-[(CtBu2)2-NH]—, (sBu2N)-Ln-C4NH3-3-[(CtBu2)2-NH]—, (tBu2N)-Ln-C4NH3-3-[(CtBu2)2-NH]—, (Cp)-Ln-C4NH3-3-[(CtBu2)2-NH]—, (HO)-Ln-C4NH3-3-[(CtBu2)2-NH]—, (MeO)-Ln-C4NH3-3-[(CtBu2)2-NH]—, (EtO)-Ln-C4NH3-3-[(CtBu2)2-NH]—, (nPrO)-Ln-C4NH3-3-[(CtBu2)2-NH]—, (iPrO)-Ln-C4NH3-3-[(CtBu2)2-NH]—, (nBuO)-Ln-C4NH3-3-[(CtBu2)2-NH]—, (iBuO)-Ln-C4NH3-3-[(CtBu2)2-NH]—, (sBuO)-Ln-C4NH3-3-[(CtBu2)2-NH]—, (tBuO)-Ln-C4NH3-3-[(CtBu2)2-NH]—, (H2N)-Ln-C4NH3-3-[(CtBu2)2-NMe]-, (Me2N)-Ln-C4NH3-3-[(CtBu2)2-NMe]-, (Et2N)-Ln-C4NH3-3-[(CtBu2)2-NMe]-, (nPr2N)-Ln-C4NH3-3-[(CtBu2)2-NMe]-, (iPr2N)-Ln-C4NH3-3-[(CtBu2)2-NMe]-, (nBu2N)-Ln-C4NH3-3-[(CtBu2)2-NMe]-, (iBu2N)-Ln-C4NH3-3-[(CtBu2)2-NMe]-, (sBu2N)-Ln-C4NH3-3-[(CtBu2)2-NMe]-, (tBu2N)-Ln-C4NH3-3-[(CtBu2)2-NMe]-, (Cp)-Ln-C4NH3-3-[(CtBu2)2-NMe]-, (HO)-Ln-C4NH3-3-[(CtBu2)2-NMe]-, (MeO)-Ln-C4NH3-3-[(CtBu2)2-NMe]-, (EtO)-Ln-C4NH3-3-[(CtBu2)2-NMe]-, (nPrO)-Ln-C4NH3-3-[(CtBu2)2-NMe]-, (iPrO)-Ln-C4NH3-3-[(CtBu2)2-NMe]-, (nBuO)-Ln-C4NH3-3-[(CtBu2)2-NMe]-, (iBuO)-Ln-C4NH3-3-[(CtBu2)2-NMe]-, (sBuO)-Ln-C4NH3-3-[(CtBu2)2-NMe]-, (tBuO)-Ln-C4NH3-3-[(CtBu2)2-NMe]-, (H2N)-Ln-C4NH3-3-[(CtBu2)2-NEt]-, (Me2N)-Ln-C4NH3-3-[(CtBu2)2-NEt]-, (Et2N)-Ln-C4NH3-3-[(CtBu2)2-NEt]-, (nPr2N)-Ln-C4NH3-3-[(CtBu2)2-NEt]-, (iPr2N)-Ln-C4NH3-3-[(CtBu2)2-NEt]-, (nBu2N)-Ln-C4NH3-3-[(CtBu2)2-NEt]-, (iBu2N)-Ln-C4NH3-3-[(CtBu2)2-NEt]-, (sBu2N)-Ln-C4NH3-3-[(CtBu2)2-NEt]-, (tBu2N)-Ln-C4NH3-3-[(CtBu2)2-NEt]-, (Cp)-Ln-C4NH3-3-[(CtBu2)2-NEt]-, (HO)-Ln-C4NH3-3-[(CtBu2)2-NEt]-, (MeO)-Ln-C4NH3-3-[(CtBu2)2-NEt]-, (EtO)-Ln-C4NH3-3-[(CtBu2)2-NEt]-, (nPrO)-Ln-C4NH3-3-[(CtBu2)2-NEt]-, (iPrO)-Ln-C4NH3-3-[(CtBu2)2-NEt]-, (nBuO)-Ln-C4NH3-3-[(CtBu2)2-NEt]-, (iBuO)-Ln-C4NH3-3-[(CtBu2)2-NEt]-, (sBuO)-Ln-C4NH3-3-[(CtBu2)2-NEt]-, (tBuO)-Ln-C4NH3-3-[(CtBu2)2-NEt]-, (H2N)-Ln-C4NH3-3-[(CtBu2)2-NnPr]—, (Me2N)-Ln-C4NH3-3-[(CtBu2)2-NnPr]—, (Et2N)-Ln-C4NH3-3-[(CtBu2)2-NnPr]—, (nPr2N)-Ln-C4NH3-3-[(CtBu2)2-NnPr]—, (iPr2N)-Ln-C4NH3-3-[(CtBu2)2-NnPr]—, (nBu2N)-Ln-C4NH3-3-[(CtBu2)2-NnPr]—, (iBu2N)-Ln-C4NH3-3-[(CtBu2)2-NnPr]—, (sBu2N)-Ln-C4NH3-3-[(CtBu2)2-NnPr]—, (tBu2N)-Ln-C4NH3-3-[(CtBu2)2-NnPr]—, (Cp)-Ln-C4NH3-3-[(CtBu2)2-NnPr]—, (HO)-Ln-C4NH3-3-[(CtBu2)2-NnPr]—, (MeO)-Ln-C4NH3-3-[(CtBu2)2-NnPr]—, (EtO)-Ln-C4NH3-3-[(CtBu2)2-NnPr]—, (nPrO)-Ln-C4NH3-3-[(CtBu2)2-NnPr]—, (iPrO)-Ln-C4NH3-3-[(CtBu2)2-NnPr]—, (nBuO)-Ln-C4NH3-3-[(CtBu2)2-NnPr]—, (iBuO)-Ln-C4NH3-3-[(CtBu2)2-NnPr]—, (sBuO)-Ln-C4NH3-3-[(CtBu2)2-NnPr]—, (tBuO)-Ln-C4NH3-3-[(CtBu2)2-NnPr]—, (H2N)-Ln-C4NH3-3-[(CtBu2)2-NiPr]—, (Me2N)-Ln-C4NH3-3-[(CtBu2)2-NiPr]—, (Et2N)-Ln-C4NH3-3-[(CtBu2)2-NiPr]—, (nPr2N)-Ln-C4NH3-3-[(CtBu2)2-NiPr]—, (iPr2N)-Ln-C4NH3-3-[(CtBu2)2-NiPr]—, (nBu2N)-Ln-C4NH3-3-[(CtBu2)2-NiPr]—, (iBu2N)-Ln-C4NH3-3-[(CtBu2)2-NiPr]—, (sBu2N)-Ln-C4NH3-3-[(CtBu2)2-NiPr]—, (tBu2N)-Ln-C4NH3-3-[(CtBu2)2-NiPr]—, (Cp)-Ln-C4NH3-3-[(CtBu2)2-NiPr]—, (HO)-Ln-C4NH3-3-[(CtBu2)2-NiPr]—, (MeO)-Ln-C4NH3-3-[(CtBu2)2-NiPr]—, (EtO)-Ln-C4NH3-3-[(CtBu2)2-NiPr]—, (nPrO)-Ln-C4NH3-3-[(CtBu2)2-NiPr]—, (iPrO)-Ln-C4NH3-3-[(CtBu2)2-NiPr]—, (nBuO)-Ln-C4NH3-3-[(CtBu2)2-NiPr]—, (iBuO)-Ln-C4NH3-3-[(CtBu2)2-NiPr]—, (sBuO)-Ln-C4NH3-3-[(CtBu2)2-NiPr]—, (tBuO)-Ln-C4NH3-3-[(CtBu2)2-NiPr]—, (H2N)-Ln-C4NH3-3-[(CtBu2)2-NnBu]-, (Me2N)-Ln-C4NH3-3-[(CtBu2)2-NnBu]-, (Et2N)-Ln-C4NH3-3-[(CtBu2)2-NnBu]-, (nPr2N)-Ln-C4NH3-3-[(CtBu2)2-NnBu]-, (iPr2N)-Ln-C4NH3-3-[(CtBu2)2-NnBu]-, (nBu2N)-Ln-C4NH3-3-[(CtBu2)2-NnBu]-, (iBu2N)-Ln-C4NH3-3-[(CtBu2)2-NnBu]-, (sBu2N)-Ln-C4NH3-3-[(CtBu2)2-NnBu]-, (tBu2N)-Ln-C4NH3-3-[(CtBu2)2-NnBu]-, (Cp)-Ln-C4NH3-3-[(CtBu2)2-NnBu]-, (HO)-Ln-C4NH3-3-[(CtBu2)2-NnBu]-, (MeO)-Ln-C4NH3-3-[(CtBu2)2-NnBu]-, (EtO)-Ln-C4NH3-3-[(CtBu2)2-NnBu]-, (nPrO)-Ln-C4NH3-3-[(CtBu2)2-NnBu]-, (iPrO)-Ln-C4NH3-3-[(CtBu2)2-NnBu]-, (nBuO)-Ln-C4NH3-3-[(CtBu2)2-NnBu]-, (iBuO)-Ln-C4NH3-3-[(CtBu2)2-NnBu]-, (sBuO)-Ln-C4NH3-3-[(CtBu2)2-NnBu]-, (tBuO)-Ln-C4NH3-3-[(CtBu2)2-NnBu]-, (H2N)-Ln-C4NH3-3-[(CtBu2)2-NiBu]-, (Me2N)-Ln-C4NH3-3-[(CtBu2)2-NiBu]-, (Et2N)-Ln-C4NH3-3-[(CtBu2)2-NiBu]-, (nPr2N)-Ln-C4NH3-3-[(CtBu2)2-NiBu]-, (iPr2N)-Ln-C4NH3-3-[(CtBu2)2-NiBu]-, (nBu2N)-Ln-C4NH3-3-[(CtBu2)2-NiBu]-, (iBu2N)-Ln-C4NH3-3-[(CtBu2)2-NiBu]-, (sBu2N)-Ln-C4NH3-3-[(CtBu2)2-NiBu]-, (tBu2N)-Ln-C4NH3-3-[(CtBu2)2-NiBu]-, (Cp)-Ln-C4NH3-3-[(CtBu2)2-NiBu]-, (HO)-Ln-C4NH3-3-[(CtBu2)2-NiBu]-, (MeO)-Ln-C4NH3-3-[(CtBu2)2-NiBu]-, (EtO)-Ln-C4NH3-3-[(CtBu2)2-NiBu]-, (nPrO)-Ln-C4NH3-3-[(CtBu2)2-NiBu]-, (iPrO)-Ln-C4NH3-3-[(CtBu2)2-NiBu]-, (nBuO)-Ln-C4NH3-3-[(CtBu2)2-NiBu]-, (iBuO)-Ln-C4NH3-3-[(CtBu2)2-NiBu]-, (sBuO)-Ln-C4NH3-3-[(CtBu2)2-NiBu]-, (tBuO)-Ln-C4NH3-3-[(CtBu2)2-NiBu]-, (H2N)-Ln-C4NH3-3-[(CtBu2)2-NsBu]-, (Me2N)-Ln-C4NH3-3-[(CtBu2)2-NsBu]-, (Et2N)-Ln-C4NH3-3-[(CtBu2)2-NsBu]-, (nPr2N)-Ln-C4NH3-3-[(CtBu2)2-NsBu]-, (iPr2N)-Ln-C4NH3-3-[(CtBu2)2-NsBu]-, (nBu2N)-Ln-C4NH3-3-[(CtBu2)2-NsBu]-, (iBu 2N)-Ln-C4NH3-3-[(CtBu2)2-NsBu]-, (sBu2N)-Ln-C4NH3-3-[(CtBu2)2-NsBu]-, (tBu2N)-Ln-C4NH3-3-[(CtBu2)2-NsBu]-, (Cp)-Ln-C4NH3-3-[(CtBu2)2-NsBu]-, (HO)-Ln-C4NH3-3-[(CtBu2)2-NsBu]-, (MeO)-Ln-C4NH3-3-[(CtBu2)2-NsBu]-, (EtO)-Ln-C4NH3-3-[(CtBu2)2-NsBu]-, (nPrO)-Ln-C4NH3-3-[(CtBu2)2-NsBu]-, (iPrO)-Ln-C4NH3-3-[(CtBu2)2-NsBu]-, (nBuO)-Ln-C4NH3-3-[(CtBu2)2-NsBu]-, (iBuO)-Ln-C4NH3-3-[(CtBu2)2-NsBu]-, (sBuO)-Ln-C4NH3-3-[(CtBu2)2-NsBu]-, (tBuO)-Ln-C4NH3-3-[(CtBu2)2-NsBu]-, (H2N)-Ln-C4NH3-3-[(CtBu2)2-NtBu]-, (Me2N)-Ln-C4NH3-3-[(CtBu2)2-NtBu]-, (Et2N)-Ln-C4NH3-3-[(CtBu2)2-NtBu]-, (nPr2N)-Ln-C4NH3-3-[(CtBu2)2-NtBu]-, (iPr2N)-Ln-C4NH3-3-[(CtBu2)2-NtBu]-, (nBu2N)-Ln-C4NH3-3-[(CtBu2)2-NtBu]-, (iBu2N)-Ln-C4NH3-3-[(CtBu2)2-NtBu]-, (sBu2N)-Ln-C4NH3-3-[(CtBu2)2-NtBu]-, (tBu2N)-Ln-C4NH3-3-[(CtBu2)2-NtBu]-, (Cp)-Ln-C4NH3-3-[(CtBu2)2-NtBu]-, (HO)-Ln-C4NH3-3-[(CtBu2)2-NtBu]-, (MeO)-Ln-C4NH3-3-[(CtBu2)2-NtBu]-, (EtO)-Ln-C4NH3-3-[(CtBu2)2-NtBu]-, (nPrO)-Ln-C4NH3-3-[(CtBu2)2-NtBu]-, (iPrO)-Ln-C4NH3-3-[(CtBu2)2-NtBu]-, (nBuO)-Ln-C4NH3-3-[(CtBu2)2-NtBu]-, (iBuO)-Ln-C4NH3-3-[(CtBu2)2-NtBu]-, (sBuO)-Ln-C4NH3-3-[(CtBu2)2-NtBu]-, (tBuO)-Ln-C4NH3-3-[(CtBu2)2-NtBu]-, (H2N)-Ln-C4NH3-3-[(CtBu2)2-O]—, (Me2N)-Ln-C4NH3-3-[(CtBu2)2-O]—, (Et2N)-Ln-C4NH3-3-[(CtBu2)2-O]—, (nPr2N)-Ln-C4NH3-3-[(CtBu2)2-O]—, (iPr2N)-Ln-C4NH3-3-[(CtBu2)2-O]—, (nBu2N)-Ln-C4NH3-3-[(CtBu2)2-O]—, (iBu2N)-Ln-C4NH3-3-[(CtBu2)2-O]—, (sBu2N)-Ln-C4NH3-3-[(CtBu2)2-O]—, (tBu2N)-Ln-C4NH3-3-[(CtBu2)2-O]—, (Cp)-Ln-C4NH3-3-[(CtBu2)2-O]—, (HO)-Ln-C4NH3-3-[(CtBu2)2-O]—, (MeO)-Ln-C4NH3-3-[(CtBu2)2-O]—, (EtO)-Ln-C4NH3-3-[(CtBu2)2-O]—, (nPrO)-Ln-C4NH3-3-[(CtBu2)2-O]—, (iPrO)-Ln-C4NH3-3-[(CtBu2)2-O]—, (nBuO)-Ln-C4NH3-3-[(CtBu2)2-O]—, (iBuO)-Ln-C4NH3-3-[(CtBu2)2-O]—, (sBuO)-Ln-C4NH3-3-[(CtBu2)2-O]—, (tBuO)-Ln-C4NH3-3-[(CtBu2)2-O]—, (H2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NH]—, (Me2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NH]—, (Et2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NH]—, (nPr2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NH]—, (iPr2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NH]—, (nBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NH]—, (iBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NH]—, (sBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NH]—, (tBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NH]—, (Cp)-Ln-C3(m-N2)H2-4-[(CH2)2—NH]—, (HO)-Ln-C3(m-N2)H2-4-[(CH2)2—NH]—, (MeO)-Ln-C3(m-N2)H2-4-[(CH2)2—NH]—, (EtO)-Ln-C3(m-N2)H2-4-[(CH2)2—NH]—, (nPrO)-Ln-C3(m-N2)H2-4-[(CH2)2—NH]—, (iPrO)-Ln-C3(m-N2)H2-4-[(CH2)2—NH]—, (nBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—NH]—, (iBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—NH]—, (sBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—NH]—, (tBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—NH]—, (H2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NMe]-, (Me2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NMe]-, (Et2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NMe]-, (nPr2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NMe]-, (iPr2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NMe]-, (nBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NMe]-, (iBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NMe]-, (sBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NMe]-, (tBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NMe]-, (Cp)-Ln-C3(m-N2)H2-4-[(CH2)2—NMe]-, (HO)-Ln-C3(m-N2)H2-4-[(CH2)2—NMe]-, (MeO)-Ln-C3(m-N2)H2-4-[(CH2)2—NMe]-, (EtO)-Ln-C3(m-N2)H2-4-[(CH2)2—NMe]-, (nPrO)-Ln-C3(m-N2)H2-4-[(CH2)2—NMe]-, (iPrO)-Ln-C3(m-N2)H2-4-[(CH2)2—NMe]-, (nBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—NMe]-, (iBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—NMe]-, (sBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—NMe]-, (tBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—NMe]-, (H2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NEt]-, (Me2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NEt]-, (Et2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NEt]-, (nPr2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NEt]-, (iPr2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NEt]-, (nBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NEt]-, (iBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NEt]-, (sBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NEt]-, (tBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NEt]-, (Cp)-Ln-C3(m-N2)H2-4-[(CH2)2—NEt]-, (HO)-Ln-C3(m-N2)H2-4-[(CH2)2—NEt]-, (MeO)-Ln-C3(m-N2)H2-4-[(CH2)2—NEt]-, (EtO)-Ln-C3(m-N2)H2-4-[(CH2)2—NEt]-, (nPrO)-Ln-C3(m-N2)H2-4-[(CH2)2—NEt]-, (iPrO)-Ln-C3(m-N2)H2-4-[(CH2)2—NEt]-, (nBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—NEt]-, (iBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—NEt]-, (sBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—NEt]-, (tBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—NEt]-, (H2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NnPr]—, (Me2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NnPr]—, (Et2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NnPr]—, (nPr2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NnPr]—, (iPr2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NnPr]—, (nBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NnPr]—, (iBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NnPr]—, (sBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NnPr]—, (tBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NnPr]—, (Cp)-Ln-C3(m-N2)H2-4-[(CH2)2—NnPr]—, (HO)-Ln-C3(m-N2)H2-4-[(CH2)2—NnPr]—, (MeO)-Ln-C3(m-N2)H2-4-[(CH2)2—NnPr]—, (EtO)-Ln-C3(m-N2)H2-4-[(CH2)2—NnPr]—, (nPrO)-Ln-C3(m-N2)H2-4-[(CH2)2—NnPr]—, (iPrO)-Ln-C3(m-N2)H2-4-[(CH2)2—NnPr]—, (nBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—NnPr]—, (iBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—NnPr]—, (sBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—NnPr]—, (tBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—NnPr]—, (H2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NiPr]—, (Me2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NiPr]—, (Et2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NiPr]—, (nPr2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NiPr]—, (iPr2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NiPr]—, (nBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NiPr]—, (iBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NiPr]—, (sBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NiPr]—, (tBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NiPr]—, (Cp)-Ln-C3(m-N2)H2-4-[(CH2)2—NiPr]—, (HO)-Ln-C3(m-N2)H2-4-[(CH2)2—NiPr]—, (MeO)-Ln-C3(m-N2)H2-4-[(CH2)2—NiPr]—, (EtO)-Ln-C3(m-N2)H2-4-[(CH2)2—NiPr]—, (nPrO)-Ln-C3(m-N2)H2-4-[(CH2)2—NiPr]—, (iPrO)-Ln-C3(m-N2)H2-4-[(CH2)2—NiPr]—, (nBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—NiPr]—, (iBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—NiPr]—, (sBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—NiPr]—, (tBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—NiPr]—, (H2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NnBu]-, (Me2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NnBu]-, (Et2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NnBu]-, (nPr2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NnBu]-, (iPr2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NnBu]-, (nBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NnBu]-, (iBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NnBu]-, (sBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NnBu]-, (tBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NnBu]-, (Cp)-Ln-C3(m-N2)H2-4-[(CH2)2—NnBu]-, (HO)-Ln-C3(m-N2)H2-4-[(CH2)2—NnBu]-, (MeO)-Ln-C3(m-N2)H2-4-[(CH2)2—NnBu]-, (EtO)-Ln-C3(m-N2)H2-4-[(CH2)2—NnBu]-, (nPrO)-Ln-C3(m-N2)H2-4-[(CH2)2—NnBu]-, (iPrO)-Ln-C3(m-N2)H2-4-[(CH2)2—NnBu]-, (nBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—NnBu]-, (iBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—NnBu]-, (sBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—NnBu]-, (tBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—NnBu]-, (H2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NiBu]-, (Me2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NiBu]-, (Et2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NiBu]-, (nPr2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NiBu]-, (iPr2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NiBu]-, (nBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NiBu]-, (iBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NiBu]-, (sBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NiBu]-, (tBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NiBu]-, (Cp)-Ln-C3(m-N2)H2-4-[(CH2)2—NiBu]-, (HO)-Ln-C3(m-N2)H2-4-[(CH2)2—NiBu]-, (MeO)-Ln-C3(m-N2)H2-4-[(CH2)2—NiBu]-, (EtO)-Ln-C3(m-N2)H2-4-[(CH2)2—NiBu]-, (nPrO)-Ln-C3(m-N2)H2-4-[(CH2)2—NiBu]-, (iPrO)-Ln-C3(m-N2)H2-4-[(CH2)2—NiBu]-, (nBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—NiBu]-, (iBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—NiBu]-, (sBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—NiBu]-, (tBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—NiBu]-, (H2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NsBu]-, (Me2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NsBu]-, (Et2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NsBu]-, (nPr2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NsBu]-, (iPr2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NsBu]-, (nBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NsBu]-, (iBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NsBu]-, (sBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NsBu]-, (tBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NsBu]-, (Cp)-Ln-C3(m-N2)H2-4-[(CH2)2—NsBu]-, (HO)-Ln-C3(m-N2)H2-4-[(CH2)2—NsBu]-, (MeO)-Ln-C3(m-N2)H2-4-[(CH2)2—NsBu]-, (EtO)-Ln-C3(m-N2)H2-4-[(CH2)2—NsBu]-, (nPrO)-Ln-C3(m-N2)H2-4-[(CH2)2—NsBu]-, (iPrO)-Ln-C3(m-N2)H2-4-[(CH2)2—NsBu]-, (nBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—NsBu]-, (iBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—NsBu]-, (sBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—NsBu]-, (tBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—NsBu]-, (H2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NtBu]-, (Me2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NtBu]-, (Et2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NtBu]-, (nPr2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NtBu]-, (iPr2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NtBu]-, (nBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NtBu]-, (iBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NtBu]-, (sBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NtBu]-, (tBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—NtBu]-, (Cp)-Ln-C3(m-N2)H2-4-[(CH2)2—NtBu]-, (HO)-Ln-C3(m-N2)H2-4-[(CH2)2—NtBu]-, (MeO)-Ln-C3(m-N2)H2-4-[(CH2)2—NtBu]-, (EtO)-Ln-C3(m-N2)H2-4-[(CH2)2—NtBu]-, (nPrO)-Ln-C3(m-N2)H2-4-[(CH2)2—NtBu]-, (iPrO)-Ln-C3(m-N2)H2-4-[(CH2)2—NtBu]-, (nBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—NtBu]-, (iBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—NtBu]-, (sBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—NtBu]-, (tBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—NtBu]-, (H2N)-Ln-C3(m-N2)H2-4-[(CH2)2—O]—, (Me2N)-Ln-C3(m-N2)H2-4-[(CH2)2—O]—, (Et2N)-Ln-C3(m-N2)H2-4-[(CH2)2—O]—, (nPr2N)-Ln-C3(m-N2)H2-4-[(CH2)2—O]—, (iPr2N)-Ln-C3(m-N2)H2-4-[(CH2)2—O]—, (nBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—O]—, (iBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—O]—, (sBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—O]—, (tBu2N)-Ln-C3(m-N2)H2-4-[(CH2)2—O]—, (Cp)-Ln-C3(m-N2)H2-4-[(CH2)2—O]—, (HO)-Ln-C3(m-N2)H2-4-[(CH2)2—O]—, (MeO)-Ln-C3(m-N2)H2-4-[(CH2)2—O]—, (EtO)-Ln-C3(m-N2)H2-4-[(CH2)2—O]—, (nPrO)-Ln-C3(m-N2)H2-4-[(CH2)2—O]—, (iPrO)-Ln-C3(m-N2)H2-4-[(CH2)2—O]—, (nBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—O]—, (iBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—O]—, (sBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—O]—, (tBuO)-Ln-C3(m-N2)H2-4-[(CH2)2—O]—, (H2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NH]—, (Me2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NH]—, (Et2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NH]—, (nPr2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NH]—, (iPr2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NH]—, (nBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NH]—, (iBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NH]—, (sBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NH]—, (tBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NH]—, (Cp)-Ln-C3(m-N2)H2-4-[(CMe2)2-NH]—, (HO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NH]—, (MeO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NH]—, (EtO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NH]—, (nPrO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NH]—, (iPrO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NH]—, (nBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NH]—, (iBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NH]—, (sBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NH]—, (tBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NH]—, (H2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NMe]-, (Me2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NMe]-, (Et2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NMe]-, (nPr2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NMe]-, (iPr2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NMe]-, (nBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NMe]-, (iBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NMe]-, (sBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NMe]-, (tBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NMe]-, (Cp)-Ln-C3(m-N2)H2-4-[(CMe2)2-NMe]-, (HO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NMe]-, (MeO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NMe]-, (EtO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NMe]-, (nPrO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NMe]-, (iPrO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NMe]-, (nBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NMe]-, (iBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NMe]-, (sBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NMe]-, (tBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NMe]-, (H2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NEt]-, (Me2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NEt]-, (Et2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NEt]-, (nPr2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NEt]-, (iPr2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NEt]-, (nBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NEt]-, (iBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NEt]-, (sBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NEt]-, (tBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NEt]-, (Cp)-Ln-C3(m-N2)H2-4-[(CMe2)2-NEt]-, (HO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NEt]-, (MeO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NEt]-, (EtO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NEt]-, (nPrO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NEt]-, (iPrO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NEt]-, (nBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NEt]-, (iBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NEt]-, (sBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NEt]-, (tBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NEt]-, (H2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnPr]—, (Me2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnPr]—, (Et2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnPr]—, (nPr2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnPr]—, (iPr2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnPr]—, (nBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnPr]—, (iBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnPr]—, (sBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnPr]—, (tBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnPr]—, (Cp)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnPr]—, (HO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnPr]—, (MeO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnPr]—, (EtO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnPr]—, (nPrO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnPr]—, (iPrO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnPr]—, (nBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnPr]—, (iBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnPr]—, (sBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnPr]—, (tBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnPr]—, (H2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiPr]—, (Me2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiPr]—, (Et2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiPr]—, (nPr2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiPr]—, (iPr2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiPr]—, (nBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiPr]—, (iBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiPr]—, (sBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiPr]—, (tBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiPr]—, (Cp)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiPr]—, (HO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiPr]—, (MeO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiPr]—, (EtO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiPr]—, (nPRO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiPr]—, (iPrO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiPr]—, (nBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiPr]—, (iBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiPr]—, (sBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiPr]—, (tBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiPr]—, (H2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnBu]-, (Me2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnBu]-, (Et2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnBu]-, (nPr2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnBu]-, (iPr2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnBu]-, (nBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnBu]-, (iBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnBu]-, (sBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnBu]-, (tBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnBu]-, (Cp)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnBu]-, (HO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnBu]-, (MeO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnBu]-, (EtO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnBu]-, (nPrO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnBu]-, (iPrO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnBu]-, (nBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnBu]-, (iBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnBu]-, (sBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnBu]-, (tBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NnBu]-, (H2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiBu]-, (Me2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiBu]-, (Et2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiBu]-, (nPr2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiBu]-, (iPr2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiBu]-, (nBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiBu]-, (iBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiBu]-, (sBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiBu]-, (tBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiBu]-, (Cp)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiBu]-, (HO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiBu]-, (MeO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiBu]-, (EtO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiBu]-, (nPrO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiBu]-, (iPrO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiBu]-, (nBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiBu]-, (iBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiBu]-, (sBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiBu]-, (tBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NiBu]-, (H2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NsBu]-, (Me2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NsBu]-, (Et2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NsBu]-, (nPr2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NsBu]-, (iPr2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NsBu]-, (nBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NsBu]-, (iBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NsBu]-, (sBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NsBu]-, (tBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NsBu]-, (Cp)-Ln-C3(m-N2)H2-4-[(CMe2)2-NsBu]-, (HO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NsBu]-, (MeO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NsBu]-, (EtO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NsBu]-, (nPrO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NsBu]-, (iPrO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NsBu]-, (nBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NsBu]-, (iBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NsBu]-, (sBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NsBu]-, (tBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NsBu]-, (H2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NtBu]-, (Me2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NtBu]-, (Et2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NtBu]-, (nPr2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NtBu]-, (iPr2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NtBu]-, (nBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NtBu]-, (iBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NtBu]-, (sBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NtBu]-, (tBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-NtBu]-, (Cp)-Ln-C3(m-N2)H2-4-[(CMe2)2-NtBu]-, (HO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NtBu]-, (MeO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NtBu]-, (EtO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NtBu]-, (nPrO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NtBu]-, (iPrO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NtBu]-, (nBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NtBu]-, (iBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NtBu]-, (sBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NtBu]-, (tBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-NtBu]-, (H2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-O]—, (Me2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-O]—, (Et2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-O]—, (nPr2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-O]—, (iPr2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-O]—, (nBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-O]—, (iBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-O]—, (sBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-O]—, (tBu2N)-Ln-C3(m-N2)H2-4-[(CMe2)2-O]—, (Cp)-Ln-C3(m-N2)H2-4-[(CMe2)2-O]—, (HO)-Ln-C3(m-N2)H2-4-[(CMe2)2-O]—, (MeO)-Ln-C3(m-N2)H2-4-[(CMe2)2-O]—, (EtO)-Ln-C3(m-N2)H2-4-[(CMe2)2-O]—, (nPrO)-Ln-C3(m-N2)H2-4-[(CMe2)2-O]—, (iPrO)-Ln-C3(m-N2)H2-4-[(CMe2)2-O]—, (nBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-O]—, (iBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-O]—, (sBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-O]—, (tBuO)-Ln-C3(m-N2)H2-4-[(CMe2)2-O]—, (H2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NH]—, (Me2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NH]—, (Et2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NH]—, (nPr2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NH]—, (iPr2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NH]—, (nBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NH]—, (iBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NH]—, (sBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NH]—, (tBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NH]—, (Cp)-Ln-C3(m-N2)H2-4-[(CEt2)2-NH]—, (HO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NH]—, (MeO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NH]—, (EtO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NH]—, (nPrO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NH]—, (iPrO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NH]—, (nBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NH]—, (iBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NH]—, (sBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NH]—, (tBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NH]—, (H2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NMe]-, (Me2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NMe]-, (Et2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NMe]-, (nPr2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NMe]-, (iPr2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NMe]-, (nBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NMe]-, (iBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NMe]-, (sBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NMe]-, (tBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NMe]-, (Cp)-Ln-C3(m-N2)H2-4-[(CEt2)2-NMe]-, (HO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NMe]-, (MeO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NMe]-, (EtO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NMe]-, (nPrO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NMe]-, (iPrO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NMe]-, (nBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NMe]-, (iBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NMe]-, (sBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NMe]-, (tBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NMe]-, (H2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NEt]-, (Me2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NEt]-, (Et2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NEt]-, (nPr2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NEt]-, (iPr2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NEt]-, (nBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NEt]-, (iBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NEt]-, (sBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NEt]-, (tBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NEt]-, (Cp)-Ln-C3(m-N2)H2-4-[(CEt2)2-NEt]-, (HO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NEt]-, (MeO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NEt]-, (EtO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NEt]-, (nPrO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NEt]-, (iPrO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NEt]-, (nBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NEt]-, (iBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NEt]-, (sBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NEt]-, (tBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NEt]-, (H2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnPr]—, (Me2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnPr]—, (Et2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnPr]—, (nPr2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnPr]—, (iPr2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnPr]—, (nBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnPr]—, (iBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnPr]—, (sBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnPr]—, (tBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnPr]—, (Cp)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnPr]—, (HO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnPr]—, (MeO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnPr]—, (EtO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnPr]—, (nPrO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnPr]—, (iPrO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnPr]—, (nBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnPr]—, (iBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnPr]—, (sBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnPr]—, (tBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnPr]—, (H2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiPr]—, (Me2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiPr]—, (Et2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiPr]—, (nPr2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiPr]—, (iPr2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiPr]—, (nBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiPr]—, (iBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiPr]—, (sBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiPr]—, (tBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiPr]—, (Cp)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiPr]—, (HO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiPr]—, (MeO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiPr]—, (EtO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiPr]—, (nPrO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiPr]—, (iPrO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiPr]—, (nBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiPr]—, (iBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiPr]—, (sBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiPr]—, (tBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiPr]—, (H2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnBu]-, (Me2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnBu]-, (Et2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnBu]-, (nPr2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnBu]-, (iPr2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnBu]-, (nBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnBu]-, (iBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnBu]-, (sBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnBu]-, (tBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnBu]-, (Cp)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnBu]-, (HO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnBu]-, (MeO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnBu]-, (EtO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnBu]-, (nPrO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnBu]-, (iPrO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnBu]-, (nBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnBu]-, (iBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnBu]-, (sBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnBu]-, (tBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NnBu]-, (H2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiBu]-, (Me2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiBu]-, (Et2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiBu]-, (nPr2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiBu]-, (iPr2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiBu]-, (nBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiBu]-, (iBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiBu]-, (sBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiBu]-, (tBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiBu]-, (Cp)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiBu]-, (HO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiBu]-, (MeO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiBu]-, (EtO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiBu]-, (nPrO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiBu]-, (iPrO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiBu]-, (nBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiBu]-, (iBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiBu]-, (sBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiBu]-, (tBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NiBu]-, (H2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NsBu]-, (Me2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NsBu]-, (Et2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NsBu]-, (nPr2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NsBu]-, (iPr2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NsBu]-, (nBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NsBu]-, (iBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NsBu]-, (sBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NsBu]-, (tBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NsBu]-, (Cp)-Ln-C3(m-N2)H2-4-[(CEt2)2-NsBu]-, (HO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NsBu]-, (MeO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NsBu]-, (EtO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NsBu]-, (nPrO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NsBu]-, (iPrO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NsBu]-, (nBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NsBu]-, (iBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NsBu]-, (sBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NsBu]-, (tBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NsBu]-, (H2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NtBu]-, (Me2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NtBu]-, (Et2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NtBu]-, (nPr2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NtBu]-, (iPr2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NtBu]-, (nBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NtBu]-, (iBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NtBu]-, (sBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NtBu]-, (tBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-NtBu]-, (Cp)-Ln-C3(m-N2)H2-4-[(CEt2)2-NtBu]-, (HO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NtBu]-, (MeO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NtBu]-, (EtO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NtBu]-, (nPrO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NtBu]-, (iPrO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NtBu]-, (nBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NtBu]-, (iBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NtBu]-, (sBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NtBu]-, (tBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-NtBu]-, (H2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-O]—, (Me2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-O]—, (Et2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-O]—, (nPr2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-O]—, (iPr2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-O]—, (nBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-O]—, (iBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-O]—, (sBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-O]—, (tBu2N)-Ln-C3(m-N2)H2-4-[(CEt2)2-O]—, (Cp)-Ln-C3(m-N2)H2-4-[(CEt2)2-O]—, (HO)-Ln-C3(m-N2)H2-4-[(CEt2)2-O]—, (MeO)-Ln-C3(m-N2)H2-4-[(CEt2)2-O]—, (EtO)-Ln-C3(m-N2)H2-4-[(CEt2)2-O]—, (nPrO)-Ln-C3(m-N2)H2-4-[(CEt2)2-O]—, (iPrO)-Ln-C3(m-N2)H2-4-[(CEt2)2-O]—, (nBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-O]—, (iBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-O]—, (sBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-O]—, (tBuO)-Ln-C3(m-N2)H2-4-[(CEt2)2-O]—, (H2O)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NH]—, (Me2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NH]—, (Et2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NH]—, (nPr2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NH]—, (iPr2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NH]—, (nBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NH]—, (iBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NH]—, (sBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NH]—, (tBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NH]—, (Cp)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NH]—, (HO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NH]—, (MeO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NH]—, (EtO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NH]—, (nPrO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NH]—, (iPrO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NH]—, (nBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NH]—, (iBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NH]—, (sBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NH]—, (tBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NH]—, (H2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NMe]-, (Me2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NMe]-, (Et2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NMe]-, (nPr2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NMe]-, (iPr2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NMe]-, (nBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NMe]-, (iBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NMe]-, (sBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NMe]-, (tBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NMe]-, (Cp)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NMe]-, (HO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NMe]-, (MeO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NMe]-, (EtO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NMe]-, (nPrO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NMe]-, (iPrO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NMe]-, (nBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NMe]-, (iBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NMe]-, (sBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NMe]-, (tBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NMe]-, (H2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NEt]-, (Me2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NEt]-, (Et2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NEt]-, (nPr2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NEt]-, (iPr2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NEt]-, (nBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NEt]-, (iBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NEt]-, (sBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NEt]-, (tBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NEt]-, (Cp)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NEt]-, (HO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NEt]-, (MeO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NEt]-, (EtO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NEt]-, (nPrO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NEt]-, (iPrO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NEt]-, (nBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NEt]-, (iBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NEt]-, (sBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NEt]-, (tBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NEt]-, (H2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnPr]—, (Me2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnPr]—, (Et2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnPr]—, (nPr2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnPr]—, (iPr2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnPr]—, (nBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnPr]—, (iBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnPr]—, (sBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnPr]—, (tBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnPr]—, (Cp)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnPr]—, (HO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnPr]—, (MeO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnPr]—, (EtO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnPr]—, (nPrO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnPr]—, (iPrO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnPr]—, (nBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnPr]—, (iBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnPr]—, (sBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnPr]—, (tBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnPr]—, (H2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiPr]—, (Me2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiPr]—, (Et2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiPr]—, (nPr2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiPr]—, (iPr2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiPr]—, (nBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiPr]—, (iBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiPr]—, (sBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiPr]—, (tBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiPr]—, (Cp)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiPr]—, (HO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiPr]—, (MeO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiPr]—, (EtO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiPr]—, (nPrO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiPr]—, (iPrO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiPr]—, (nBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiPr]—, (iBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiPr]—, (sBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiPr]—, (tBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiPr]—, (H2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnBu]-, (Me2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnBu]-, (Et2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnBu]-, (nPr2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnBu]-, (iPr2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnBu]-, (nBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnBu]-, (iBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnBu]-, (sBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnBu]-, (tBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnBu]-, (Cp)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnBu]-, (HO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnBu]-, (MeO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnBu]-, (EtO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnBu]-, (nPrO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnBu]-, (iPrO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnBu]-, (nBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnBu]-, (iBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnBu]-, (sBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnBu]-, (tBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NnBu]-, (H2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiBu]-, (Me2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiBu]-, (Et2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiBu]-, (nPr2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiBu]-, (iPr2)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiBu]-, (nBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiBu]-, (iBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiBu]-, (sBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiBu]-, (tBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiBu]-, (Cp)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiBu]-, (HO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiBu]-, (MeO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiBu]-, (EtO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiBu]-, (nPrO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiBu]-, (tPrO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiBu]-, (nBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiBu]-, (iBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiBu]-, (sBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiBu]-, (tBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NiBu]-, (H2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NsBu]-, (Me2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NsBu]-, (Et2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NsBu]-, (nPr2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NsBu]-, (iPr2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NsBu]-, (nBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NsBu]-, (iBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NsBu]-, (sBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NsBu]-, (tBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NsBu]-, (Cp)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NsBu]-, (HO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NsBu]-, (MeO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NsBu]-, (EtO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NsBu]-, (nPrO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NsBu]-, (iPrO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NsBu]-, (nBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NsBu]-, (iBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NsBu]-, (sBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NsBu]-, (tBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NsBu]-, (H2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NtBu]-, (Me2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NtBu]-, (Et2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NtBu]-, (nPr2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NtBu]-, (iPr2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NtBu]-, (nBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NtBu]-, (iBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NtBu]-, (sBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NtBu]-, (tBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NtBu]-, (Cp)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NtBu]-, (HO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NtBu]-, (MeO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NtBu]-, (EtO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NtBu]-, (nPrO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NtBu]-, (iPrO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NtBu]-, (nBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NtBu]-, (iBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NtBu]-, (sBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NtBu]-, (tBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—NtBu]-, (H2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—O]—, (Me2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—O]—, (Et2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—O]—, (nPr2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—O]—, (iPr2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—O]—, (nBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—O]—, (iBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—O]—, (sBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—O]—, (tBu2N)-Ln-C3(m-N2)H2-4-[(CiPr2)2—O]—, (Cp)-Ln-C3(m-N2)H2-4-[(CiPr2)2—O]—, (HO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—O]—, (MeO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—O]—, (EtO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—O]—, (nPrO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—O]—, (iPrO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—O]—, (nBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—O]—, (iBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—O]—, (sBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—O]—, (tBuO)-Ln-C3(m-N2)H2-4-[(CiPr2)2—O]—, (H2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NH]—, (Me2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NH]—, (Et2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NH]—, (nPr2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NH]—, (iPr2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NH]—, (nBu2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NH]—, (iBu2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NH]—, (sBu2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NH]—, (tBu2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NH]—, (Cp)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NH]—, (HO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NH]—, (MeO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NH]—, (EtO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NH]—, (nPrO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NH]—, (iPrO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NH]—, (nBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NH]—, (iBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NH]—, (sBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NH]—, (tBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NH]—, (H2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NMe]-, (Me2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NMe]-, (Et2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NMe]-, (nPr2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NMe]-, (iPr2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NMe]-, (nBu2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NMe]-, (iBu2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NMe]-, (sBu2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NMe]-, (tBu2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NMe]-, (Cp)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NMe]-, (HO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NMe]-, (MeO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NMe]-, (EtO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NMe]-, (nPrO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NMe]-, (iPrO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NMe]-, (nBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NMe]-, (iBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NMe]-, (sBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NMe]-, (tBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NMe]-, (H2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NEt]-, (Me2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NEt]-, (Et2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NEt]-, (nPr2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NEt]-, (iPr2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NEt]-, (nBu2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NEt]-, (iBu2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NEt]-, (sBu2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NEt]-, (tBu2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NEt]-, (Cp)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NEt]-, (HO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NEt]-, (MeO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NEt]-, (EtO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NEt]-, (nPrO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NEt]-, (iPrO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NEt]-, (nBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NEt]-, (iBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NEt]-, (sBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NEt]-, (tBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NEt]-, (H2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiPr]—, (Me2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NnPr]—, (Et2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NnPr]—, (nPr2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NnPr]—, (sBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NnPr]—, (tBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NnPr]—, (H2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiPr]—, (Me2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiPr]—, (Et2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiPr]—, (nPr2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiPr]—, (iPr2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiPr]—, (nBu2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiPr]—, (iBu2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiPr]—, (sBu2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiPr]—, (tBu2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiPr]—, (Cp)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiPr]—, (HO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiPr]—, (MeO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiPr]—, (EtO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiPr]—, (nPrO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiPr]—, (iPrO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiPr]—, (nBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiPr]—, (iBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiPr]—, (sBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiPr]—, (tBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiPr]—, (H2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NnBu]-, (Me2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NnBu]-, (Et2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NnBu]-, (nPr2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NnBu]-, (iPr2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NnBu]-, (nBu2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NnBu]-, (iBu2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NnBu]-, (sBu2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NnBu]-, (tBu2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NnBu]-, (Cp)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NnBu]-, (HO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NnBu]-, (MeO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NnBu]-, (EtO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NnBu]-, (nPrO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NnBu]-, (iPrO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NnBu]-, (nBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NnBu]-, (iBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NnBu]-, (sBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NnBu]-, (tBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NnBu]-, (H2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiBu]-, (Me2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiBu]-, (Et2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiBu]-, (nPr2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiBu]-, (iPr2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiBu]-, (nBu2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiBu]-, (iBu2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiBu]-, (sBu2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiBu]-, (tBu2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiBu]-, (Cp)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiBu]-, (HO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiBu]-, (MeO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiBu]-, (EtO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiBu]-, (nPrO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiBu]-, (iPrO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiBu]-, (nBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiBu]-, (iBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiBu]-, (sBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiBu]-, (tBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NiBu]-, (H2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NsBu]-, (Me2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NsBu]-, (Et2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NsBu]-, (nPr2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NsBu]-, (iPr2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NsBu]-, (nBu2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NsBu]-, (iBu2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NsBu]-, (sBu2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NsBu]-, (tBu2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NsBu]-, (Cp)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NsBu]-, (HO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NsBu]-, (MeO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NsBu]-, (EtO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NsBu]-, (nPrO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NsBu]-, (iPrO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NsBu]-, (nBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NsBu]-, (iBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NsBu]-, (sBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NsBu]-, (tBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NsBu]-, (H2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NtBu]-, (Me2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NtBu]-, (Et2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NtBu]-, (nPr2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NtBu]-, (iPr2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NtBu]-, (nBu2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NtBu]-, (iBu2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NtBu]-, (sBu2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NtBu]-, (tBu2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NtBu]-, (Cp)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NtBu]-, (HO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NtBu]-, (MeO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NtBu]-, (EtO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NtBu]-, (nPrO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NtBu]-, (iPrO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NtBu]-, (nBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NtBu]-, (iBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NtBu]-, (sBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NtBu]-, (tBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-NtBu]-, (H2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-O]—, (Me2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-O]—, (Et2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-O]—, (nPr2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-O]—, (iPr2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-O]—, (nBu2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-O]—, (iBu2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-O]—, (sBu2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-O]—, (tBu2N)-Ln-C3(m-N2)H2-4-[(CtBu2)2-O]—, (Cp)-Ln-C3(m-N2)H2-4-[(CtBu2)2-O]—, (HO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-O]—, (MeO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-O]—, (EtO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-O]—, (nPrO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-O]—, (iPrO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-O]—, (nBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-O]—, (iBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-O]—, (sBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-O]—, and (tBuO)-Ln-C3(m-N2)H2-4-[(CtBu2)2-O]—, wherein Ln is selected from Lanthanide elements consisting of La, Y, Sc, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb and Lu bonded in an η5 bonding mode to the aromatic group; N may be replaced with Si, B, P or O; and bridged C may be replaced with Si, B or P.
  • Preferred Lanthanide precursors include (Me2N)-Ln-C5H3-1-Me-3-(CH2—CH2—NMe)- and (Me2N)-Ln-C5H3-1-Me-3-(CH2—CH2—O)—, corresponding to the following structure formula, respectively:
  • Figure US20180187303A1-20180705-C00006
  • wherein Ln is selected from Lanthanide elements consisting of La, Y, Sc, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb and Lu bonded in an η5 bonding mode to the aromatic group. Specific compounds include: (Me2N)—La—C5H3-1-Me-3-[(CH2)2—NMe]-, (Me2N)—Y—C5H3-1-Me-3-[(CH2)2—NMe]-, (Me2N)—Sc—C5H3-1-Me-3-[(CH2)2—NMe]-, (Me2N)—Ce—C5H31-Me-3-[(CH2)2—NMe], (Me2N)—Pr—C5H3-1-Me-3-[(CH2)2—NMe]-, (Me2N)—Nd—C5H3-1-Me-3-[(CH2)2—NMe]-, (Me2N)—Sm—C5H3-1-Me-3-[(CH2)2—NMe]-, (Me2N)—Eu—C5H3-1-Me-3-[(CH2)2—NMe]-, (Me2N)—Gd—C5H3-1-Me-3-[(CH2)2—NMe]-, (Me2N)—Tb—C5H3-1-Me-3-[(CH2)2—NMe]-, (Me2N)—Dy—C5H3-1-Me-3-[(CH2)2—NMe]-, (Me2N)—Ho—C5H3-1-Me-3-[(CH2)2—NMe]-, (Me2N)—Er—C5H3-1-Me-3-[(CH2)2—NMe]-, (Me2N)—Tm—C5H3-1-Me-3-[(CH2)2—NMe]-, (Me2N)—Yb—C5H3-1-Me-3-[(CH2)2—NMe]-, (Me2N)—Lu—C5H3-1-Me-3-[(CH2)2—NMe]-, (Me2N)—La—C5H3-1-Me-3-[(CH2)2—O]—, (Me2N)—Y—C5H3-1-Me-3-[(CH2)2—O]—, (Me2N)—Sc—C5H3-1-Me-3-[(CH2)2—O]—, (Me2N)—Ce—C5H3-1-Me-3-[(CH2)2—O]—, (Me2N)—Pr—C5H3-1-Me-3-[(CH2)2—O]—, (Me2N)—Nd—C5H3-1-Me-3-[(CH2)2—O]—, (Me2N)—Sm—C5H3-1-Me-3-[(CH2)2—O]—, (Me2N)—Eu—C5H3-1-Me-3-[(CH2)2—O]—, (Me2N)—Gd—C5H3-1-Me-3-[(CH2)2—O]—, (Me2N)—Tb—C5H3-1-Me-3-[(CH2)2—O]—, (Me2N)—Dy—C5H3-1-Me-3-[(CH2)2—O]—, (Me2N)—Ho—C5H3-1-Me-3-[(CH2)2—O]—, (Me2N)—Er—C5H3-1-Me-3-[(CH2)2—O]—, (Me2N)—Tm—C5H3-1-Me-3-[(CH2)2—O]—, (Me2N)—Yb—C5H3-1-Me-3-[(CH2)2—O]—, and (Me2N)—Lu—C5H3-1-Me-3-[(CH2)2—O]—.
  • Alternatively, the compounds include Cp-La—C5H3-1-Me-3-[(CH2)2—NMe]-; Cp-Y—C5H3-1-Me-3-[(CH2)2—NMe]-; Cp-Sc—C5H3-1-Me-3-[(CH2)2—NMe]-; Cp-Ce—C5H3-1-Me-3-[(CH2)2—NMe]-; Cp-Pr—C5H3-1-Me-3-[(CH2)2—NMe]-; Cp-Nd—C5H3-1-Me-3-[(CH2)2—NMe]-; Cp-Sm—C5H3-1-Me-3-[(CH2)2—NMe]-; Cp-Eu—C5H3-1-Me-3-[(CH2)2—NMe]-; Cp-Gd—C5H3-1-Me-3-[(CH2)2—NMe]-; Cp-Tb—C5H3-1-Me-3-[(CH2)2—NMe]-; Cp-Dy—C5H3-1-Me-3-[(CH2)2—NMe]-; Cp-Ho—C5H3-1-Me-3-[(CH2)2—NMe]-; Cp-Er—C5H3-1-Me-3-[(CH2)2—NMe]-; Cp-Tm—C5H3-1-Me-3-[(CH2)2—NMe]-; Cp-Yb—C5H3-1-Me-3-[(CH2)2—NMe]-; Cp-Lu—C5H3-1-Me-3-[(CH2)2—NMe]-; Cp-La—C5H3-1-Me-3-[(CH2)2—O]—; Cp-Y—C5H3-1-Me-3-[(CH2)2—O]—; Cp-Sc—C5H3-1-Me-3-[(CH2)2—O]—; Cp-Ce—C5H3-1-Me-3-[(CH2)2—O]—; Cp-Pr—C5H3-1-Me-3-[(CH2)2—O]—; Cp-Nd—C5H3-1-Me-3-[(CH2)2—O]—; Cp-Sm—C5H3-1-Me-3-[(CH2)2—O]—; Cp-Eu—C5H3-1-Me-3-[(CH2)2—O]—; Cp-Gd—C5H3-1-Me-3-[(CH2)2—O]—; Cp-Tb—C5H3-1-Me-3-[(CH2)2—O]—; Cp-Dy—C5H3-1-Me-3-[(CH2)2—O]—; Cp-Ho—C5H3-1-Me-3-[(CH2)2—O]—; Cp-Er—C5H3-1-Me-3-[(CH2)2—O]—; Cp-Tm—C5H3-1- Me-3-[(CH2)2—O]—; Cp-Tm—C5H3-1-Me-3-[(CH2)2—O]—; Cp-Yb—C5H3-1-Me-3-[(CH2)2—O]—; and Cp-Lu—C5H3-1-Me-3-[(CH2)2—O]—.
  • The inventors recognize that the disclosed Lanthanide-containing precursors having the above structures, i.e., having one aromatic group with asymmetric structure may be liquid and less or not viscous. With smaller ligands the disclosed Lanthanide-containing precursors having the above structures may have high vapor pressure and may be used in direct liquid injection (DLI) where the precursor is fed in a liquid state and then vaporized before it is introduced into a reactor. In addition, bridged aromatic groups, for example, cyclopentadienyl (Cp)/amino or bridged Cpialkoxy, may help to stabilize the compounds.
  • The Lanthanide precursors offer unique physical and chemical properties when compared to their corresponding homoleptic compounds, which include tris-substituted cyclopentadienyl Lanthanum compounds, La(RCp)3, tris-acetamidinate compounds, La(R—N—C(R′)═N—R)3, or tris-formamidinate compounds, La(R—N—C(H)═N—R)3. Such properties include better control of steric crowding around the metal center, which in turn controls the surface reaction on the substrate and the reaction with a second reactant (such as an oxygen source). Independently fine tuning the substituents on the ligands increases volatility and thermal stability and so decreases melting point to yield either liquids or low melting solids.
  • In order to synthesize stable Lanthanide precursors with properties suited for the vapor deposition process (i.e., a volatile, yet thermally stable, liquid or low melting solid (having a melting point below about 105° C.)), a direct correlation between the properties of the central metal ion (coordination number) and ligands (steric effect, ratio of two heteroleptic ligands) has been observed. Preferably, the metal compound has a 3+ charge and coordination number of 6. Preferably m is 2 and n is 1. Preferably the Lanthanide precursor has a melting point below about 105° C., preferably below about 80′C, more preferably below about 70° C., and even more preferably below about 40° C.
  • The synthesis of the lanthanide precursors may be carried out by following methods:
  • Method A
  • By reacting at low temperature Ln(RCp)2X (X═Cl, Br or I) with the corresponding alkanolamine and/or alkylamine in a suitable solvent, such as dichloromethane, THF or ether, where R is defined above. The alkanolamine and alkylamine are commercially available. After completion of the addition, the mixture warms to room temperature with stirring. The solvent is removed under vacuum. The residue is dissolved in a solvent, such as toluene. The resulting mixture is filtered. Removal of the solvent produces the lanthanide precursor.
  • Method B
  • By reacting Ln(RCp)3 at low temperature with the corresponding alkanolamine in a suitable solvent, such as heptanes, dichloromethane, THF or ether, where R is defined above. The alkanolamine is commercially available. After completion of the addition, the mixture warms to room temperature with stirring. The solvent is removed under vacuum to produce the lanthanide precursor.
  • Method C
  • In-situ reacting LnX3 (where X═Cl, Br, I) (in a stepwise reaction without isolation of intermediate products) with a stoichiometric amount of RCpM (where R is selected from H or a C1-C4 alkyl chain; and M═Li, Na, K) followed by filtration, and reacting the filtrate with alkanolamine and/or alkylamine to result in so lanthanide precursor.
  • A portion of the disclosed precursor compounds (hereinafter the “Lanthanide precursor”) may be deposited to form Lanthanide-containing films using any vapor deposition methods known to those of skill in the art. Examples of suitable vapor deposition methods include without limitation, conventional chemical vapor deposition (CVD), atomic layer deposition (ALD), or other types of vapor depositions that are variations thereof, such as plasma enhanced ALD (PEALD), plasma enhanced CVD (PECVD), low pressure CVD (LPCVD), pulsed chemical vapor deposition (P-CVD), low pressure CVD (LPCVD), sub-atmospheric CVD (SACVD), atmospheric pressure CVD (APCVD), hot-wire CVD (HWCVD, also known as cat-CVD, in which a hot wire serves as an energy source for the deposition process), thermal ALD, thermal CVD, spatial ALD, hot-wire ALD (HWALD), radicals incorporated deposition, and super critical fluid deposition, or combinations thereof. The deposition method is preferably ALD, PE-ALD, or spatial ALD in order to provide suitable step coverage and film thickness control.
  • The type of substrate upon which the Lanthanide-containing film will be deposited will vary depending on the final use intended. In some embodiments, the substrate may be chosen from oxides which are used as dielectric materials in MIM, DRAM, FeRam technologies or gate dielectrics in CMOS technologies (for example, HfO2 based materials, TiO2 based materials, GeO2 based materials, ZrO2 based materials, rare earth oxide based materials, ternary oxide based materials, etc.) or from nitride-based films (for example, TaN) that are used as an oxygen barrier between copper and the low-k layer. Other substrates may be used in the manufacture of semiconductors, photovoltaics, LCD-TFT, or flat panel devices. Examples of such substrates include, but are not limited to, solid substrates such as metal substrates (for example, Au, Pd, Rh, Ru, W, Al, Ni, Ti, Co, Pt and metal silicides, such as TiSi2, CoSi7, and NiSi2); metal nitride containing substrates (for example, TaN, TiN, WN, TaCN, TiCN, TaSiN, and TiSiN); semiconductor materials (for example, Si, SiGe, GaAs, InP, diamond, GaN, and SiC); insulators (for example, SiO2, Si3N4, SiON, HfO2, Ta2O5, ZrO2, TiO2, Al2O3, and barium strontium titanate); or other substrates that include any number of combinations of these materials. Plastic substrates, such as poly(3,4-ethylenedioxythiophene)poly (styrenesulfonte) [PEDOT:PSS], may also be used. The actual substrate utilized may also depend upon the specific precursor embodiment utilized. In many instances though, the preferred substrate utilized will be selected from TiN, Ru, and Si type substrates.
  • The vapor of the Lanthanide precursor is introduced into a reactor containing at least one substrate. The temperature and the pressure within the reactor and the temperature of the substrate are held at conditions suitable for vapor deposition of at least part of the Lanthanide precursor onto the substrate. In other words, after introduction of the vaporized precursor into the reactor, conditions within the chamber are such that at least part of the vaporized precursor is deposited onto the substrate to form the Lanthanide-containing film. The reactor may be any enclosure or chamber of a device in which deposition methods take place, such as, without limitation, a parallel-plate type reactor, a cold-wall type reactor, a hot-wall type reactor, a single-wafer reactor, a multi-wafer reactor, or other such types of deposition systems.
  • The reactor may be maintained at a pressure ranging from about 0.5 mTorr to about 20 Torr. In addition, the temperature within the reactor may range from about 250° C. to about 600° C. One of ordinary skill in the art will recognize that the temperature may be optimized through mere experimentation to achieve the desired result.
  • The substrate may be heated to a sufficient temperature to obtain the desired Lanthanide-containing film at a sufficient growth rate and with desired physical state and composition. A non-limiting exemplary temperature range to which the substrate may be heated includes from 150° C. to 600° C. Preferably, the temperature of the substrate remains less than or equal to 450° C.
  • The Lanthanide precursor may be fed in liquid state to a vaporizer where it is vaporized before it is introduced into the reactor. Prior to its vaporization, the Lanthanide precursor may optionally be mixed with one or more solvents, one or more metal sources, and a mixture of one or more solvents and one or more metal sources. The solvents may be selected from the group consisting of toluene, ethyl benzene, xylene, mesitylene, decane, dodecane, octane, hexane, pentane, or others. The resulting concentration may range from approximately 0.05 M to approximately 2 M. The metal source may include any metal precursors now known or later developed.
  • Alternatively, the Lanthanide precursor may be vaporized by passing a carrier gas into a container containing the Lanthanide precursor or by bubbling the carrier gas into the Lanthanide precursor. Again, the carrier gas may include, but is not limited to, Ar, He, N2, and mixtures thereof. The carrier gas and Lanthanide precursor are then introduced into the reactor. If necessary, the container may be heated to a temperature that permits the Lanthanide precursor to be in its liquid phase and to have a sufficient vapor pressure. The carrier gas may include, but is not limited to, Ar, He, N2,and mixtures thereof. The Lanthanide precursor may optionally be mixed in the container with a solvent, another precursor, or a mixture thereof. The container may be maintained at temperatures in the range of, for example, 0-100° C. Those skilled in the art recognize that the temperature of the container may be adjusted in a known manner to control the amount of Lanthanide precursor vaporized.
  • In addition to the optional mixing of the Lanthanide precursor with solvents, metal precursors, and stabilizers prior to introduction into the reactor, the Lanthanide precursor may be mixed with reactant species inside the reactor. Exemplary reactant species include, without limitation, H2, metal precursors such as TMA or other aluminum-containing precursors, other Lanthanide precursors, TBTDET, TAT-DMAE, PET, TBTDEN, PEN, and any combination thereof.
  • When the desired Lanthanide-containing film also contains oxygen, such as, for example and without limitation, lanthanum oxide, the reactant species may include an oxygen source which is selected from, but not limited to, O2, O3, H2O, H2O2, acetic acid, formalin, para-formaldehyde, and combinations thereof.
  • When the desired Lanthanide-containing film also contains nitrogen, such as, for example and without limitation, lanthanum nitride or lanthanum carbo-nitride, the reactant species may include a nitrogen source which is selected from, but not limited to, nitrogen (N2), ammonia and alkyl derivatives thereof, hydrazine and alkyl derivatives thereof, N-containing radicals (for instance N., NH., NH2.), NO, N2O, NO2, amines, and any combination thereof.
  • When the desired Lanthanide-containing film also contains carbon, such as, for example and without limitation, Lanthanum carbide or Lanthanum carbo-nitride, the reactant species may include a carbon source which is selected from, but not limited to, methane, ethane, propane, butane, ethylene, propylene, t-butylene, isobutylene, CCl4, and any combination thereof.
  • When the desired Lanthanide-containing film also contains silicon, such as, for example and without limitation, Lanthanum silicide, Lanthanum silico-nitride, Lanthanum silicate, Lanthanum silico-carbo-nitride, the reactant species may include a silicon source which is selected from, but not limited to, SiH4, Si2H6, Si3H8, TriDMAS, BDMAS, BDEAS, TDEAS, TDMAS, TEMAS, (SiH3)3N, (SiH3)2O, trisilylamine, disiloxane, trisilylamine, disilane, trisilane, an alkoxysilane SiHx(OR1)4-x, a silanol Si(OH)x(OR1)4-x (preferably Si(OH)(OR1)3 ; more preferably Si(OH)(OtBu)3 an aminosilane SiHx(NR1R2)4-x (where x is 1, 2, 3, or 4; R1 and R2 are independently H ora linear, branched or cyclic C1-C6 carbon chain; preferably TriDMAS, BTBAS, and/or BDEAS), and any combination thereof. The targeted film may alternatively contain Germanium (Ge), in which case the above-mentioned Si-containing reactant species could be replaced by Ge-containing reactant species.
  • When the desired Lanthanide-containing film also contains another element, such as, for example and without limitation, Ge, Ti, Ta, Hf, Zr, Nb, Mg, Al, Sr, Y, Ba, Ca, As, Sb, Bi, Sn, Pb, or combinations thereof, the reactant species may include a precursor which is selected from, but not limited to, alkyls such as SbRi′ 3 or SnRi′ 4 (wherein each Ri′ is independently H or a linear, branched, or cyclic C1-C6 carbon chain), alkoxides such as Sb(ORi)3 or Sn(ORi)4 (where each Ri is independently H or a linear, branched, or cyclic C1-C6 carbon chain), and amines such as Sb(NR1R2)(NR3R4)(NR5R8) or Ge(NR1R2)(NR3R4)(NR5R8)(NR7R8) (where each R1, R2, R3, R4, R5, R8, R7, and R8 is independently H, a C1-C6 carbon chain, or a trialkylsilyl group, the carbon chain and trialkylsilyl group each being linear, branched, or cyclic), and any combination thereof.
  • The Lanthanide precursor and one or more reactant species may be introduced into the reactor simultaneously (chemical vapor deposition), sequentially (atomic layer deposition), or in other combinations. For example, the Lanthanide so precursor may be introduced in one pulse and two additional metal sources may be introduced together in a separate pulse [modified atomic layer deposition]. Alternatively, the reactor may already contain the reactant species prior to introduction of the Lanthanide precursor. The reactant species may be passed through a plasma system localized remotely from the reactor, and decomposed to radicals. Alternatively, the Lanthanide precursor may be introduced to the reactor continuously while other reactant species are introduced by pulse (pulsed-chemical vapor deposition). In each example, a pulse may be followed by a purge or evacuation step to remove excess amounts of the component introduced. In each example, the pulse may last for a time period ranging from about 0.01 s to about 10 s, alternatively from about 0.3 s to about 3 s, alternatively from about 0.5 s to about 2 s.
  • In one non-limiting exemplary atomic layer deposition type process, the vapor phase of a Lanthanide precursor is introduced into the reactor, where at least part of the Lanthanide precursor reacts with a suitable substrate in a self-limiting manner. Excess Lanthanide precursor may then be removed from the reactor by purging and/or evacuating the reactor. An oxygen source, such as ozone, is introduced into the reactor where it reacts with the absorbed Lanthanide precursor. Any excess oxygen source is removed from the reactor by purging and/or evacuating the reactor. If the desired film is a Lanthanide oxide film, this two-step process may provide the desired film thickness or may be repeated until a film having the necessary thickness has been obtained.
  • LaGeOx, wherein x is a number ranging from 1 to 5 inclusive, may spontaneously form when the ALD LaO film is deposited on a Ge or GeO2 substrate. The LaGeOx film may serve as a channel material in metal oxide semiconductor (MOS) devices due to high hole mobility and low dopant activation temperatures.
  • Alternatively, the LaOx film may be deposited as a capping layer on an HfOx or ZrOx high k gate dielectric film, with x being a number ranging from 1 to 5 inclusive. The LaOx capping layer reduces Fermi level pinning effects between the gate dielectric layer and a metal gate.
  • In another alternative, if the desired film is a Lanthanide oxide film containing so another element, the two-step process above may be followed by introduction of the vapor of a precursor into the reactor. The precursor will be selected based on the nature of the Lanthanide metal oxide film being deposited and may include a different Lanthanide precursor. After introduction into the reactor, the precursor is contacted with the substrate. Any excess precursor is removed from the reactor by purging and/or evacuating the reactor. Once again, an oxygen source may be introduced into the reactor to react with the precursor. Excess oxygen source is removed from the reactor by purging and/or evacuating the reactor. If a desired film thickness has been achieved, the process may be terminated. However, if a thicker film is desired, the entire four-step process may be repeated. By alternating the provision of the Lanthanide precursor, precursor, and oxygen source, a film of desired composition and thickness can be deposited.
  • The Lanthanide-containing films or Lanthanide-containing layers resulting from the processes discussed above may include La2O3, (LaLn)O3, La2O3—Ln2O3, LaSixOy, LaGexOy, (Al, Ga, Mn)LnO3, HfLaOx or ZrLaOx, LaSrCoO4, LaSrMnO4 where Ln is a different Lanthanide and x is 1 to 5 inclusive. Preferably, the Lanthanide-containing film may include HfLaOx or ZrLaOx. One of ordinary skill in the art will recognize that by judicial selection of the appropriate Lanthanide precursor and reactant species, the desired film composition may be obtained.
  • Upon obtaining a desired film thickness, the film may be subject to further processing, such as thermal annealing, furnace-annealing, rapid thermal annealing, UV or e-beam curing, and/or plasma gas exposure. Those skilled in the art recognize the systems and methods utilized to perform these additional processing steps. For example, the lanthanum-containing film may be exposed to a temperature ranging from approximately 200° C. and approximately 1000° C. for a time ranging from approximately 0.1 second to approximately 7200 seconds under an inert atmosphere, an H-containing atmosphere, a N-containing atmosphere, an O-containing atmosphere, or combinations thereof. Most preferably, the temperature is 350° C. for 1800 seconds under an inert atmosphere of Argon. The resulting film may contain fewer impurities and therefore may have an improved density resulting in improved leakage current. The annealing step may be performed in the same reactor in which the deposition process is performed. Alternatively, the substrate may be removed from the reactor, with the annealing/flash annealing process being performed in a separate apparatus. Any of the above post-treatment methods, but especially thermal annealing, has been found effective to reduce carbon and nitrogen contamination of the Lanthanide-containing film. This in turn tends to improve the leakage current and the interface trap density (Dit) of the film.
  • While embodiments of this invention have been shown and described, modifications thereof can be made by one skilled in the art without departing from the spirit or teaching of this invention. The embodiments described herein are exemplary only and not limiting. Many variations and modifications of the composition and method are possible and within the scope of the invention. Accordingly the scope of protection is not limited to the embodiments described herein, but is only limited by the claims which follow, the scope of which shall include all equivalents of the subject matter of the claims.

Claims (14)

What is claimed is:
1. A composition comprising a Lanthanide precursor of the general formulae:

L-Ln-C5R4-[(ER2)m-(ER2)n-L′]-,

L-Ln-C4AR3-3-[(ER2)m-(ER2)n-L′]-,

L-Ln-C3(m-A2)R2-4-[(ER2)m-(ER2)n-L′]-,
referring to the following structure formula, respectively:
Figure US20180187303A1-20180705-C00007
wherein Ln is selected from Lanthanide elements consisting of La, Y, Sc, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb and Lu bonded in an η5 bonding mode to the aromatic group; A is independently N, Si, B, P or O; each E is independently C, Si, B or P; m and n are independently 0, 1 or 2; m+n>1; each R is independently an H or a C1-C4 hydrocarbyl group; each L is independently a −1 anionic ligand;
and each L′ is independently NR″ or O, wherein R″ is an H or a C1-C4 hydrocarbon group.
2. The composition of claim 1, wherein the −1 anionic ligand is selected from the group consisting of NR′2, OR′, Cp, Amidinate, β-diketonate, and keto-iminate, wherein R′ is an H or a C1-C4 hydrocarbon group.
3. The composition of claim 1, wherein the Lanthanide precursor is selected from the group consisting of (Me2N)-La-C5H3-1-Me-3-(CH2—CH2—NMe)-, (Me2N)—Y—C5H3-1-Me-3-(CH2—CH2—NMe)-, (Me2N)—Sc—C5H3-1-Me-3-(CH2—CH2—NMe)-, (Me2N)—Ce—C5H3-1-Me-3-(CH2—CH2—NMe)-, (Me2N)—Pr—C5H3-1-Me-3-(CH2—CH2—NMe)-, (Me2N)—Nd—C5H3-1-Me-3-(CH2—CH2—NMe)-, (Me2N)—Sm—C5H3-1-Me-3-(CH2—CH2—NMe)-, (Me2N)—Eu—C5H3-1-Me-3-(CH2—CH2—NMe)-, (Me2N)—Gd—C5H3-1-Me-3-(CH2—CH2—NMe)-, (Me2N)—Tb—C5H3-1-Me-3-(CH2—CH2—NMe)-, (Me2N)—Dy—C5H3-1-Me-3-(CH2—CH2—NMe)-, (Me2N)—Ho—C5H3-1-Me-3-(CH2—CH2—NMe)-, (Me2N)—Er—C5H3-1-Me-3-(CH2—CH2—NMe)-, (Me2N)—Tm—C5H3-1-Me-3-(CH2—CH2—NMe)-, (Me2N)—Yb—C5H3-1-Me-3-(CH2—CH2—NMe)-, (Me2N)—Lu—C5H3-1-Me-3-(CH2—CH2—NMe)-, (Me2N)—La—C5H3-1-Me-3-(CH2—CH2—O)—, (Me2N)—Y—C5H3-1-Me-3-(CH2—CH2—O)—, (Me2N)—Sc—C5H3-1-Me-3-(CH2—CH2—O)—, (Me2N)—Ce—C5H3-1-Me-3-(CH2—CH2—O)—, (Me2N)—Pr—C5H3-1-Me-3-(CH2—CH2—O)—, (Me2N)—Nd—C5H3-1-Me-3-(CH2—CH2—O)—, (Me2N)—Sm—C5H3-1-Me-3-(CH2—CH2—O)—, (Me2N)—Eu—C5H3-1-Me-3-(CH2—CH2—O)—, (Me2N)—Gd—C5H3-1-Me-3-(CH2—CH2—O)—, (Me2N)—Tb—C5H3-1-Me-3-(CH2—CH2—O)—, (Me2N)—Dy—C5H3-1-Me-3-(CH2—CH2—O)—, (Me2N)—Ho—C5H3-1-Me-3-(CH2—CH2—O)—, (Me2N)—Yb—C5H3-1-Me-3-(CH2—CH2—O)—, and (Me2N)—Lu—C5H3-1-Me-3-(CH2—CH2—O)—.
4. A method of forming a Lanthanide-containing film on a substrate, the method comprising the steps of:
introducing the Lanthanide precursor of claim 1 into a reactor having a substrate disposed therein; and
depositing at least part of the Lanthanide precursor onto the substrate to form the Lanthanide-containing film on the substrate using a vapor deposition process.
5. The method of claim 4, further comprising introducing a reactant species into the reactor.
6. The method of claim 5, wherein the reactant species is selected from the group consisting of O2, O3, H2O, H2O2, acetic acid, formalin, para-formaldehyde, and combinations thereof.
7. The method of claim 6, wherein the reactant species is ozone.
8. The method of claim 4, wherein the Lanthanide precursor is selected from the group consisting of (Me2N)-La-C5H3-1-Me-3-(CH2—CH2—NMe)-, (Me2N)—Y—C5H3-1-Me-3-(CH2—CH2—NMe)-, (Me2N)—Sc—C5H3-1-Me-3-(CH2—CH2—NMe)-, (Me2N)—Ce—C5H3-1-Me-3-(CH2—CH2—NMe)-, (Me2N)—Pr—C5H3-1-Me-3-(CH2—CH2—NMe)-, (Me2N)—Nd—C5H3-1-Me-3-(CH2—CH2—NMe)-, (Me2N)—Sm—C5H3-1-Me-3-(CH2—CH2—NMe)-, (Me2N)—Eu—C5H3-1-Me-3-(CH2—CH2—NMe)-, (Me2N)—Gd—C5H3-1-Me-3-(CH2—CH2—NMe)-, (Me2N)—Tb—C5H3-1-Me-3-(CH2—CH2—NMe)-, (Me2N)—Dy—C5H3-1-Me-3-(CH2—CH2—NMe)-, (Me2N)—Ho—C5H3-1-Me-3-(CH2—CH2—NMe)-, (Me2N)—Er—C5H3-1-Me-3-(CH2—CH2—NMe)-, (Me2N)—Tm—C5H3-1-Me-3-(CH2—CH2—NMe)-, (Me2N)—Yb—C5H3-1-Me-3-(CH2—CH2—NMe)-, (Me2N)—Lu—C5H3-1-Me-3-(CH2—CH2—NMe)-, (Me2N)—La—C5H3-1-Me-3-(CH2—CH2—O)—, (Me2N)—Y—C5H3-1-Me-3-(CH2—CH2—O)—, (Me2N)—Sc—C5H3-1-Me-3-(CH2—CH2—O)—, (Me2N)—Ce—C5H3-1-Me-3-(CH2—CH2—O)—, (Me2N)—Pr—C5H3-1-Me-3-(CH2—CH2—O)—, (Me2N)—Nd—C5H3-1-Me-3-(CH2—CH2—O)—, (Me2N)—Sm—C5H3-1-Me-3-(CH2—CH2—O)—, (Me2N)—Eu—C5H3-1-Me-3-(CH2—CH2—O)—, (Me2N)—Gd—C5H3-1-Me-3-(CH2—CH2—O)—, (Me2N)—Tb—C5H3-1-Me-3-(CH2—CH2—O)—, (Me2N)—Dy—C5H3-1-Me-3-(CH2—CH2—O)—, (Me2N)—Ho—C5H3-1-Me-3-(CH2—CH2—O)—, (Me2N)—Yb—C5H3-1-Me-3-(CH2—CH2—O)—, and (Me2N)—Lu—C5H3-1-Me-3-(CH2—CH2—O)—.
9. The method of claim 6, wherein the Lanthanide-containing film is selected from the group consisting of La2O3, (LaLn)O3, La2O3—Ln2O3, LaSixOy, LaGexOy, (Al, Ga, Mn)LnO3, HfLaOx, ZrLaOx, LaSrCoO4, and LaSrMnO4, where Ln is a different Lanthanide and x and y are each independently selected from a number ranging from 1 to 5 inclusive.
10. The method of claim 4, further comprising introducing a precursor into the reactor, wherein the precursor is different from the Lanthanide precursor, and depositing at least part of the precursor to form the Lanthanide-containing film on the substrate.
11. The method of claim 10, wherein the precursor contains an element selected from the group consisting of Hf, Si, Al, Ga, Mn, Ti, Ta, Bi, Zr, Pb, Nb, Mg, Sr, Ba, Ca, and combinations thereof.
12. The method of claim 4, wherein the deposition process is a chemical vapor deposition process.
13. The method of claim 4, wherein the deposition process is an atomic layer deposition process.
14. The method of claim 8, wherein the deposition process is an atomic layer deposition process.
US15/396,221 2016-12-30 2016-12-30 Lanthanide precursors and deposition of lanthanide-containing films using the same Abandoned US20180187303A1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
US15/396,221 US20180187303A1 (en) 2016-12-30 2016-12-30 Lanthanide precursors and deposition of lanthanide-containing films using the same
JP2019530435A JP7253490B2 (en) 2016-12-30 2017-12-14 Method for forming a lanthanide-containing film
KR1020197020790A KR102503211B1 (en) 2016-12-30 2017-12-14 Lanthanide precursor and deposition of lanthanide-containing film using the same
CN201780076504.3A CN110062817A (en) 2016-12-30 2017-12-14 Lanthanide precursors and using its deposit film containing lanthanide series
PCT/IB2017/001721 WO2018122608A1 (en) 2016-12-30 2017-12-14 Lanthanide precursors and deposition of lanthanide-containing films using the same
US16/747,129 US11242597B2 (en) 2016-12-30 2020-01-20 Lanthanide precursors and deposition of lanthanide-containing films using the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US15/396,221 US20180187303A1 (en) 2016-12-30 2016-12-30 Lanthanide precursors and deposition of lanthanide-containing films using the same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US16/747,129 Division US11242597B2 (en) 2016-12-30 2020-01-20 Lanthanide precursors and deposition of lanthanide-containing films using the same

Publications (1)

Publication Number Publication Date
US20180187303A1 true US20180187303A1 (en) 2018-07-05

Family

ID=62709316

Family Applications (2)

Application Number Title Priority Date Filing Date
US15/396,221 Abandoned US20180187303A1 (en) 2016-12-30 2016-12-30 Lanthanide precursors and deposition of lanthanide-containing films using the same
US16/747,129 Active US11242597B2 (en) 2016-12-30 2020-01-20 Lanthanide precursors and deposition of lanthanide-containing films using the same

Family Applications After (1)

Application Number Title Priority Date Filing Date
US16/747,129 Active US11242597B2 (en) 2016-12-30 2020-01-20 Lanthanide precursors and deposition of lanthanide-containing films using the same

Country Status (5)

Country Link
US (2) US20180187303A1 (en)
JP (1) JP7253490B2 (en)
KR (1) KR102503211B1 (en)
CN (1) CN110062817A (en)
WO (1) WO2018122608A1 (en)

Cited By (220)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11414434B2 (en) * 2018-12-19 2022-08-16 Hansol Chemical Co., Ltd. Rare earth precursor, method of manufacturing same and method of forming thin film using same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US12025484B2 (en) 2019-04-29 2024-07-02 Asm Ip Holding B.V. Thin film forming method

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210155106A (en) 2020-06-15 2021-12-22 에스케이트리켐 주식회사 Lanthanide precursor and lanthanide-containing film using the same and deposition method of the same and semiconductor device comprising the same
KR20240038627A (en) 2022-09-16 2024-03-25 에스케이트리켐 주식회사 Precursor comprising for lanthanide containg thin film, deposition method of film and semiconductor device of the same
KR102614467B1 (en) 2022-11-30 2023-12-14 에스케이트리켐 주식회사 Precursor comprising for scandium or yttrium containg thin film, deposition method of film and semiconductor device of the same

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NZ235032A (en) * 1989-08-31 1993-04-28 Dow Chemical Co Constrained geometry complexes of titanium, zirconium or hafnium comprising a substituted cyclopentadiene ligand; use as olefin polymerisation catalyst component
DE4416876A1 (en) * 1994-05-13 1995-11-16 Basf Ag Process for the production of bridged half-sandwich complexes
KR101488855B1 (en) * 2006-03-10 2015-02-04 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Precursor compositions for atomic layer deposition and chemical vapor deposition of titanate, lanthanate, and tantalate dielectric films
JP5666433B2 (en) 2008-06-05 2015-02-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Preparation of lanthanide-containing precursors and deposition of lanthanide-containing films
US20130011579A1 (en) * 2010-11-30 2013-01-10 Air Products And Chemicals, Inc. Metal-Enolate Precursors For Depositing Metal-Containing Films
US9969756B2 (en) * 2014-09-23 2018-05-15 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés George Claude Carbosilane substituted amine precursors for deposition of Si-containing films and methods thereof

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
Trifonov et al the article, Organomlics, Vol. 20, no 23, 2001, 4869-4874 *
Trifonov et al. Organimetallics 2001, 20, 4869-4874 *
Ulrich Siemleling, Chem. Rev. 2000, 100, 1495-1526 *

Cited By (257)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US12000042B2 (en) 2016-12-15 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US12020938B2 (en) 2018-03-27 2024-06-25 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11414434B2 (en) * 2018-12-19 2022-08-16 Hansol Chemical Co., Ltd. Rare earth precursor, method of manufacturing same and method of forming thin film using same
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US12025484B2 (en) 2019-04-29 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11996304B2 (en) 2019-07-16 2024-05-28 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US12033885B2 (en) 2021-01-04 2024-07-09 Asm Ip Holding B.V. Channeled lift pin
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US12033861B2 (en) 2021-06-07 2024-07-09 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US12027365B2 (en) 2021-11-19 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices
US12033849B2 (en) 2022-12-08 2024-07-09 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by PEALD using bis(diethylamino)silane

Also Published As

Publication number Publication date
CN110062817A (en) 2019-07-26
KR20190094238A (en) 2019-08-12
JP7253490B2 (en) 2023-04-06
US11242597B2 (en) 2022-02-08
US20200149156A1 (en) 2020-05-14
JP2020504779A (en) 2020-02-13
KR102503211B1 (en) 2023-03-28
WO2018122608A1 (en) 2018-07-05

Similar Documents

Publication Publication Date Title
US11242597B2 (en) Lanthanide precursors and deposition of lanthanide-containing films using the same
US8283201B2 (en) Preparation of lanthanide-containing precursors and deposition of lanthanide-containing films
US9911590B2 (en) Methods of forming dielectric films, new precursors and their use in semiconductor manufacturing
US8193388B2 (en) Compounds for depositing tellurium-containing films
KR102443752B1 (en) Group 6 film forming compositions for vapor deposition of group 6 transition metal-containing films
US9711347B2 (en) Preparation of lanthanide-containing precursors and deposition of lanthanide-containing films
US8765220B2 (en) Methods of making and deposition methods using hafnium- or zirconium-containing compounds
US9099301B1 (en) Preparation of lanthanum-containing precursors and deposition of lanthanum-containing films
WO2012074511A2 (en) Deposition of alkaline earth metal fluoride films in gas phase at low temperature
TWI593820B (en) Preparation of lanthanide-containing precursors and deposition of lanthanide-containing films

Legal Events

Date Code Title Description
AS Assignment

Owner name: L'AIR LIQUIDE, SOCIETE ANONYME POUR L'ETUDE ET L'E

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GATINEAU, SATOKO;KIM, DAEHYEON;NOH, WONTAE;AND OTHERS;SIGNING DATES FROM 20170307 TO 20170310;REEL/FRAME:042566/0910

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION