US20080006208A1 - Metal organic chemical vapor deposition equipment - Google Patents

Metal organic chemical vapor deposition equipment Download PDF

Info

Publication number
US20080006208A1
US20080006208A1 US11/822,188 US82218807A US2008006208A1 US 20080006208 A1 US20080006208 A1 US 20080006208A1 US 82218807 A US82218807 A US 82218807A US 2008006208 A1 US2008006208 A1 US 2008006208A1
Authority
US
United States
Prior art keywords
flow channel
substrate
susceptor
reactant gas
downstream side
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/822,188
Inventor
Masaki Ueno
Toshio Ueda
Eiryo Takasuka
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sumitomo Electric Industries Ltd
Original Assignee
Sumitomo Electric Industries Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sumitomo Electric Industries Ltd filed Critical Sumitomo Electric Industries Ltd
Assigned to SUMITOMO ELECTRIC INDUSTRIES, LTD. reassignment SUMITOMO ELECTRIC INDUSTRIES, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: TAKASUKA, EIRYO, UEDA, TOSHIO, UENO, MASAKI
Publication of US20080006208A1 publication Critical patent/US20080006208A1/en
Priority to US13/360,366 priority Critical patent/US8906162B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45585Compression of gas before it reaches the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/12Substrate holders or susceptors
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/16Controlling or regulating
    • C30B25/165Controlling or regulating the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B35/00Apparatus not otherwise provided for, specially adapted for the growth, production or after-treatment of single crystals or of a homogeneous polycrystalline material with defined structure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow

Definitions

  • the present invention relates to metal organic chemical vapor deposition equipment, and particularly relates to metal organic chemical vapor deposition equipment for forming a nitride semiconductor layer.
  • a Metal Organic Chemical Vapor Deposition (MOCVD) method is one of the typical chemical vapor deposition methods, in which a Group III organic metal is vaporized and then thermally decomposed at a surface of a substrate, and reacted with a Group V gas to form a film. This method enables control of a film thickness and a composition, and is excellent in productivity, so that it is widely used as a film formation technique in manufacturing semiconductor equipment.
  • MOCVD Metal Organic Chemical Vapor Deposition
  • MOCVD equipment used in the MOCVD method includes a chamber, a susceptor disposed in the chamber, and a conduit for allowing a reactant gas to flow at a surface of a substrate.
  • a film is formed by holding the substrate on the susceptor, heating the substrate to an appropriate temperature while bringing the chamber into a reduced pressure state, and introducing an organic metal gas to the surface of the substrate through a conduit.
  • the MOCVD equipment is required to allow the reactant gas to flow uniformly along the surface of the substrate. To do so, various shapes of conduits have been proposed in the MOCVD equipment.
  • Japanese Patent Laying-Open No. 2-291113 discloses chemical vapor deposition equipment having an introduction pipe introducing a reactant gas to above a substrate.
  • the introduction pipe has a sample holding chamber accommodating a susceptor therein, a bottleneck portion having a cross-sectional shape long along a width direction of the substrate and short and flat along a height direction of the substrate, and blowing a reactant gas onto the substrate from a side of the substrate, and a guide portion located above the substrate and uniformly covering the substrate on its top side with a gap approximately equal to a width of the bottleneck portion in a width direction or with a gap decreased toward a downstream side of the reactant gas so as to guide the reactant gas along the surface of the substrate.
  • the susceptor is fixed to the sample holding chamber, and holds the substrate on the downstream side of a reactant gas flow.
  • Japanese Patent Laying-Open No. 6-216030 discloses compound semiconductor chemical vapor deposition equipment having a flow channel for introducing a reactant gas onto a substrate.
  • the flow channel has a taper, a width of which in a height direction is decreased from an upstream side to a downstream side.
  • a susceptor is fixed to a lower part of the taper.
  • Japanese Patent Laying-Open No. 2-291114 discloses chemical vapor deposition equipment including a rotary susceptor for holding a substrate, and a liner pipe for introducing a reactant gas to the substrate.
  • the liner pipe has a height monotonically decreased over the entire channel for introducing the reactant gas.
  • the MOCVD equipment is required to improve film formation efficiency.
  • Upsizing of the susceptor enables formation of a large-diameter substrate as well as simultaneous heating of multiple substrates, and hence film formation efficiency would be improved.
  • upsizing of the susceptor increases a distance between an upstream side and a downstream side of the susceptor, resulting in that the conditions of the reactant gas (e.g. a concentration, a temperature and the like of the reactant gas) between the upstream side and the downstream side of the susceptor are significantly different.
  • the reactant gas e.g. a concentration, a temperature and the like of the reactant gas
  • an object of the present invention is to provide MOCVD equipment capable of improving film formation efficiency while allowing a formed film to have a uniform film thickness.
  • MOCVD equipment is metal organic chemical vapor deposition equipment for forming a film on a substrate by using a reactant gas, and includes: a heating component heating the substrate and having a holding surface for holding the substrate; and a flow channel for introducing the reactant gas to the substrate.
  • the heating component is rotatable with the holding surface kept facing an inner portion of the flow channel.
  • a height of the flow channel along a flow direction of the reactant gas is kept constant from an upstream side lateral end of a position for holding the substrate at the holding surface to an arbitrary position of the holding surface, and is monotonically decreased from the arbitrary position to a downstream side.
  • the reaction rate of the reactant gas is increased from the arbitrary position to the downstream side. It is thereby possible to obtain an approximately linear relation between the position of the holding surface along the flow direction of the reactant gas and the reaction rate of the reactant gas. As a result, by rotating the heating component, it is possible to allow the film formed on the substrate to have a uniform thickness. Furthermore, there is no need to uniformize the reactive conditions of the reactant gas on the upstream side and the downstream side of the heating component, so that it is possible to upsize the heating component and improve film formation efficiency.
  • the “height of the flow channel” in the specification of the present application means a length of an inner space of the flow channel in a direction of a normal to the holding surface of the heating component.
  • monotonic decrease in height of the flow channel terminates at a position located on the downstream side of a position located on an upstream side of a downstream side lateral end of the substrate holding position by 2%.
  • the reaction rate of the reactant gas may significantly be decreased on the downstream side of a position near the position where monotonic decrease terminates. Accordingly, by terminating the monotonic decrease at the position located on the downstream side of the position located on the upstream side of the downstream side lateral end of the substrate holding position by 2%, it is possible to obtain a linear relation between the substrate holding position along the flow direction of the reactant gas and the reaction rate of the reactant gas.
  • the “position located on the upstream side . . . by 2%” in the specification of the present application means a position located on the upstream side by 2% of a length of the holding surface in the flow direction (a diameter of the holding surface).
  • the monotonic decrease in height of the flow channel terminates at any of a position of the downstream side lateral end and a position located on the downstream side of the position of the downstream side lateral end.
  • a height of the flow channel along a width direction is monotonically decreased at the holding surface from each end portion to a central portion of the holding surface.
  • the height of the flow channel along the width direction is monotonically decreased in a curved manner.
  • the reaction rate of the reactant gas at the central portion of the holding surface is increased, so that it is possible to provide a uniform reaction rate in the width direction of the flow channel.
  • width direction of the flow channel in the specification of the present application means a direction perpendicular to both of the height direction of the flow channel and the flow direction of the reactant gas.
  • the flow channel has a bottleneck portion on an upstream side of the arbitrary position, and the height of the flow channel along the flow direction of the reactant gas at the bottleneck portion is once decreased and then increased.
  • FIG. 1 is a cross sectional view showing a configuration of MOCVD equipment according to a first embodiment of the present invention.
  • FIG. 2 is a plan view showing a periphery of a susceptor in FIG. 1 .
  • FIG. 3 is a graph showing a relation between a position along a flow direction of a reactant gas and a height of a flow channel in the first embodiment of the present invention.
  • FIG. 4 is a graph showing a relation between a position along a flow direction of a reactant gas and a height of a flow channel in Comparative Example 1.
  • FIG. 5 is a graph showing a relation between a distance from an upstream side end portion of the susceptor along the flow direction of the reactant gas and an InGaN growth rate when an InGaN layer is formed with the use of the MOCVD equipment in Comparative Example 1, with the susceptor not rotated.
  • FIG. 6 is a graph showing a relation between a distance from the center of the susceptor and an InGaN growth rate when an InGaN layer is formed with the use of the MOCVD equipment in Comparative Example 1, with the susceptor rotated.
  • FIG. 7 is a graph showing a relation between a distance from the center of the susceptor and an InGaN growth rate when an InGaN layer is formed with the use of each of the MOCVD equipment in Example 1 of the present invention, Example 2 of the present invention, and Comparative Example 1.
  • FIG. 8 is a perspective view showing a flow channel of MOCVD equipment according to a second embodiment of the present invention.
  • FIG. 9 is a cross sectional view taken along a line IX-IX in FIG. 8 .
  • FIG. 10 is a perspective view showing a modification of the flow channel of the MOCVD equipment according to the second embodiment of the present invention.
  • FIG. 11 is a graph showing a relation between a position along a flow direction of a reactant gas and a height of a flow channel in a third embodiment of the present invention.
  • FIG. 12 is a graph showing a modification of the relation between a position along the flow direction of the reactant gas and a height of the flow channel in the third embodiment of the present invention.
  • FIG. 13 is a graph showing a relation between a distance from the center of the susceptor and an InGaN growth rate when an InGaN layer is formed with the use of each of the MOCVD equipment in Example 1 of the present invention and Example 3 of the present invention.
  • MOCVD equipment 1 includes a chamber 3 , a susceptor 5 serving as a heating component, a heater 9 , and a flow channel 11 .
  • Susceptor 5 , heater 9 , and flow channel 11 are placed in chamber 3 .
  • Flow channel 11 extends in a transverse direction in FIG. 1 .
  • a holding surface (a top surface in FIG. 1 ) of susceptor 5 faces an inner portion of flow channel 1 .
  • Susceptor 5 which has a disk shape, is placed on heater 9 , which also has a disk shape.
  • a rotary shaft 13 is attached to a lower part of susceptor 5 , so that susceptor 5 is rotatable with the holding surface thereof kept facing the inner portion of flow channel 11 .
  • a plurality of concave portions 7 are formed in the holding surface of susceptor 5 .
  • Substrates 20 are held in concave portions 7 , respectively, and hence substrates 20 are heated. Referring to FIG. 2 , in particular, seven concave portions 7 are formed in the holding surface of susceptor 5 , and circular substrates 20 are held in the concave portions 7 , respectively.
  • Flow channel 11 is a horizontal-type, three-laminar-flow system, and extends in parallel with the holding surface of susceptor 5 .
  • flow channel 11 is divided into a plurality of flow channels 11 a - 11 c on an upstream side (on the left side in FIG. 1 ).
  • a boundary between flow channels 11 b and 11 c terminates at a position A 1
  • a boundary between flow channels 11 a and 11 b terminates at a position A 2 .
  • a width of flow channel 11 is increased at a constant rate from the upstream side to a position immediately before a position A 3 described later ( FIG. 2 ).
  • Flow channels 11 a - 11 c have heights t 3 , t 2 and t 1 , respectively. It is preferable that a height t 4 of flow channel 11 within a range from position A 2 to position A 3 satisfies t 4 ⁇ t 1 +t 2 +t 3 .
  • the plurality of substrates 20 are held at the holding surface of susceptor 5 , and susceptor 5 is heated by heater 9 and rotated.
  • Gases G 1 -G 3 which are to constitute a reactant gas, are introduced through flow channels 11 a - 11 c , respectively.
  • the reactant gas flows to the right in FIG. 1 .
  • a purge gas such as a hydrogen (H 2 ) gas or a nitrogen (N 2 ) gas.
  • gas G 2 there is used a mixed gas of an organic metal gas containing a Group III element such as trimethyl gallium (TMG), trimethyl indium (TMI), or trimethyl aluminum (TMA), and a carrier gas such as an H 2 gas or an N 2 gas.
  • gas G 3 there is used a mixed gas of a gas containing a Group V element such as an ammonia (NH 3 ) gas and a carrier gas such as an H 2 gas or an N 2 gas.
  • Mixed gas G is then introduced above susceptor 5 in parallel with the holding surface and diffused, and heated by susceptor 5 .
  • the organic metal gas contained in mixed gas G is decomposed by being heated and turns into an intermediate reactant, which reacts with ammonia and turns into a nitride semiconductor.
  • a nitride semiconductor layer is formed on a surface of substrate 20 .
  • a height of flow channel 11 along a flow direction of the reactant gas is changed at a position of the holding surface of susceptor 5 .
  • position A 3 an upstream side lateral end of a position for holding substrate 20 at the holding surface of susceptor 5
  • a downstream side lateral end thereof is referred to as a position A 4 .
  • the height of flow channel 11 is constant from position A 2 to an arbitrary position S of the holding surface beyond position A 3 , is monotonically decreased (linearly decreased) from position S to position E toward the downstream side (a portion shown by a height t 5 in FIG. 1 ), and becomes constant again in a region located on the downstream side of position E (a region including position A 4 ).
  • position E where monotonic decrease terminates, is located on the upstream side of position A 4 .
  • position E is preferably located on the downstream side of a position located on an upstream side of position A 4 by 2%, and more preferably located on the same position as position A 4 or on the downstream side of position A 4 .
  • the inventors of the present invention have found that, with MOCVD equipment 1 , it is possible to improve film formation efficiency while allowing a formed film to have a uniform thickness. This will hereinafter be described.
  • This MOCVD equipment was then used to form an InGaN layer, which is identified as a semiconductor nitride layer, on a surface of the substrate with the susceptor not rotated.
  • results in FIG. 5 are the ones obtained along a center line (a line C in FIG. 2 ) of the susceptor in its width direction, and that a range shown by an arrow in FIG. 5 represents a position for holding the substrate at the holding surface of the susceptor.
  • the InGaN growth rate at the susceptor is significantly increased from 0 at a position approximately 40 mm apart from the upstream side lateral end (i.e. a starting position of the susceptor), and assumes a maximum value at a position approximately 125 mm apart from the upstream side lateral end, and is then moderately decreased.
  • the InGaN growth rate in the case where one substrate was held is monotonically increased in general.
  • the reason why the growth rate is significantly increased from 0 at the position apart from approximately 40 mm from the upstream side lateral end seems to be that the reactant gas is required to move above the susceptor to a certain extent so as to initiate the reaction between a Group III element and a Group V element.
  • the reason why the growth rate assumes a maximum value is that a boundary layer is developed as diffusion of a raw material is promoted toward the downstream side, and hence a concentration gradient is once increased and then becomes moderate.
  • the results in FIG. 5 further show that, when the susceptor is not rotated, the reactive conditions are significantly different between the upstream side and the downstream side of the reactant gas, owing to the upsizing of the susceptor.
  • the inventors of the present invention used the MOCVD equipment in Comparative Example 1 so that an InGaN layer was formed on the surface of the substrate with the susceptor rotated. Note that six substrates were held at the susceptor such that the center of each of the substrates was located at a position 53 mm apart from the center of the susceptor. There was examined the relation between a distance from the center of the susceptor and an InGaN growth rate. The results are shown in FIG. 6 . For comparison, there are also shown the results in the case where one 2-inch substrate was held in the equipment of a size capable of holding one 2-inch substrate. Furthermore, a distribution width of the InGaN growth rate in the substrate is shown in Table 1.
  • rotation of the susceptor allows the InGaN growth rate distribution to be averaged and improved.
  • the distribution width of the InGaN growth rate obtained when one substrate was held is ⁇ 1.8%
  • the distribution width of the InGaN growth rate obtained when six substrates were held is ⁇ 4.3%. This reveals that even when the susceptor is rotated, a thickness of the formed film becomes nonuniform owing to upsizing of the susceptor. It is also revealed that in any of the case where one substrate is held and the case where six substrates are held, the InGaN growth rate is decreased on an outer diameter side of the susceptor.
  • Example 1 of the present invention thus fabricated MOCVD equipment 1 (Example 1 of the present invention) according to the present embodiment shown in FIG. 1 so as to improve the InGaN growth rate in FIG. 5 on the downstream side of the susceptor and obtain an approximately linear relation between a position of the holding surface along a flow direction of the reactant gas and the reaction rate of the reactant gas.
  • the position in FIG. 5 where the InGaN growth rate assumes a maximum value (a position at 125 mm) is set as position S where monotonic decrease initiates.
  • Example 1 of the present invention each of the MOCVD equipment in Example 1 of the present invention and Comparative Example 1 was used to form an InGaN layer on a surface of each of the six substrates held at the susceptor, with the susceptor rotated. There was examined a distribution width of the InGaN growth rate in the substrate, and the results thereof are shown in Table 2.
  • the InGaN growth rate distribution in Comparative Example 1 is ⁇ 4.3%, whereas the InGaN growth rate distribution in Example 1 of the present invention achieves a small distribution width of ⁇ 0.4%.
  • position E where monotonic decrease in height of flow channel 11 terminates, is preferably located on the downstream side of the position located on the upstream side of position A 4 by 2%, and more preferably located at the same position as position A 4 or on the downstream side of position A 4 . It is thereby possible to make linear the relation between the substrate holding position along a flow direction of the reactant gas and the reaction rate of the reactant gas. This will hereinafter be described.
  • the inventors of the present invention examined the effects of position E, where monotonic decrease in height of flow channel 11 terminates, on the growth rate.
  • the MOCVD equipment in FIG. 1 there were prepared equipment in which position E, where monotonic decrease terminates, is located on the upstream side of position A 4 (Example 1 of the present invention) and equipment in which position E, where monotonic decrease terminates, is located at the same position as position A 4 (Example 2 of the present invention).
  • Comparative Example 1 each of the MOCVD equipment in Example 1 of the present invention, Example 2 of the present invention, and Comparative Example 1 was used to form an InGaN layer on a surface of each of the six substrates held at the susceptor.
  • FIG. 7 There was examined the relation between a distance from the center of the susceptor and an InGaN growth rate, and the results thereof are shown in FIG. 7 . Note that the results in FIG. 7 are the ones along the center line (line C in FIG. 2 ) in the width direction of the susceptor. A range shown by an arrow in FIG. 7 represents a position for holding the substrate at the holding surface of the susceptor.
  • the InGaN growth rate is increased approximately linearly from the upstream side to the downstream side of the susceptor in Examples 1 and 2 of the present invention.
  • the linear shape in Example 1 of the present invention is deformed at the substrate holding position on the right in the drawing, whereas the linear shape in Example 2 of the present invention is maintained up to the downstream side lateral end (position A 4 ) of the substrate holding position.
  • the results above show that, by locating termination position E at the same position as position A 4 or on the downstream side of position A 4 , it is possible to make linear the relation between the substrate holding position along the flow direction of the reactant gas and the reaction rate of the reactant gas. It is also possible to obtain the similar effect if termination position E is located on the downstream side of the position located on the upstream side of position A 4 by 2%.
  • a distance d between position A 1 , where the gases containing elements to constitute the nitride semiconductor layer are mixed, and position A 3 is at least 50 mm and at most 100 mm.
  • a height of flow channel 11 along the width direction thereof at the holding surface of susceptor 5 is monotonically decreased in a linear manner from each end portion (a height h 1 ) to a central portion (a height h 2 ) of the holding surface of susceptor 5 .
  • the height of flow channel 11 along the width direction thereof at the holding surface of susceptor 5 may be monotonically decreased in a curved manner from each of the end portions (height h 1 ) to the central portion (height h 2 ) of the holding surface of susceptor 5 . This causes an increase in reaction rate of the reactant gas at the central portion of the holding surface of susceptor 5 , so that the reaction rate of flow channel 11 in the width direction thereof can be made uniformized.
  • flow channel 11 has a bottleneck portion 30 near position A 3 .
  • the height of flow channel 11 at bottleneck portion 30 is once decreased, kept constant at its local minimum value, and then increased.
  • a top surface of flow channel 11 at bottleneck portion 30 has a convex shape.
  • a bottom surface of flow channel 11 at bottleneck portion 30 may have a concave shape.
  • MOCVD equipment With the MOCVD equipment according to the present embodiment, it is possible to increase a growth rate on the upstream side of position S and obtain the growth rate as approximately the same as the one at the downstream side of position S. As a result, it is possible to grow a uniform.
  • the height of flow channel 11 is once decreased on the upstream side of position S, so that diffusion of the raw material on the upstream side can be promoted and the growth rate on the upstream side can be increased. Furthermore, the height of flow channel 11 is later increased, so that it is possible to suppress non-linear increase in growth rate due to promoted diffusion of the raw material. Later in a region where the growth rate is saturated, the height of flow channel 11 is decreased again, so that linear increase in growth rate can be maintained. As such, it is possible to reduce a difference in growth rate between the upstream side and the downstream side while maintaining linearity of the growth rate at the holding surface.
  • the height of flow channel 11 starts decreasing between position A 2 and position A 3 , and then increases on the upstream side of position A 3 . It is also preferable that flow channel 11 maintains the same shape in the width direction thereof. Furthermore, the position of bottleneck portion 30 may be located anywhere as long as it is located on the upstream side of position S.
  • the inventors of the present invention examined the effects of the fact that flow channel 11 has bottleneck portion 30 on the upstream side of position S.
  • the MOCVD equipment in FIG. 1 there was prepared equipment having bottleneck portion 30 , as shown in FIG. 11 , formed thereat (Example 3 of the present invention).
  • This MOCVD equipment was used to form an InGaN layer on a surface of each of the six substrates held at the susceptor.
  • the results thereof are shown in FIG. 13 , along with the results of Example 1 of the present invention. Note that the results in FIG. 13 are the ones along the center line (line C in FIG. 2 ) of the susceptor in the width direction, and a range shown by an arrow in FIG. 13 represents a position for holding the substrate at the holding surface of the susceptor.
  • FIG. 13 shows that Example 3 of the present invention has smaller difference in growth rate between the upstream side and the downstream side, when compared with Example 1 of the present invention.
  • Example 1 of the present invention has approximately 3.3 times difference in growth rate between the upstream side and the downstream side
  • Example 3 of the present invention has approximately 2 times difference in growth rate between the upstream side and the downstream side.

Abstract

Metal organic chemical vapor deposition equipment is metal organic chemical vapor deposition equipment for forming a film on a substrate by using a reactant gas, and includes a susceptor heating the substrate and having a holding surface for holding the substrate, and a flow channel for introducing the reactant gas to the substrate. The susceptor is rotatable with the holding surface kept facing an inner portion of the flow channel, and a height of the flow channel along a flow direction of the reactant gas is kept constant from a position to a position, and is monotonically decreased from the position to the downstream side. It is thereby possible to improve film formation efficiency while allowing the formed film to have a uniform thickness.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to metal organic chemical vapor deposition equipment, and particularly relates to metal organic chemical vapor deposition equipment for forming a nitride semiconductor layer.
  • 2. Description of the Background Art
  • A Metal Organic Chemical Vapor Deposition (MOCVD) method is one of the typical chemical vapor deposition methods, in which a Group III organic metal is vaporized and then thermally decomposed at a surface of a substrate, and reacted with a Group V gas to form a film. This method enables control of a film thickness and a composition, and is excellent in productivity, so that it is widely used as a film formation technique in manufacturing semiconductor equipment.
  • MOCVD equipment used in the MOCVD method includes a chamber, a susceptor disposed in the chamber, and a conduit for allowing a reactant gas to flow at a surface of a substrate. In the MOCVD equipment, a film is formed by holding the substrate on the susceptor, heating the substrate to an appropriate temperature while bringing the chamber into a reduced pressure state, and introducing an organic metal gas to the surface of the substrate through a conduit. At this time, in order that the formed film has a uniform thickness, the MOCVD equipment is required to allow the reactant gas to flow uniformly along the surface of the substrate. To do so, various shapes of conduits have been proposed in the MOCVD equipment.
  • As conventional MOCVD equipment, Japanese Patent Laying-Open No. 2-291113, for example, discloses chemical vapor deposition equipment having an introduction pipe introducing a reactant gas to above a substrate. The introduction pipe has a sample holding chamber accommodating a susceptor therein, a bottleneck portion having a cross-sectional shape long along a width direction of the substrate and short and flat along a height direction of the substrate, and blowing a reactant gas onto the substrate from a side of the substrate, and a guide portion located above the substrate and uniformly covering the substrate on its top side with a gap approximately equal to a width of the bottleneck portion in a width direction or with a gap decreased toward a downstream side of the reactant gas so as to guide the reactant gas along the surface of the substrate. The susceptor is fixed to the sample holding chamber, and holds the substrate on the downstream side of a reactant gas flow.
  • Furthermore, Japanese Patent Laying-Open No. 6-216030, for example, discloses compound semiconductor chemical vapor deposition equipment having a flow channel for introducing a reactant gas onto a substrate. The flow channel has a taper, a width of which in a height direction is decreased from an upstream side to a downstream side. A susceptor is fixed to a lower part of the taper.
  • Moreover, Japanese Patent Laying-Open No. 2-291114 discloses chemical vapor deposition equipment including a rotary susceptor for holding a substrate, and a liner pipe for introducing a reactant gas to the substrate. The liner pipe has a height monotonically decreased over the entire channel for introducing the reactant gas.
  • The MOCVD equipment is required to improve film formation efficiency. Upsizing of the susceptor enables formation of a large-diameter substrate as well as simultaneous heating of multiple substrates, and hence film formation efficiency would be improved. However, upsizing of the susceptor increases a distance between an upstream side and a downstream side of the susceptor, resulting in that the conditions of the reactant gas (e.g. a concentration, a temperature and the like of the reactant gas) between the upstream side and the downstream side of the susceptor are significantly different. As a result, there arises a problem of nonuniform thickness of a formed film. As such, it is not conventionally possible to improve film formation efficiency while allowing the formed film to have a uniform thickness.
  • SUMMARY OF THE INVENTION
  • Accordingly, an object of the present invention is to provide MOCVD equipment capable of improving film formation efficiency while allowing a formed film to have a uniform film thickness.
  • MOCVD equipment according to the present invention is metal organic chemical vapor deposition equipment for forming a film on a substrate by using a reactant gas, and includes: a heating component heating the substrate and having a holding surface for holding the substrate; and a flow channel for introducing the reactant gas to the substrate. The heating component is rotatable with the holding surface kept facing an inner portion of the flow channel. A height of the flow channel along a flow direction of the reactant gas is kept constant from an upstream side lateral end of a position for holding the substrate at the holding surface to an arbitrary position of the holding surface, and is monotonically decreased from the arbitrary position to a downstream side.
  • In the MOCVD equipment according to the present invention, the reaction rate of the reactant gas is increased from the arbitrary position to the downstream side. It is thereby possible to obtain an approximately linear relation between the position of the holding surface along the flow direction of the reactant gas and the reaction rate of the reactant gas. As a result, by rotating the heating component, it is possible to allow the film formed on the substrate to have a uniform thickness. Furthermore, there is no need to uniformize the reactive conditions of the reactant gas on the upstream side and the downstream side of the heating component, so that it is possible to upsize the heating component and improve film formation efficiency.
  • Note that the “height of the flow channel” in the specification of the present application means a length of an inner space of the flow channel in a direction of a normal to the holding surface of the heating component.
  • Preferably, in the MOCVD equipment according to the present invention, monotonic decrease in height of the flow channel terminates at a position located on the downstream side of a position located on an upstream side of a downstream side lateral end of the substrate holding position by 2%.
  • If the height of the flow channel along the flow direction of the reactant gas is monotonically decreased from the arbitrary position to the downstream side, the reaction rate of the reactant gas may significantly be decreased on the downstream side of a position near the position where monotonic decrease terminates. Accordingly, by terminating the monotonic decrease at the position located on the downstream side of the position located on the upstream side of the downstream side lateral end of the substrate holding position by 2%, it is possible to obtain a linear relation between the substrate holding position along the flow direction of the reactant gas and the reaction rate of the reactant gas.
  • Note that the “position located on the upstream side . . . by 2%” in the specification of the present application means a position located on the upstream side by 2% of a length of the holding surface in the flow direction (a diameter of the holding surface).
  • Preferably, in the MOCVD equipment according to the present invention, the monotonic decrease in height of the flow channel terminates at any of a position of the downstream side lateral end and a position located on the downstream side of the position of the downstream side lateral end.
  • It is therefore possible to obtain a linear relation between the substrate holding position along the flow direction of the reactant gas and the reaction rate of the reactant gas.
  • Preferably, in the MOCVD equipment according to the present invention, a height of the flow channel along a width direction is monotonically decreased at the holding surface from each end portion to a central portion of the holding surface.
  • Preferably, in the MOCVD equipment according to the present invention, the height of the flow channel along the width direction is monotonically decreased in a curved manner.
  • Accordingly, the reaction rate of the reactant gas at the central portion of the holding surface is increased, so that it is possible to provide a uniform reaction rate in the width direction of the flow channel.
  • Note that the “width direction of the flow channel” in the specification of the present application means a direction perpendicular to both of the height direction of the flow channel and the flow direction of the reactant gas.
  • Preferably, in the MOCVD equipment according to the present invention, the flow channel has a bottleneck portion on an upstream side of the arbitrary position, and the height of the flow channel along the flow direction of the reactant gas at the bottleneck portion is once decreased and then increased.
  • It is thereby possible to increase the growth rate at the upstream side of the arbitrary position to be approximately the same as that on the downstream side of the arbitrary position. As a result, it is possible to grow a uniform film.
  • The foregoing and other objects, features, aspects and advantages of the present invention will become more apparent from the following detailed description of the present invention when taken in conjunction with the accompanying drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a cross sectional view showing a configuration of MOCVD equipment according to a first embodiment of the present invention.
  • FIG. 2 is a plan view showing a periphery of a susceptor in FIG. 1.
  • FIG. 3 is a graph showing a relation between a position along a flow direction of a reactant gas and a height of a flow channel in the first embodiment of the present invention.
  • FIG. 4 is a graph showing a relation between a position along a flow direction of a reactant gas and a height of a flow channel in Comparative Example 1.
  • FIG. 5 is a graph showing a relation between a distance from an upstream side end portion of the susceptor along the flow direction of the reactant gas and an InGaN growth rate when an InGaN layer is formed with the use of the MOCVD equipment in Comparative Example 1, with the susceptor not rotated.
  • FIG. 6 is a graph showing a relation between a distance from the center of the susceptor and an InGaN growth rate when an InGaN layer is formed with the use of the MOCVD equipment in Comparative Example 1, with the susceptor rotated.
  • FIG. 7 is a graph showing a relation between a distance from the center of the susceptor and an InGaN growth rate when an InGaN layer is formed with the use of each of the MOCVD equipment in Example 1 of the present invention, Example 2 of the present invention, and Comparative Example 1.
  • FIG. 8 is a perspective view showing a flow channel of MOCVD equipment according to a second embodiment of the present invention.
  • FIG. 9 is a cross sectional view taken along a line IX-IX in FIG. 8.
  • FIG. 10 is a perspective view showing a modification of the flow channel of the MOCVD equipment according to the second embodiment of the present invention.
  • FIG. 11 is a graph showing a relation between a position along a flow direction of a reactant gas and a height of a flow channel in a third embodiment of the present invention.
  • FIG. 12 is a graph showing a modification of the relation between a position along the flow direction of the reactant gas and a height of the flow channel in the third embodiment of the present invention.
  • FIG. 13 is a graph showing a relation between a distance from the center of the susceptor and an InGaN growth rate when an InGaN layer is formed with the use of each of the MOCVD equipment in Example 1 of the present invention and Example 3 of the present invention.
  • DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • Embodiments of the present invention will hereinafter be described with reference to the drawings.
  • First Embodiment
  • Referring to FIGS. 1 and 2, MOCVD equipment 1 according to the present embodiment includes a chamber 3, a susceptor 5 serving as a heating component, a heater 9, and a flow channel 11. Susceptor 5, heater 9, and flow channel 11 are placed in chamber 3. Flow channel 11 extends in a transverse direction in FIG. 1. A holding surface (a top surface in FIG. 1) of susceptor 5 faces an inner portion of flow channel 1.
  • Susceptor 5, which has a disk shape, is placed on heater 9, which also has a disk shape. A rotary shaft 13 is attached to a lower part of susceptor 5, so that susceptor 5 is rotatable with the holding surface thereof kept facing the inner portion of flow channel 11. A plurality of concave portions 7, each having a circular shape in a plan view, are formed in the holding surface of susceptor 5. Substrates 20 are held in concave portions 7, respectively, and hence substrates 20 are heated. Referring to FIG. 2, in particular, seven concave portions 7 are formed in the holding surface of susceptor 5, and circular substrates 20 are held in the concave portions 7, respectively.
  • Flow channel 11 is a horizontal-type, three-laminar-flow system, and extends in parallel with the holding surface of susceptor 5. In other words, flow channel 11 is divided into a plurality of flow channels 11 a-11 c on an upstream side (on the left side in FIG. 1). A boundary between flow channels 11 b and 11 c terminates at a position A1, while a boundary between flow channels 11 a and 11 b terminates at a position A2. A width of flow channel 11 is increased at a constant rate from the upstream side to a position immediately before a position A3 described later (FIG. 2). Flow channels 11 a-11 c have heights t3, t2 and t1, respectively. It is preferable that a height t4 of flow channel 11 within a range from position A2 to position A3 satisfies t4≧t1+t2+t3.
  • In MOCVD equipment 1, the plurality of substrates 20 are held at the holding surface of susceptor 5, and susceptor 5 is heated by heater 9 and rotated. Gases G1-G3, which are to constitute a reactant gas, are introduced through flow channels 11 a-11 c, respectively. The reactant gas flows to the right in FIG. 1. For example, assume the case where a Group III-V nitride semiconductor layer is formed. As gas G1, there is used a purge gas such as a hydrogen (H2) gas or a nitrogen (N2) gas. As gas G2, there is used a mixed gas of an organic metal gas containing a Group III element such as trimethyl gallium (TMG), trimethyl indium (TMI), or trimethyl aluminum (TMA), and a carrier gas such as an H2 gas or an N2 gas. As gas G3, there is used a mixed gas of a gas containing a Group V element such as an ammonia (NH3) gas and a carrier gas such as an H2 gas or an N2 gas. When these gases G1-G3 are introduced, mixing of gas G2 and gas G3 is initiated at position A1, and mixing with the purge gas is further initiated at position A2, so that a reactant gas G is constituted. Mixed gas G is then introduced above susceptor 5 in parallel with the holding surface and diffused, and heated by susceptor 5. The organic metal gas contained in mixed gas G is decomposed by being heated and turns into an intermediate reactant, which reacts with ammonia and turns into a nitride semiconductor. As a result, a nitride semiconductor layer is formed on a surface of substrate 20.
  • In MOCVD equipment 1, a height of flow channel 11 along a flow direction of the reactant gas is changed at a position of the holding surface of susceptor 5. Referring to FIGS. 1-3, an upstream side lateral end of a position for holding substrate 20 at the holding surface of susceptor 5 is referred to as position A3, while a downstream side lateral end thereof is referred to as a position A4. The height of flow channel 11 is constant from position A2 to an arbitrary position S of the holding surface beyond position A3, is monotonically decreased (linearly decreased) from position S to position E toward the downstream side (a portion shown by a height t5 in FIG. 1), and becomes constant again in a region located on the downstream side of position E (a region including position A4).
  • In FIG. 3, position E, where monotonic decrease terminates, is located on the upstream side of position A4. However, position E is preferably located on the downstream side of a position located on an upstream side of position A4 by 2%, and more preferably located on the same position as position A4 or on the downstream side of position A4.
  • The inventors of the present invention have found that, with MOCVD equipment 1, it is possible to improve film formation efficiency while allowing a formed film to have a uniform thickness. This will hereinafter be described.
  • Initially, the inventors of the present invention prepared MOCVD equipment (Comparative Example 1) which includes a flow channel having height t4 decreased on the upstream side of position A3 and having height t5 kept constant from position A3 to position A4 (t5=t1+t2+t3), as shown by a dashed-dotted line P1 in FIG. 1 and FIG. 4, and a susceptor having the shape in FIG. 2. This MOCVD equipment was then used to form an InGaN layer, which is identified as a semiconductor nitride layer, on a surface of the substrate with the susceptor not rotated. There was examined the relation between a distance from the upstream side lateral end of the susceptor along a flow direction of the reactant gas, and an InGaN growth rate. The results are shown in FIG. 5. Note that the results in FIG. 5 are the ones obtained along a center line (a line C in FIG. 2) of the susceptor in its width direction, and that a range shown by an arrow in FIG. 5 represents a position for holding the substrate at the holding surface of the susceptor. For comparison, there are also shown the results in the case where one 2-inch substrate was held in equipment having the size of a flow channel and the size of a susceptor reduced in a geometrically similar manner such that one 2-inch substrate can be held therein.
  • Referring to FIG. 5, the InGaN growth rate at the susceptor, where seven substrates were held, is significantly increased from 0 at a position approximately 40 mm apart from the upstream side lateral end (i.e. a starting position of the susceptor), and assumes a maximum value at a position approximately 125 mm apart from the upstream side lateral end, and is then moderately decreased. The InGaN growth rate in the case where one substrate was held is monotonically increased in general.
  • As to the results in FIG. 5, the reason why the growth rate is significantly increased from 0 at the position apart from approximately 40 mm from the upstream side lateral end seems to be that the reactant gas is required to move above the susceptor to a certain extent so as to initiate the reaction between a Group III element and a Group V element. The reason why the growth rate assumes a maximum value is that a boundary layer is developed as diffusion of a raw material is promoted toward the downstream side, and hence a concentration gradient is once increased and then becomes moderate. The results in FIG. 5 further show that, when the susceptor is not rotated, the reactive conditions are significantly different between the upstream side and the downstream side of the reactant gas, owing to the upsizing of the susceptor.
  • Next, the inventors of the present invention used the MOCVD equipment in Comparative Example 1 so that an InGaN layer was formed on the surface of the substrate with the susceptor rotated. Note that six substrates were held at the susceptor such that the center of each of the substrates was located at a position 53 mm apart from the center of the susceptor. There was examined the relation between a distance from the center of the susceptor and an InGaN growth rate. The results are shown in FIG. 6. For comparison, there are also shown the results in the case where one 2-inch substrate was held in the equipment of a size capable of holding one 2-inch substrate. Furthermore, a distribution width of the InGaN growth rate in the substrate is shown in Table 1.
  • TABLE 1
    Case Where Case Where
    6 Substrates 1 Substrate is
    are Held Held
    Distribution Width of ±4.3% ±1.8%
    InGaN Growth Rate
  • Referring to FIG. 6 and Table 1, rotation of the susceptor allows the InGaN growth rate distribution to be averaged and improved. However, the distribution width of the InGaN growth rate obtained when one substrate was held is ±1.8%, whereas the distribution width of the InGaN growth rate obtained when six substrates were held is ±4.3%. This reveals that even when the susceptor is rotated, a thickness of the formed film becomes nonuniform owing to upsizing of the susceptor. It is also revealed that in any of the case where one substrate is held and the case where six substrates are held, the InGaN growth rate is decreased on an outer diameter side of the susceptor.
  • Assume the case where a film is formed on the substrate with the susceptor rotated. If the relation between a position of the holding surface along a flow direction of the reactant gas and a reaction rate of the reactant gas is made linear (made to be a proportional relation), it is possible to form a film having a uniform thickness at the substrate. In other words, when the susceptor is rotated, there is no need to uniformize the reactive conditions of the reactant gas on the upstream side and the downstream side of the susceptor.
  • The inventors of the present invention thus fabricated MOCVD equipment 1 (Example 1 of the present invention) according to the present embodiment shown in FIG. 1 so as to improve the InGaN growth rate in FIG. 5 on the downstream side of the susceptor and obtain an approximately linear relation between a position of the holding surface along a flow direction of the reactant gas and the reaction rate of the reactant gas. In Example 1 of the present invention, the position in FIG. 5 where the InGaN growth rate assumes a maximum value (a position at 125 mm) is set as position S where monotonic decrease initiates. Next, each of the MOCVD equipment in Example 1 of the present invention and Comparative Example 1 was used to form an InGaN layer on a surface of each of the six substrates held at the susceptor, with the susceptor rotated. There was examined a distribution width of the InGaN growth rate in the substrate, and the results thereof are shown in Table 2.
  • TABLE 2
    Comparative Example 1 of
    Example 1 the Present Invention
    Distribution Width of ±4.3% ±0.4%
    InGaN Growth Rate
  • Referring to Table 2, the InGaN growth rate distribution in Comparative Example 1 is ±4.3%, whereas the InGaN growth rate distribution in Example 1 of the present invention achieves a small distribution width of ±0.4%. This reveals that, with MOCVD equipment 1 according to the present embodiment, it is possible to allow the formed film to have a uniform thickness even if the susceptor is upsized and films are simultaneously formed on multiple substrates.
  • In MOCVD equipment 1, position E, where monotonic decrease in height of flow channel 11 terminates, is preferably located on the downstream side of the position located on the upstream side of position A4 by 2%, and more preferably located at the same position as position A4 or on the downstream side of position A4. It is thereby possible to make linear the relation between the substrate holding position along a flow direction of the reactant gas and the reaction rate of the reactant gas. This will hereinafter be described.
  • The inventors of the present invention examined the effects of position E, where monotonic decrease in height of flow channel 11 terminates, on the growth rate. Specifically, as to the MOCVD equipment in FIG. 1, there were prepared equipment in which position E, where monotonic decrease terminates, is located on the upstream side of position A4 (Example 1 of the present invention) and equipment in which position E, where monotonic decrease terminates, is located at the same position as position A4 (Example 2 of the present invention). For comparison, there was prepared the MOCVD equipment in Comparative Example 1. Next, each of the MOCVD equipment in Example 1 of the present invention, Example 2 of the present invention, and Comparative Example 1 was used to form an InGaN layer on a surface of each of the six substrates held at the susceptor. There was examined the relation between a distance from the center of the susceptor and an InGaN growth rate, and the results thereof are shown in FIG. 7. Note that the results in FIG. 7 are the ones along the center line (line C in FIG. 2) in the width direction of the susceptor. A range shown by an arrow in FIG. 7 represents a position for holding the substrate at the holding surface of the susceptor.
  • Referring to FIG. 7, the InGaN growth rate is increased approximately linearly from the upstream side to the downstream side of the susceptor in Examples 1 and 2 of the present invention. However, the linear shape in Example 1 of the present invention is deformed at the substrate holding position on the right in the drawing, whereas the linear shape in Example 2 of the present invention is maintained up to the downstream side lateral end (position A4) of the substrate holding position. The results above show that, by locating termination position E at the same position as position A4 or on the downstream side of position A4, it is possible to make linear the relation between the substrate holding position along the flow direction of the reactant gas and the reaction rate of the reactant gas. It is also possible to obtain the similar effect if termination position E is located on the downstream side of the position located on the upstream side of position A4 by 2%.
  • In MOCVD equipment 1, it is preferable that a distance d between position A1, where the gases containing elements to constitute the nitride semiconductor layer are mixed, and position A3 is at least 50 mm and at most 100 mm. By locating position A1 apart from position A3 by at least 50 mm, diffusion of the Group III reactant gas and the Group V reactant gas is promoted near position A3, so that the reaction rate can be increased. By locating position A1 apart from position A3 by at most 100 mm, the reactant gas can be prevented from reacting in its vapor phase on the upstream side of position A3.
  • Second Embodiment
  • Referring to FIGS. 8 and 9, in MOCVD equipment 1 according to the present embodiment, a height of flow channel 11 along the width direction thereof at the holding surface of susceptor 5 is monotonically decreased in a linear manner from each end portion (a height h1) to a central portion (a height h2) of the holding surface of susceptor 5. As shown in FIG. 10, the height of flow channel 11 along the width direction thereof at the holding surface of susceptor 5 may be monotonically decreased in a curved manner from each of the end portions (height h1) to the central portion (height h2) of the holding surface of susceptor 5. This causes an increase in reaction rate of the reactant gas at the central portion of the holding surface of susceptor 5, so that the reaction rate of flow channel 11 in the width direction thereof can be made uniformized.
  • Third Embodiment
  • Referring to FIG. 11, flow channel 11 according to the present embodiment has a bottleneck portion 30 near position A3. The height of flow channel 11 at bottleneck portion 30 is once decreased, kept constant at its local minimum value, and then increased. In FIG. 11, a top surface of flow channel 11 at bottleneck portion 30 has a convex shape. As shown in FIG. 12, however, a bottom surface of flow channel 11 at bottleneck portion 30 may have a concave shape.
  • With the MOCVD equipment according to the present embodiment, it is possible to increase a growth rate on the upstream side of position S and obtain the growth rate as approximately the same as the one at the downstream side of position S. As a result, it is possible to grow a uniform.
  • In other words, as also seen from Examples 1 and 2 of the present invention in FIG. 7, there is exhibited a three times or more difference in growth rate at the holding surface between the upstream side and the downstream side. When a film is grown in such a state with susceptor 5 rotated, the film to be formed on substrate 20 is alternately grown at a lower rate and a higher rate. It is generally known that properties (e.g. crystallinity) of the film made by epitaxial growth vary depending on is growth rate. Alternate formation of epitaxial films having different film quality tend to produce a film having nonuniform film quality in a film thickness direction.
  • Accordingly, in the present embodiment, the height of flow channel 11 is once decreased on the upstream side of position S, so that diffusion of the raw material on the upstream side can be promoted and the growth rate on the upstream side can be increased. Furthermore, the height of flow channel 11 is later increased, so that it is possible to suppress non-linear increase in growth rate due to promoted diffusion of the raw material. Later in a region where the growth rate is saturated, the height of flow channel 11 is decreased again, so that linear increase in growth rate can be maintained. As such, it is possible to reduce a difference in growth rate between the upstream side and the downstream side while maintaining linearity of the growth rate at the holding surface.
  • It is preferable that the height of flow channel 11 starts decreasing between position A2 and position A3, and then increases on the upstream side of position A3. It is also preferable that flow channel 11 maintains the same shape in the width direction thereof. Furthermore, the position of bottleneck portion 30 may be located anywhere as long as it is located on the upstream side of position S.
  • The inventors of the present invention examined the effects of the fact that flow channel 11 has bottleneck portion 30 on the upstream side of position S. Specifically, as to the MOCVD equipment in FIG. 1, there was prepared equipment having bottleneck portion 30, as shown in FIG. 11, formed thereat (Example 3 of the present invention). This MOCVD equipment was used to form an InGaN layer on a surface of each of the six substrates held at the susceptor. There was examined the relation between a distance from the center of the susceptor and the InGaN growth rate. The results thereof are shown in FIG. 13, along with the results of Example 1 of the present invention. Note that the results in FIG. 13 are the ones along the center line (line C in FIG. 2) of the susceptor in the width direction, and a range shown by an arrow in FIG. 13 represents a position for holding the substrate at the holding surface of the susceptor.
  • FIG. 13 shows that Example 3 of the present invention has smaller difference in growth rate between the upstream side and the downstream side, when compared with Example 1 of the present invention. Specifically, Example 1 of the present invention has approximately 3.3 times difference in growth rate between the upstream side and the downstream side, whereas Example 3 of the present invention has approximately 2 times difference in growth rate between the upstream side and the downstream side.
  • Although the present invention has been described and illustrated in detail, it is clearly understood that the same is by way of illustration and example only and is not to be taken by way of limitation, the spirit and scope of the present invention being limited only by the terms of the appended claims.

Claims (6)

1. Metal organic chemical vapor deposition equipment for forming a film on a substrate by using a reactant gas, comprising:
a heating component heating said substrate and having a holding surface for holding said substrate; and
a flow channel for introducing said reactant gas to said substrate, wherein
said heating component is rotatable with said holding surface kept facing an inner portion of said flow channel, and
a height of said flow channel along a flow direction of said reactant gas is kept constant from an upstream side lateral end of a position for holding said substrate at said holding surface to an arbitrary position of said holding surface, and is monotonically decreased from said arbitrary position to a downstream side.
2. The metal organic chemical vapor deposition equipment according to claim 1, wherein monotonic decrease in height of said flow channel terminates at a position located on the downstream side of a position located on an upstream side of a downstream side lateral end of said substrate holding position by 2%.
3. The metal organic chemical vapor deposition equipment according to claim 2, wherein the monotonic decrease in height of said flow channel terminates at any of a position of said downstream side lateral end and a position located on the downstream side of the position of said downstream side lateral end.
4. The metal organic chemical vapor deposition equipment according to claim 1, wherein a height of said flow channel along a width direction is monotonically decreased at said holding surface from each end portion to a central portion of said holding surface.
5. The metal organic chemical vapor deposition equipment according to claim 4, wherein the height of said flow channel along the width direction is monotonically decreased in a curved manner.
6. The metal organic chemical vapor deposition equipment according to claim 1, wherein
said flow channel has a bottleneck portion on an upstream side of said arbitrary position, and
the height of said flow channel along the flow direction of said reactant gas at said bottleneck portion is once decreased and then increased.
US11/822,188 2006-07-05 2007-07-03 Metal organic chemical vapor deposition equipment Abandoned US20080006208A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/360,366 US8906162B2 (en) 2006-07-05 2012-01-27 Metal organic chemical vapor deposition equipment

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2006185740A JP4193883B2 (en) 2006-07-05 2006-07-05 Metalorganic vapor phase epitaxy system
JP2006-185740(P) 2006-07-05

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/360,366 Division US8906162B2 (en) 2006-07-05 2012-01-27 Metal organic chemical vapor deposition equipment

Publications (1)

Publication Number Publication Date
US20080006208A1 true US20080006208A1 (en) 2008-01-10

Family

ID=38625883

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/822,188 Abandoned US20080006208A1 (en) 2006-07-05 2007-07-03 Metal organic chemical vapor deposition equipment
US13/360,366 Expired - Fee Related US8906162B2 (en) 2006-07-05 2012-01-27 Metal organic chemical vapor deposition equipment

Family Applications After (1)

Application Number Title Priority Date Filing Date
US13/360,366 Expired - Fee Related US8906162B2 (en) 2006-07-05 2012-01-27 Metal organic chemical vapor deposition equipment

Country Status (7)

Country Link
US (2) US20080006208A1 (en)
EP (1) EP1882757B1 (en)
JP (1) JP4193883B2 (en)
KR (1) KR100910191B1 (en)
CN (1) CN101100743B (en)
CA (1) CA2592747A1 (en)
TW (1) TWI354322B (en)

Cited By (344)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100263588A1 (en) * 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
US20100307415A1 (en) * 2009-04-06 2010-12-09 Eric Shero Semiconductor processing reactor and components thereof
US20100322604A1 (en) * 2006-10-10 2010-12-23 Kyle Fondurulia Precursor delivery system
US20110070380A1 (en) * 2009-08-14 2011-03-24 Eric Shero Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US20150075430A1 (en) * 2013-09-16 2015-03-19 Applied Materials, Inc. Epi pre-heat ring
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US20150167161A1 (en) * 2012-06-07 2015-06-18 Soitec Gas injection components for deposition systems and related methods
US20150167168A1 (en) * 2013-12-18 2015-06-18 Lam Research Corporation Semiconductor substrate processing apparatus including uniformity baffles
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9177784B2 (en) 2012-05-07 2015-11-03 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US20150315706A1 (en) * 2014-05-05 2015-11-05 Lam Research Corporation Low volume showerhead with porous baffle
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10221484B2 (en) 2007-10-16 2019-03-05 Novellus Systems, Inc. Temperature controlled showerhead
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10400333B2 (en) 2011-03-04 2019-09-03 Novellus Systems, Inc. Hybrid ceramic showerhead
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2215282B1 (en) 2007-10-11 2016-11-30 Valence Process Equipment, Inc. Chemical vapor deposition reactor
JP4835666B2 (en) * 2008-09-10 2011-12-14 住友電気工業株式会社 Vapor growth method
JP2010232624A (en) * 2009-02-26 2010-10-14 Japan Pionics Co Ltd Vapor phase growth apparatus for group-iii nitride semiconductor
JP2011249675A (en) * 2010-05-28 2011-12-08 Showa Denko Kk Manufacturing method of semiconductor light-emitting device
CN105401130A (en) * 2014-09-15 2016-03-16 刘祥林 Hot wall metal-organic chemical vapor deposition (MOCVD) equipment
JP6722518B2 (en) * 2016-06-09 2020-07-15 新光電気工業株式会社 Sintered body, method of manufacturing the same, and electrostatic chuck
US11032945B2 (en) * 2019-07-12 2021-06-08 Applied Materials, Inc. Heat shield assembly for an epitaxy chamber
KR102564228B1 (en) * 2021-04-29 2023-08-09 주식회사 테스 Metal organic chemical vapor deposition apparatus

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4993360A (en) * 1988-03-28 1991-02-19 Kabushiki Kaisha Toshiba Vapor growth apparatus having a diffuser section containing a flow regulating member
US6214116B1 (en) * 1998-01-17 2001-04-10 Hanvac Corporation Horizontal reactor for compound semiconductor growth
US6218212B1 (en) * 1991-03-18 2001-04-17 Fujitsu Limited Apparatus for growing mixed compound semiconductor and growth method using the same

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4297162A (en) * 1979-10-17 1981-10-27 Texas Instruments Incorporated Plasma etching using improved electrode
US4651673A (en) * 1982-09-02 1987-03-24 At&T Technologies, Inc. CVD apparatus
JP2818776B2 (en) 1989-04-29 1998-10-30 豊田合成株式会社 Gallium nitride based compound semiconductor vapor phase growth equipment
JP2733518B2 (en) * 1989-04-29 1998-03-30 豊田合成株式会社 Compound semiconductor film vapor phase growth system
JPH04338636A (en) * 1991-05-15 1992-11-25 Furukawa Electric Co Ltd:The Semiconductor vapor growth device
JP3131005B2 (en) * 1992-03-06 2001-01-31 パイオニア株式会社 Compound semiconductor vapor deposition equipment
JPH08335558A (en) 1995-06-08 1996-12-17 Nissin Electric Co Ltd Thin film vapor phase deposition apparatus
KR100272752B1 (en) * 1996-03-22 2001-05-02 쓰치야 히로오 Vapor phase growth apparatus and vapor phase growth method
US20020011215A1 (en) * 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
JP4511006B2 (en) * 2000-09-01 2010-07-28 独立行政法人理化学研究所 Impurity doping method of semiconductor
JP2002261021A (en) * 2001-02-28 2002-09-13 Japan Pionics Co Ltd Apparatus and method for vapor-phase growth
TW544775B (en) * 2001-02-28 2003-08-01 Japan Pionics Chemical vapor deposition apparatus and chemical vapor deposition method
US8435379B2 (en) * 2007-05-08 2013-05-07 Applied Materials, Inc. Substrate cleaning chamber and cleaning and conditioning methods

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4993360A (en) * 1988-03-28 1991-02-19 Kabushiki Kaisha Toshiba Vapor growth apparatus having a diffuser section containing a flow regulating member
US6218212B1 (en) * 1991-03-18 2001-04-17 Fujitsu Limited Apparatus for growing mixed compound semiconductor and growth method using the same
US6214116B1 (en) * 1998-01-17 2001-04-10 Hanvac Corporation Horizontal reactor for compound semiconductor growth

Cited By (454)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100322604A1 (en) * 2006-10-10 2010-12-23 Kyle Fondurulia Precursor delivery system
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10584415B2 (en) 2007-10-16 2020-03-10 Novellus Systems, Inc. Temperature controlled showerhead
US10221484B2 (en) 2007-10-16 2019-03-05 Novellus Systems, Inc. Temperature controlled showerhead
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10480072B2 (en) * 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US20160289828A1 (en) * 2009-04-06 2016-10-06 ASM IP Holding, B.V. Semiconductor processing reactor and components thereof
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US20100307415A1 (en) * 2009-04-06 2010-12-09 Eric Shero Semiconductor processing reactor and components thereof
US9394608B2 (en) * 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20100263588A1 (en) * 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110070380A1 (en) * 2009-08-14 2011-03-24 Eric Shero Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10400333B2 (en) 2011-03-04 2019-09-03 Novellus Systems, Inc. Hybrid ceramic showerhead
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9340874B2 (en) 2011-11-23 2016-05-17 Asm Ip Holding B.V. Chamber sealing member
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US9177784B2 (en) 2012-05-07 2015-11-03 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US20150167161A1 (en) * 2012-06-07 2015-06-18 Soitec Gas injection components for deposition systems and related methods
US9299595B2 (en) 2012-06-27 2016-03-29 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9228259B2 (en) 2013-02-01 2016-01-05 Asm Ip Holding B.V. Method for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US10047457B2 (en) * 2013-09-16 2018-08-14 Applied Materials, Inc. EPI pre-heat ring
US20150075430A1 (en) * 2013-09-16 2015-03-19 Applied Materials, Inc. Epi pre-heat ring
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150167168A1 (en) * 2013-12-18 2015-06-18 Lam Research Corporation Semiconductor substrate processing apparatus including uniformity baffles
US10351955B2 (en) * 2013-12-18 2019-07-16 Lam Research Corporation Semiconductor substrate processing apparatus including uniformity baffles
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US20150315706A1 (en) * 2014-05-05 2015-11-05 Lam Research Corporation Low volume showerhead with porous baffle
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10494717B2 (en) 2015-05-26 2019-12-03 Lam Research Corporation Anti-transient showerhead
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2021-04-26 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process

Also Published As

Publication number Publication date
TWI354322B (en) 2011-12-11
TW200818270A (en) 2008-04-16
JP2008016609A (en) 2008-01-24
KR20080004404A (en) 2008-01-09
CA2592747A1 (en) 2008-01-05
US20120118234A1 (en) 2012-05-17
CN101100743B (en) 2011-11-16
EP1882757A1 (en) 2008-01-30
US8906162B2 (en) 2014-12-09
KR100910191B1 (en) 2009-07-30
CN101100743A (en) 2008-01-09
EP1882757B1 (en) 2013-10-02
JP4193883B2 (en) 2008-12-10

Similar Documents

Publication Publication Date Title
US8906162B2 (en) Metal organic chemical vapor deposition equipment
US10604847B2 (en) Gas distribution system, reactor including the system, and methods of using the same
US6666921B2 (en) Chemical vapor deposition apparatus and chemical vapor deposition method
EP1432844B1 (en) Apparatus for inverted cvd
US9574268B1 (en) Pulsed valve manifold for atomic layer deposition
EP1528122A1 (en) Chemical vapor deposition unit
US8999063B2 (en) Susceptor, semiconductor manufacturing apparatus, and semiconductor manufacturing method
JPH0620960A (en) Assembly of distribution source
KR101026446B1 (en) Metalorganic chemical vapor deposition reactor
US20160194753A1 (en) SiC-FILM FORMATION DEVICE AND METHOD FOR PRODUCING SiC FILM
US20190233968A1 (en) Gas injector for chemical vapor deposition system
KR20230038514A (en) Device and method for achieving homogeneous growth and doping in semiconductor wafers having a diameter greater than 100 mm
US20180119277A1 (en) Gas Distribution Apparatus for Deposition System
KR102063490B1 (en) Emiconductor manufacturing apparatus
US20230097346A1 (en) Flow guide apparatuses for flow uniformity control in process chambers
US20220403547A1 (en) Manufacturing apparatus for group-iii compound semiconductor crystal
US20220301829A1 (en) Temperature controlled reaction chamber
JPH01257322A (en) Manufacture of semiconductor
JPS62216993A (en) Semiconductor producing device

Legal Events

Date Code Title Description
AS Assignment

Owner name: SUMITOMO ELECTRIC INDUSTRIES, LTD., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:UENO, MASAKI;UEDA, TOSHIO;TAKASUKA, EIRYO;REEL/FRAME:019566/0467

Effective date: 20070601

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION