JP2009088421A - Semiconductor device manufacturing method - Google Patents

Semiconductor device manufacturing method Download PDF

Info

Publication number
JP2009088421A
JP2009088421A JP2007259355A JP2007259355A JP2009088421A JP 2009088421 A JP2009088421 A JP 2009088421A JP 2007259355 A JP2007259355 A JP 2007259355A JP 2007259355 A JP2007259355 A JP 2007259355A JP 2009088421 A JP2009088421 A JP 2009088421A
Authority
JP
Japan
Prior art keywords
film
gas
semiconductor device
silicide layer
manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007259355A
Other languages
Japanese (ja)
Inventor
Shuhei Murata
周平 村田
Takuya Futase
卓也 二瀬
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Technology Corp
Original Assignee
Renesas Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Technology Corp filed Critical Renesas Technology Corp
Priority to JP2007259355A priority Critical patent/JP2009088421A/en
Publication of JP2009088421A publication Critical patent/JP2009088421A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a semiconductor device manufacturing method for preventing the abnormal growth of a metal silicide layer. <P>SOLUTION: A gate insulating film 5, gate electrodes 6a, 6b, a source/drain n<SP>+</SP>-type semiconductor region 7b, and a p<SP>+</SP>-type semiconductor region 8b are formed on a semiconductor substrate 1. Then, the metal silicide layer 13 is formed on the gate electrodes 6a, 6b and the source/drain region with salicide technology. After plasma treatment is applied to the surface of the metal silicide layer 13 with reducing gas, an insulating film 21 formed of silicon nitride is accumulated on the semiconductor substrate 1 including the metal silicide layer 13 in a plasma CVD method without exposing the semiconductor substrate 1 to the atmosphere. <P>COPYRIGHT: (C)2009,JPO&INPIT

Description

本発明は、半導体装置の製造方法に関し、特に、金属シリサイド層上に窒化シリコン膜を形成した半導体素子の製造に適用して有効な技術に関する。   The present invention relates to a method for manufacturing a semiconductor device, and more particularly to a technique effective when applied to the manufacture of a semiconductor element in which a silicon nitride film is formed on a metal silicide layer.

半導体装置の高集積化が進むにつれて、電界効果トランジスタ(MISFET:Metal Insulator Semiconductor Field Effect Transistor)はスケーリング則に従い微細化されるが、ゲートやソース・ドレインの抵抗が増大して電界効果トランジスタを微細化しても高速動作が得られないという問題が生ずる。そこで、ゲートを構成する導電膜およびソース・ドレインを構成する半導体領域の表面に自己整合により低抵抗の金属シリサイド層、例えばニッケルシリサイド層またはコバルトシリサイド層などを形成することにより、ゲートやソース・ドレインを低抵抗化するサリサイド技術が検討されている。   As semiconductor devices become more highly integrated, field effect transistors (MISFETs) are miniaturized according to scaling rules, but the resistance of gates, sources and drains increases, and field effect transistors are miniaturized. However, there arises a problem that high-speed operation cannot be obtained. Therefore, by forming a low-resistance metal silicide layer such as a nickel silicide layer or a cobalt silicide layer by self-alignment on the surface of the conductive film constituting the gate and the semiconductor region constituting the source / drain, the gate, source / drain, etc. The salicide technology to reduce the resistance is being studied.

特開2003−86569号公報(特許文献1)には、CoSi膜表面の自然酸化膜を除去するプラズマ処理方法に関する技術が記載されている。 Japanese Patent Laying-Open No. 2003-86569 (Patent Document 1) describes a technique related to a plasma processing method for removing a natural oxide film on the surface of a CoSi 2 film.

特開平10−112446号公報(特許文献2)には、Tiシリサイド層上のTiO層を除去してからTiN層を形成する技術が記載されている。 Japanese Patent Laid-Open No. 10-112446 (Patent Document 2) describes a technique for forming a TiN layer after removing a TiO x layer on a Ti silicide layer.

特開2001−284284号公報(特許文献3)には、CoSi層表面の自然酸化膜を除去してからTiN層を成長させる技術が記載されている。 Japanese Patent Application Laid-Open No. 2001-284284 (Patent Document 3) describes a technique for growing a TiN layer after removing a natural oxide film on the surface of a CoSi 2 layer.

国際公開WO2007/020684号パンフレット(特許文献4)には、ダマシン法を用いて形成したCu配線上にCuの拡散を防止する窒化シリコン膜を形成する技術が記載されている。
特開2003−86569号公報 特開平10−112446号公報 特開2001−284284号公報 国際公開WO2007/020684号パンフレット
International Publication WO2007 / 020684 pamphlet (Patent Document 4) describes a technique of forming a silicon nitride film for preventing Cu diffusion on a Cu wiring formed by using a damascene method.
JP 2003-86569 A JP-A-10-112446 JP 2001-284284 A International Publication WO2007 / 020684 Pamphlet

本発明者の検討によれば、次のことが分かった。   According to the study of the present inventor, the following has been found.

ゲートを構成する導電膜およびソース・ドレインを構成する半導体領域の表面にサリサイドプロセスにより金属シリサイド層を形成した後、その金属シリサイド層の表面を含む半導体基板上に窒化シリコン膜を形成してから、その窒化シリコン膜上に厚い酸化シリコンの層間絶縁膜を形成し、この層間絶縁膜にコンタクトホールを開口する。コンタクトホールを開口する際には、まず窒化シリコン膜をエッチングストッパとして機能させて層間絶縁膜をドライエッチングしてから、コンタクトホールの底部で窒化シリコン膜をドライエッチングする。コンタクトホール形成後、コンタクトホール内にプラグを埋め込む。   After forming a metal silicide layer by a salicide process on the surface of the conductive film constituting the gate and the semiconductor region constituting the source / drain, after forming a silicon nitride film on the semiconductor substrate including the surface of the metal silicide layer, A thick silicon oxide interlayer insulating film is formed on the silicon nitride film, and contact holes are opened in the interlayer insulating film. When opening the contact hole, first, the silicon nitride film functions as an etching stopper to dry-etch the interlayer insulating film, and then the silicon nitride film is dry-etched at the bottom of the contact hole. After the contact hole is formed, a plug is embedded in the contact hole.

しかしながら、金属シリサイド層の表面に自然酸化膜が形成されている状態で窒化シリコン膜を形成すると、金属シリサイド層と窒化シリコン膜の界面に自然酸化膜が残存した状態となる。金属シリサイド層と窒化シリコン膜の界面に自然酸化膜が残存していると、窒化シリコン膜の成膜後の種々の加熱工程(例えば種々の絶縁膜や導体膜の成膜工程のように半導体基板の加熱を伴う工程)において、金属シリサイド層表面にある自然酸化膜の酸素に起因して、金属シリサイド層が部分的に異常成長してしまうことが、本発明者の検討により分かった。そのような金属シリサイド層の異常成長は、金属シリサイド層の抵抗の増加を招き、また、金属シリサイド層がチャネル部に異常成長していると、電界効果トランジスタのソース・ドレイン間のリーク電流の増大を招く可能性がある。これは、半導体装置の性能を低下させる。   However, when the silicon nitride film is formed in a state where the natural oxide film is formed on the surface of the metal silicide layer, the natural oxide film remains at the interface between the metal silicide layer and the silicon nitride film. If a natural oxide film remains at the interface between the metal silicide layer and the silicon nitride film, various heating processes after the formation of the silicon nitride film (for example, various insulating films and conductive film deposition processes) The inventors have found that the metal silicide layer partially grows abnormally due to the oxygen in the natural oxide film on the surface of the metal silicide layer in the process involving heating of the metal silicide layer. Such abnormal growth of the metal silicide layer causes an increase in resistance of the metal silicide layer, and if the metal silicide layer abnormally grows in the channel portion, an increase in leakage current between the source and drain of the field effect transistor. May be incurred. This degrades the performance of the semiconductor device.

本発明の目的は、半導体装置の性能を向上させることができる技術を提供することにある。   An object of the present invention is to provide a technique capable of improving the performance of a semiconductor device.

本発明の前記ならびにその他の目的と新規な特徴は、本明細書の記述および添付図面から明らかになるであろう。   The above and other objects and novel features of the present invention will be apparent from the description of this specification and the accompanying drawings.

本願において開示される発明のうち、代表的なものの概要を簡単に説明すれば、次のとおりである。   Of the inventions disclosed in the present application, the outline of typical ones will be briefly described as follows.

本発明は、半導体基板に形成された半導体領域の表面に金属シリサイド層を形成し、金属シリサイド層の表面を還元性ガスのプラズマで処理してから、半導体基板を大気中にさらすことなく、金属シリサイド層上を含む半導体基板上に窒化シリコン膜をプラズマCVD法で形成するものである。   The present invention forms a metal silicide layer on the surface of a semiconductor region formed on a semiconductor substrate, treats the surface of the metal silicide layer with plasma of a reducing gas, and then exposes the semiconductor substrate to the atmosphere without exposing it to the atmosphere. A silicon nitride film is formed on the semiconductor substrate including the silicide layer by a plasma CVD method.

本願において開示される発明のうち、代表的なものによって得られる効果を簡単に説明すれば以下のとおりである。   Among the inventions disclosed in the present application, effects obtained by typical ones will be briefly described as follows.

半導体装置の性能を向上させることができる。   The performance of the semiconductor device can be improved.

以下の実施の形態においては便宜上その必要があるときは、複数のセクションまたは実施の形態に分割して説明するが、特に明示した場合を除き、それらはお互いに無関係なものではなく、一方は他方の一部または全部の変形例、詳細、補足説明等の関係にある。また、以下の実施の形態において、要素の数等(個数、数値、量、範囲等を含む)に言及する場合、特に明示した場合および原理的に明らかに特定の数に限定される場合等を除き、その特定の数に限定されるものではなく、特定の数以上でも以下でも良い。さらに、以下の実施の形態において、その構成要素(要素ステップ等も含む)は、特に明示した場合および原理的に明らかに必須であると考えられる場合等を除き、必ずしも必須のものではないことは言うまでもない。同様に、以下の実施の形態において、構成要素等の形状、位置関係等に言及するときは、特に明示した場合および原理的に明らかにそうでないと考えられる場合等を除き、実質的にその形状等に近似または類似するもの等を含むものとする。このことは、上記数値および範囲についても同様である。   In the following embodiments, when it is necessary for the sake of convenience, the description will be divided into a plurality of sections or embodiments. However, unless otherwise specified, they are not irrelevant to each other. There are some or all of the modifications, details, supplementary explanations, and the like. Further, in the following embodiments, when referring to the number of elements (including the number, numerical value, quantity, range, etc.), especially when clearly indicated and when clearly limited to a specific number in principle, etc. Except, it is not limited to the specific number, and may be more or less than the specific number. Further, in the following embodiments, the constituent elements (including element steps and the like) are not necessarily indispensable unless otherwise specified and apparently essential in principle. Needless to say. Similarly, in the following embodiments, when referring to the shapes, positional relationships, etc. of the components, etc., the shapes are substantially the same unless otherwise specified, or otherwise apparent in principle. And the like are included. The same applies to the above numerical values and ranges.

以下、本発明の実施の形態を図面に基づいて詳細に説明する。なお、実施の形態を説明するための全図において、同一の機能を有する部材には同一の符号を付し、その繰り返しの説明は省略する。また、以下の実施の形態では、特に必要なとき以外は同一または同様な部分の説明を原則として繰り返さない。   Hereinafter, embodiments of the present invention will be described in detail with reference to the drawings. Note that components having the same function are denoted by the same reference symbols throughout the drawings for describing the embodiments, and the repetitive description thereof will be omitted. In the following embodiments, the description of the same or similar parts will not be repeated in principle unless particularly necessary.

また、実施の形態で用いる図面においては、断面図であっても図面を見易くするためにハッチングを省略する場合もある。また、平面図であっても図面を見易くするためにハッチングを付す場合もある。   In the drawings used in the embodiments, hatching may be omitted even in a cross-sectional view so as to make the drawings easy to see. Further, even a plan view may be hatched to make the drawing easy to see.

(実施の形態1)
本発明の一実施の形態の半導体装置の製造工程を図面を参照して説明する。図1〜図10は、本発明の一実施の形態である半導体装置、例えばCMISFET(Complementary Metal Insulator Semiconductor Field Effect Transistor)を有する半導体装置の製造工程中の要部断面図である。
(Embodiment 1)
A manufacturing process of a semiconductor device according to an embodiment of the present invention will be described with reference to the drawings. FIG. 1 to FIG. 10 are cross-sectional views of a main part during a manufacturing process of a semiconductor device according to an embodiment of the present invention, for example, a semiconductor device having a CMISFET (Complementary Metal Insulator Semiconductor Field Effect Transistor).

まず、図1に示されるように、例えば1〜10Ωcm程度の比抵抗を有するp型の単結晶シリコンなどからなる半導体基板(半導体ウエハ)1を準備する。それから、半導体基板1の主面に素子分離領域2を形成する。素子分離領域2は酸化シリコンなどの絶縁体からなり、例えばSTI(Shallow Trench Isolation)法またはLOCOS(Local Oxidization of Silicon )法などにより形成される。例えば、半導体基板1に形成された溝(素子分離溝)2aに埋め込まれた絶縁膜により、素子分離領域2を形成することができる。   First, as shown in FIG. 1, a semiconductor substrate (semiconductor wafer) 1 made of, for example, p-type single crystal silicon having a specific resistance of about 1 to 10 Ωcm is prepared. Then, the element isolation region 2 is formed on the main surface of the semiconductor substrate 1. The element isolation region 2 is made of an insulator such as silicon oxide, and is formed by, for example, an STI (Shallow Trench Isolation) method or a LOCOS (Local Oxidization of Silicon) method. For example, the element isolation region 2 can be formed by an insulating film embedded in a groove (element isolation groove) 2 a formed in the semiconductor substrate 1.

次に、図2に示されるように、半導体基板1の主面から所定の深さに渡ってp型ウエル3およびn型ウエル4を形成する。p型ウエル3は、pチャネル型MISFET形成予定領域を覆うフォトレジスト膜(図示せず)をイオン注入阻止マスクとして、nチャネル型MISFET形成予定領域の半導体基板1に例えばホウ素(B)などのp型の不純物をイオン注入することなどによって形成することができる。また、n型ウエル4は、nチャネル型MISFET形成予定領域を覆う他のフォトレジスト膜(図示せず)をイオン注入阻止マスクとして、pチャネル型MISFET形成予定領域の半導体基板1に例えばリン(P)またはヒ素(As)などのn型の不純物をイオン注入することなどによって形成することができる。   Next, as shown in FIG. 2, a p-type well 3 and an n-type well 4 are formed from the main surface of the semiconductor substrate 1 to a predetermined depth. The p-type well 3 is formed on the semiconductor substrate 1 in the n-channel type MISFET formation region by using a photoresist film (not shown) covering the p-channel type MISFET formation region as a mask for ion implantation. It can be formed by ion implantation of a type impurity. The n-type well 4 is formed on the semiconductor substrate 1 in the p-channel type MISFET formation region with, for example, phosphorus (P) using another photoresist film (not shown) covering the n-channel type MISFET formation region as an ion implantation blocking mask. ) Or n-type impurities such as arsenic (As).

次に、例えばフッ酸(HF)水溶液を用いたウェットエッチングなどにより半導体基板1の表面を清浄化(洗浄)した後、半導体基板1の表面(すなわちp型ウエル3およびn型ウエル4の表面)上にゲート絶縁膜5を形成する。ゲート絶縁膜5は、例えば薄い酸化シリコン膜などからなり、例えば熱酸化法などによって形成することができる。   Next, the surface of the semiconductor substrate 1 is cleaned (washed) by wet etching using a hydrofluoric acid (HF) aqueous solution, for example, and then the surface of the semiconductor substrate 1 (that is, the surface of the p-type well 3 and the n-type well 4). A gate insulating film 5 is formed thereon. The gate insulating film 5 is made of, for example, a thin silicon oxide film, and can be formed by, for example, a thermal oxidation method.

次に、半導体基板1上(すなわちp型ウエル3およびn型ウエル4のゲート絶縁膜5上)に、ゲート電極形成用の導体膜として、多結晶シリコン膜のようなシリコン膜(導体膜)6を形成する。シリコン膜6のうちのnチャネル型MISFET形成予定領域(後述するゲート電極6aとなる領域)は、フォトレジスト膜(図示せず)をマスクとして用いてリン(P)またはヒ素(As)などのn型の不純物をイオン注入することなどにより、低抵抗のn型半導体膜(ドープトポリシリコン膜)とされている。また、シリコン膜6のうちのpチャネル型MISFET形成予定領域(後述するゲート電極6bとなる領域)は、他のフォトレジスト膜(図示せず)をマスクとして用いてホウ素(B)などのp型の不純物をイオン注入することなどにより、低抵抗のp型半導体膜(ドープトポリシリコン膜)とされている。また、シリコン膜6は、成膜時にはアモルファスシリコン膜であったものを、成膜後(イオン注入後)の熱処理により多結晶シリコン膜に変えることもできる。   Next, a silicon film (conductor film) 6 such as a polycrystalline silicon film is formed on the semiconductor substrate 1 (that is, on the gate insulating film 5 of the p-type well 3 and the n-type well 4) as a conductor film for forming a gate electrode. Form. An n channel MISFET formation planned region (a region to be a gate electrode 6a described later) in the silicon film 6 is made of n (such as phosphorus (P) or arsenic (As)) using a photoresist film (not shown) as a mask. A low-resistance n-type semiconductor film (doped polysilicon film) is formed by ion implantation of a type impurity. Further, a p-channel type MISFET formation scheduled region (a region to be a gate electrode 6b described later) in the silicon film 6 is a p-type such as boron (B) using another photoresist film (not shown) as a mask. As a result, a low-resistance p-type semiconductor film (doped polysilicon film) is obtained. Further, the silicon film 6 can be changed from an amorphous silicon film at the time of film formation to a polycrystalline silicon film by heat treatment after film formation (after ion implantation).

次に、図3に示されるように、シリコン膜6をフォトリソグラフィ法およびドライエッチング法を用いてパターニングすることにより、ゲート電極6a,6bを形成する。   Next, as shown in FIG. 3, the gate electrodes 6a and 6b are formed by patterning the silicon film 6 using a photolithography method and a dry etching method.

nチャネル型MISFETのゲート電極となるゲート電極6aは、n型の不純物を導入した多結晶シリコン(n型半導体膜、ドープトポリシリコン膜)からなり、p型ウエル3上にゲート絶縁膜5を介して形成される。すなわち、ゲート電極6aは、p型ウエル3のゲート絶縁膜5上に形成される。また、pチャネル型MISFETのゲート電極となるゲート電極6bは、p型の不純物を導入した多結晶シリコン(p型半導体膜、ドープトポリシリコン膜)からなり、n型ウエル4上にゲート絶縁膜5を介して形成される。すなわち、ゲート電極6bは、n型ウエル4のゲート絶縁膜5上に形成される。ゲート電極6a,6bのゲート長は、必要に応じて変更できるが、例えば50nm程度とすることができる。   The gate electrode 6a serving as the gate electrode of the n-channel type MISFET is made of polycrystalline silicon (n-type semiconductor film, doped polysilicon film) into which an n-type impurity is introduced, and the gate insulating film 5 is formed on the p-type well 3. Formed through. That is, the gate electrode 6 a is formed on the gate insulating film 5 of the p-type well 3. The gate electrode 6b serving as the gate electrode of the p-channel type MISFET is made of polycrystalline silicon (p-type semiconductor film, doped polysilicon film) into which p-type impurities are introduced, and a gate insulating film is formed on the n-type well 4. 5 is formed. That is, the gate electrode 6 b is formed on the gate insulating film 5 of the n-type well 4. The gate lengths of the gate electrodes 6a and 6b can be changed as necessary, but can be, for example, about 50 nm.

次に、図4に示されるように、p型ウエル3のゲート電極6aの両側の領域にリン(P)またはヒ素(As)などのn型の不純物をイオン注入することにより、(一対の)n型半導体領域7aを形成し、n型ウエル4のゲート電極6bの両側の領域にホウ素(B)などのp型の不純物をイオン注入することにより、(一対の)p型半導体領域8aを形成する。n型半導体領域7aおよびp型半導体領域8aの深さ(接合深さ)は、例えば30nm程度とすることができる。 Next, as shown in FIG. 4, n-type impurities such as phosphorus (P) or arsenic (As) are ion-implanted into the regions on both sides of the gate electrode 6a of the p-type well 3 (a pair). An n type semiconductor region 7 a is formed and a p type impurity such as boron (B) is ion-implanted into regions on both sides of the gate electrode 6 b of the n type well 4, thereby (a pair of) p type semiconductor regions 8 a. Form. The depth (junction depth) of the n type semiconductor region 7a and the p type semiconductor region 8a can be set to, for example, about 30 nm.

次に、ゲート電極6a,6bの側壁上に、絶縁膜として、例えば酸化シリコンまたは窒化シリコンあるいはそれら絶縁膜の積層膜などからなる側壁スペーサまたはサイドウォール(側壁絶縁膜)9を形成する。サイドウォール9は、例えば、半導体基板1上に酸化シリコン膜または窒化シリコン膜あるいはそれらの積層膜を堆積し、この酸化シリコン膜または窒化シリコン膜あるいはそれらの積層膜をRIE(Reactive Ion Etching)法などにより異方性エッチングすることによって形成することができる。   Next, sidewall spacers or sidewalls (sidewall insulating films) 9 made of, for example, silicon oxide or silicon nitride or a laminated film of these insulating films are formed on the sidewalls of the gate electrodes 6a and 6b. For example, the sidewall 9 is formed by depositing a silicon oxide film or a silicon nitride film or a laminated film thereof on the semiconductor substrate 1 and depositing the silicon oxide film or the silicon nitride film or the laminated film on the RIE (Reactive Ion Etching) method or the like. Can be formed by anisotropic etching.

サイドウォール9の形成後、(一対の)n型半導体領域7b(ソース、ドレイン)を、例えば、p型ウエル3のゲート電極6aおよびサイドウォール9の両側の領域にリン(P)またはヒ素(As)などのn型の不純物をイオン注入することにより形成する。また、(一対の)p型半導体領域8b(ソース、ドレイン)を、例えば、n型ウエル4のゲート電極6bおよびサイドウォール9の両側の領域にホウ素(B)などのp型の不純物をイオン注入することにより形成する。n型半導体領域7bを先に形成しても、あるいはp型半導体領域8bを先に形成してもよい。イオン注入後、導入した不純物の活性化のためのアニール処理を行うこともできる。n型半導体領域7bおよびp型半導体領域8bの深さ(接合深さ)は、例えば80nm程度とすることができる。 After the formation of the sidewalls 9, the (pair) n + type semiconductor regions 7 b (source and drain) are formed, for example, on the gate electrode 6 a of the p-type well 3 and the regions on both sides of the sidewalls 9 with phosphorus (P) or arsenic ( An n-type impurity such as (As) is ion-implanted. Further, (a pair of) p + -type semiconductor regions 8b (source and drain) are ionized with p-type impurities such as boron (B) in the regions on both sides of the gate electrode 6b and sidewalls 9 of the n-type well 4, for example. It is formed by injection. The n + type semiconductor region 7b may be formed first, or the p + type semiconductor region 8b may be formed first. After the ion implantation, an annealing process for activating the introduced impurities can be performed. The depth (junction depth) of the n + type semiconductor region 7b and the p + type semiconductor region 8b can be set to, for example, about 80 nm.

型半導体領域7bは、n型半導体領域7aよりも不純物濃度が高く、p型半導体領域8bは、p型半導体領域8aよりも不純物濃度が高い。これにより、nチャネル型MISFETのソースまたはドレインとして機能するn型の半導体領域(不純物拡散層)が、n型半導体領域(不純物拡散層)7bおよびn型半導体領域7aにより形成され、pチャネル型MISFETのソースまたはドレインとして機能するp型の半導体領域(不純物拡散層)が、p型半導体領域(不純物拡散層)8bおよびp型半導体領域8aにより形成される。従って、nチャネル型MISFETおよびpチャネル型MISFETのソース・ドレイン領域は、LDD(Lightly doped Drain)構造を有している。n型半導体領域7aは、ゲート電極6aに対して自己整合的に形成され、n型半導体領域7bは、ゲート電極6aの側壁上に形成されたサイドウォール9に対して自己整合的に形成され、p型半導体領域8aは、ゲート電極6bに対して自己整合的に形成され、p型半導体領域8bは、ゲート電極6bの側壁上に形成されたサイドウォール9に対して自己整合的に形成される。 The n + type semiconductor region 7b has a higher impurity concentration than the n type semiconductor region 7a, and the p + type semiconductor region 8b has a higher impurity concentration than the p type semiconductor region 8a. Thus, an n-type semiconductor region (impurity diffusion layer) functioning as a source or drain of the n-channel MISFET is formed by the n + -type semiconductor region (impurity diffusion layer) 7b and the n -type semiconductor region 7a, and the p-channel A p-type semiconductor region (impurity diffusion layer) functioning as a source or drain of the type MISFET is formed by the p + -type semiconductor region (impurity diffusion layer) 8b and the p -type semiconductor region 8a. Therefore, the source / drain regions of the n-channel MISFET and the p-channel MISFET have an LDD (Lightly doped Drain) structure. The n type semiconductor region 7a is formed in a self-aligned manner with respect to the gate electrode 6a, and the n + type semiconductor region 7b is formed in a self-aligned manner with respect to the sidewall 9 formed on the side wall of the gate electrode 6a. The p type semiconductor region 8a is formed in a self-aligned manner with respect to the gate electrode 6b, and the p + type semiconductor region 8b is formed in a self-aligned manner with respect to the sidewall 9 formed on the side wall of the gate electrode 6b. Formed.

このようにして、p型ウエル3にnチャネル型MISFET(Metal Insulator Semiconductor Field Effect Transistor)Qnが形成され、n型ウエル4にpチャネル型MISFET(Metal Insulator Semiconductor Field Effect Transistor)Qpが形成され、図4の構造が得られる。なお、n型半導体領域7b(第1半導体領域)は、nチャネル型MISFETQnのソースまたはドレイン用の半導体領域とみなすことができ、p型半導体領域8b(第1半導体領域)は、pチャネル型MISFETQpのソースまたはドレイン用の半導体領域とみなすことができる。 In this way, an n-channel MISFET (Metal Insulator Semiconductor Field Effect Transistor) Qn is formed in the p-type well 3, and a p-channel MISFET (Metal Insulator Semiconductor Field Effect Transistor) Qp is formed in the n-type well 4. A structure of 4 is obtained. The n + type semiconductor region 7b (first semiconductor region) can be regarded as a semiconductor region for the source or drain of the n channel MISFET Qn, and the p + type semiconductor region 8b (first semiconductor region) is a p channel. It can be regarded as a semiconductor region for the source or drain of the type MISFET Qp.

次に、サリサイド(Salicide:Self Aligned Silicide)技術により、nチャネル型MISFETQnのゲート電極6aおよびソース・ドレイン領域(ここではn型半導体領域7b)の表面と、pチャネル型MISFETQpのゲート電極6bおよびソース・ドレイン領域(ここではp型半導体領域8b)の表面とに、低抵抗の金属シリサイド層(後述の金属シリサイド層13に対応)を形成する。以下に、この金属シリサイド層の形成工程について説明する。 Next, by the salicide (Salicide: Self Aligned Silicide) technique, the surface of the gate electrode 6a and the source / drain region (here, n + -type semiconductor region 7b) of the n-channel type MISFET Qn, the gate electrode 6b of the p-channel type MISFET Qp, and A low-resistance metal silicide layer (corresponding to a metal silicide layer 13 described later) is formed on the surface of the source / drain region (here, the p + -type semiconductor region 8b). Below, the formation process of this metal silicide layer is demonstrated.

上記のようにして図4の構造が得られた後、図5に示されるように、ゲート電極6a,6b、n型半導体領域7bおよびp型半導体領域8bの表面を露出させてから、ゲート電極6a,6b、n型半導体領域7bおよびp型半導体領域8b上を含む半導体基板1の主面(全面)上に、ゲート電極6a,6bを覆うように、金属膜11を例えばスパッタリング法を用いて形成(堆積)する。それから、金属膜11上にバリア膜12を形成(堆積)する。 After the structure of FIG. 4 is obtained as described above, as shown in FIG. 5, after exposing the surfaces of the gate electrodes 6a and 6b, the n + type semiconductor region 7b and the p + type semiconductor region 8b, On the main surface (entire surface) of the semiconductor substrate 1 including the gate electrodes 6a and 6b, the n + type semiconductor region 7b and the p + type semiconductor region 8b, a metal film 11 is sputtered so as to cover the gate electrodes 6a and 6b, for example. It is formed (deposited) using a method. Then, a barrier film 12 is formed (deposited) on the metal film 11.

また、半導体基板1上に金属膜11を堆積する前に、HFガス、NFガス、NHガスまたはHガスのうち少なくともいずれか一つを用いたドライクリーニング処理を行って、ゲート電極6a,6b、n型半導体領域7b及びp型半導体領域8bの表面の自然酸化膜を除去した後、半導体基板1を大気中(酸素含有雰囲気中)にさらすことなく、金属膜11の形成工程およびバリア膜12の形成工程を行えば、より好ましい。 Further, before the metal film 11 is deposited on the semiconductor substrate 1, a dry cleaning process using at least one of HF gas, NF 3 gas, NH 3 gas, and H 2 gas is performed to obtain the gate electrode 6a. , 6b, the n + type semiconductor region 7b and the p + type semiconductor region 8b after removing the natural oxide film on the surface thereof, the formation process of the metal film 11 without exposing the semiconductor substrate 1 to the atmosphere (in an oxygen-containing atmosphere). It is more preferable to perform the step of forming the barrier film 12.

金属膜11は、例えばニッケル(Ni)膜からなり、その厚さ(堆積膜厚)は、例えば9nm程度とすることができる。Ni(ニッケル)膜以外にも、例えばNi−Pt合金膜(NiとPtの合金膜)、Ni−V合金膜(NiとVの合金膜)、Ni−Pd合金膜(NiとPdの合金膜)、Ni−Yb合金膜(NiとYbの合金膜)またはNi−Er合金膜(NiとErの合金膜)のようなニッケル合金膜などを金属膜11として用いることができる。バリア膜12は、例えば窒化チタン(TiN)膜またはチタン(Ti)膜からなり、その厚さ(堆積膜厚)は、例えば15nm程度とすることができる。バリア膜12は、金属膜11の酸化防止や半導体基板1に働く応力の制御などのために金属膜11上に設けられる。   The metal film 11 is made of, for example, a nickel (Ni) film, and the thickness (deposited film thickness) can be set to, for example, about 9 nm. In addition to Ni (nickel) film, for example, Ni-Pt alloy film (Ni and Pt alloy film), Ni-V alloy film (Ni and V alloy film), Ni-Pd alloy film (Ni and Pd alloy film) ), A nickel alloy film such as a Ni—Yb alloy film (Ni—Yb alloy film) or a Ni—Er alloy film (Ni—Er alloy film) can be used as the metal film 11. The barrier film 12 is made of, for example, a titanium nitride (TiN) film or a titanium (Ti) film, and the thickness (deposited film thickness) can be set to, for example, about 15 nm. The barrier film 12 is provided on the metal film 11 in order to prevent oxidation of the metal film 11 and control stress acting on the semiconductor substrate 1.

金属膜11およびバリア膜12を形成した後、半導体基板1に第1の熱処理(アニール処理)を施すことで、ゲート電極6a,6bを構成する多結晶シリコン膜と金属膜11、およびn型半導体領域7bおよびp型半導体領域8bを構成する単結晶シリコンと金属膜11を選択的に反応させて、金属・半導体反応層である金属シリサイド層13を形成する。ゲート電極6a,6b、n型半導体領域7bおよびp型半導体領域8bの各上部(上層部)と金属膜11とが反応することにより金属シリサイド層13が形成されるので、金属シリサイド層13は、ゲート電極6a,6b、n型半導体領域7bおよびp型半導体領域8bの各表面(上層部)に形成される。金属シリサイド層13を形成するための第1の熱処理は、不活性ガス(例えばアルゴン(Ar)ガス、ヘリウム(He)ガスまたは窒素(N)ガス)雰囲気で満たされた常圧下で行うことが好ましい。 After the metal film 11 and the barrier film 12 are formed, the semiconductor substrate 1 is subjected to a first heat treatment (annealing process), whereby the polycrystalline silicon film and the metal film 11 constituting the gate electrodes 6a and 6b, and the n + type are formed. The single crystal silicon constituting the semiconductor region 7b and the p + type semiconductor region 8b and the metal film 11 are selectively reacted to form a metal silicide layer 13 which is a metal / semiconductor reaction layer. Since the metal silicide layer 13 is formed by the reaction between the upper portions (upper layer portions) of the gate electrodes 6a and 6b, the n + type semiconductor region 7b and the p + type semiconductor region 8b and the metal film 11, the metal silicide layer 13 is formed. Are formed on the respective surfaces (upper layer portions) of the gate electrodes 6a and 6b, the n + type semiconductor region 7b and the p + type semiconductor region 8b. The first heat treatment for forming the metal silicide layer 13 is performed under normal pressure filled with an inert gas (eg, argon (Ar) gas, helium (He) gas, or nitrogen (N 2 ) gas) atmosphere. preferable.

次に、ウェット洗浄処理を行うことにより、バリア膜12と、未反応の金属膜11(すなわちゲート電極6a,6b、n型半導体領域7bまたはp型半導体領域8bと反応しなかった金属膜11)とを除去する。この際、ゲート電極6a,6b、n型半導体領域7bおよびp型半導体領域8bの表面上に金属シリサイド層13を残存させる。このウェット洗浄処理は、硫酸を用いたウェット洗浄、または硫酸と過酸化水素水とを用いたウェット洗浄などにより行うことができる。このようにして、図6の構造が得られる。 Next, by performing a wet cleaning process, the barrier film 12 and the metal film 11 that has not reacted with the unreacted metal film 11 (that is, the gate electrodes 6a and 6b, the n + type semiconductor region 7b, or the p + type semiconductor region 8b). And 11). At this time, the metal silicide layer 13 is left on the surfaces of the gate electrodes 6a and 6b, the n + type semiconductor region 7b and the p + type semiconductor region 8b. This wet cleaning treatment can be performed by wet cleaning using sulfuric acid or wet cleaning using sulfuric acid and hydrogen peroxide. In this way, the structure of FIG. 6 is obtained.

次に、半導体基板1に第2の熱処理(アニール処理)を施す。この第2の熱処理は、上記第1の熱処理の熱処理温度よりも高い熱処理温度で行う。第2の熱処理は、不活性ガス(例えばアルゴン(Ar)ガス、ヘリウム(He)ガスまたは窒素(N)ガス)雰囲気で満たされた、常圧下で行うことが好ましい。 Next, the semiconductor substrate 1 is subjected to a second heat treatment (annealing process). This second heat treatment is performed at a heat treatment temperature higher than the heat treatment temperature of the first heat treatment. The second heat treatment is preferably performed under normal pressure filled with an inert gas (eg, argon (Ar) gas, helium (He) gas, or nitrogen (N 2 ) gas) atmosphere.

上記のように、第1の熱処理によってゲート電極6a,6b、n型半導体領域7bおよびp型半導体領域8b(を構成するシリコン)と金属膜11を選択的に反応させて、金属シリサイド層13を形成するが、第1の熱処理を行った段階で金属シリサイド層13をMSi(メタルモノシリサイド)相とし、MSi(ダイメタルシリサイド)相やMSi(メタルダイシリサイド)相とはしないことが好ましい。そして、上記第2の熱処理を行うことで、MSi相の金属シリサイド層13を安定化することができる。 As described above, the metal electrode 11 is selectively reacted with the gate electrode 6a, 6b, the n + type semiconductor region 7b and the p + type semiconductor region 8b (which constitutes the silicon) and the metal film 11 by the first heat treatment. 13 is formed, but the metal silicide layer 13 is changed to the MSi (metal monosilicide) phase at the stage of the first heat treatment, and not the M 2 Si (dimetal silicide) phase or the MSi 2 (metal disilicide) phase. It is preferable. By performing the second heat treatment, the MSi phase metal silicide layer 13 can be stabilized.

すなわち、第1の熱処理でMSi相の金属シリサイド層13が形成され、この金属シリサイド層13は、第2の熱処理を行っても、変わらずMSi相のままであるが、第2の熱処理を行うことで、金属シリサイド層13内の組成がより均一化され、金属シリサイド層13内の金属元素MとSiとの組成比が1:1の化学量論比により近くなり、金属シリサイド層13を安定化できる。また、第1の熱処理でMSi相の部分が金属シリサイド層13中に形成されていた場合は、第2の熱処理によって、MSi相の部分をMSi相にすることができる。なお、MSi相は、MSi相およびMSi相よりも低抵抗率であり、第2の熱処理以降も(半導体装置の製造終了まで)金属シリサイド層13は低抵抗のMSi相のまま維持され、製造された半導体装置では(例えば半導体基板1を個片化して半導体チップとなった状態でも)、金属シリサイド層13は低抵抗のMSi相となっている。 That is, the MSi-phase metal silicide layer 13 is formed by the first heat treatment, and this metal silicide layer 13 remains in the MSi phase even when the second heat treatment is performed, but the second heat treatment is performed. As a result, the composition in the metal silicide layer 13 is made more uniform, the composition ratio between the metal element M and Si in the metal silicide layer 13 becomes closer to the stoichiometric ratio of 1: 1, and the metal silicide layer 13 is stabilized. Can be When the M 2 Si phase portion is formed in the metal silicide layer 13 by the first heat treatment, the M 2 Si phase portion can be changed to the MSi phase by the second heat treatment. Note that the MSi phase has a lower resistivity than the M 2 Si phase and the MSi 2 phase, and the metal silicide layer 13 is maintained as the low resistance MSi phase even after the second heat treatment (until the end of manufacturing the semiconductor device). In the manufactured semiconductor device (for example, even when the semiconductor substrate 1 is separated into a semiconductor chip), the metal silicide layer 13 has a low resistance MSi phase.

なお、本実施の形態では、金属膜11を構成する金属元素を化学式ではM、カタカナ表記では「メタル」と表記している。例えば、金属膜11がニッケル(Ni)膜である場合は、上記M(金属膜11を構成する金属元素M)はNiであり、上記MSi(メタルモノシリサイド)はNiSi(ニッケルモノシリサイド)であり、上記MSi(ダイメタルシリサイド)はNiSi(ダイニッケルシリサイド)であり、上記MSi(メタルダイシリサイド)はNiSi(ニッケルダイシリサイド)である。金属膜11が、Niが98原子%でPtが2原子%のNi−Pt合金膜(Ni0.98Pt0.02合金膜)の場合、上記M(金属膜11を構成する金属元素M)はNi及びPt(但しNiとPtの組成比を勘案すると上記MはNi0.98Pt0.02)であり、上記MSiはNi0.98Pt0.02Siであり、上記MSiは(Ni0.98Pt0.02Siであり、上記MSiはNi0.98Pt0.02Siである。金属膜11が、Niが99原子%でPdが1原子%のNi−Pd合金膜(Ni0.99Pt0.01合金膜)の場合、上記M(金属膜11を構成する金属元素M)はNi及びPd(但しNiとPdの組成比を勘案すると上記MはNi0.99Pd0.01)であり、上記MSiはNi0.99Pd0.01Siであり、上記MSiは(Ni0.99Pd0.01Siであり、上記MSiはNi0.99Pd0.01Siである。金属膜11が他の組成の合金膜の場合も、同様に考えることができる。 In the present embodiment, the metal element constituting the metal film 11 is expressed as M in the chemical formula and “metal” in the katakana notation. For example, when the metal film 11 is a nickel (Ni) film, the M (metal element M constituting the metal film 11) is Ni, and the MSi (metal monosilicide) is NiSi (nickel monosilicide). The M 2 Si (die metal silicide) is Ni 2 Si (die nickel silicide), and the MSi 2 (metal disilicide) is NiSi 2 (nickel disilicide). In the case where the metal film 11 is a Ni-Pt alloy film (Ni 0.98 Pt 0.02 alloy film) in which Ni is 98 atomic% and Pt is 2 atomic%, the above M (metal element M constituting the metal film 11) Is Ni and Pt (where M is Ni 0.98 Pt 0.02 considering the composition ratio of Ni and Pt), the MSi is Ni 0.98 Pt 0.02 Si, and the M 2 Si is (Ni 0.98 Pt 0.02 ) 2 Si, and the MSi 2 is Ni 0.98 Pt 0.02 Si 2 . When the metal film 11 is a Ni—Pd alloy film (Ni 0.99 Pt 0.01 alloy film) with 99 atomic% Ni and 1 atomic% Pd, the above M (metal element M constituting the metal film 11) Is Ni and Pd (where M is Ni 0.99 Pd 0.01 considering the composition ratio of Ni and Pd), the MSi is Ni 0.99 Pd 0.01 Si, and the M 2 Si is (Ni 0.99 Pd 0.01 ) 2 Si, and the MSi 2 is Ni 0.99 Pd 0.01 Si 2 . The same can be considered when the metal film 11 is an alloy film having another composition.

このようにして、nチャネル型MISFETQnのゲート電極6aおよびソース・ドレイン領域(n型半導体領域7b)の表面(上層部)と、pチャネル型MISFETQpのゲート電極6bおよびソース・ドレイン領域(p型半導体領域8b)の表面(上層部)とに、MSi(メタルモノシリサイド)からなる金属シリサイド層13が形成される。また、金属膜11の膜厚によるが、金属膜11の膜厚が例えば9nm程度の場合、金属シリサイド層13の膜厚は、例えば19nm程度である。 In this way, the surface (upper layer part) of the gate electrode 6a and the source / drain region (n + type semiconductor region 7b) of the n channel MISFET Qn, and the gate electrode 6b and the source / drain region (p + of the p channel type MISFET Qp). A metal silicide layer 13 made of MSi (metal monosilicide) is formed on the surface (upper layer portion) of the type semiconductor region 8b). Further, depending on the thickness of the metal film 11, when the thickness of the metal film 11 is, for example, about 9 nm, the thickness of the metal silicide layer 13 is, for example, about 19 nm.

次に、図7に示されるように、半導体基板1の主面上に絶縁膜21(第1絶縁膜)を形成する。すなわち、ゲート電極6a,6bを覆うように、金属シリサイド層13上を含む半導体基板1上に絶縁膜21を形成する。絶縁膜21は窒化シリコン膜からなり、プラズマCVD法を用いて形成する。この絶縁膜21の形成工程については、後でより詳細に説明する。   Next, as shown in FIG. 7, an insulating film 21 (first insulating film) is formed on the main surface of the semiconductor substrate 1. That is, the insulating film 21 is formed on the semiconductor substrate 1 including the metal silicide layer 13 so as to cover the gate electrodes 6a and 6b. The insulating film 21 is made of a silicon nitride film and is formed using a plasma CVD method. The step of forming the insulating film 21 will be described in detail later.

次に、図8に示されるように、絶縁膜21上に絶縁膜21よりも厚い絶縁膜22を形成する。絶縁膜22は例えば酸化シリコン膜などからなり、TEOS(Tetraethoxysilane:テトラエトキシシラン、またはTetra Ethyl Ortho Silicateとも言う)を用いてプラズマCVD法などにより形成することができる。これにより、絶縁膜21,22からなる層間絶縁膜が形成される。その後、絶縁膜22の表面をCMP法により研磨するなどして、絶縁膜22の上面を平坦化する。下地段差に起因して絶縁膜21の表面に凹凸形状が形成されていても、絶縁膜22の表面をCMP法により研磨することにより、その表面が平坦化された層間絶縁膜を得ることができる。   Next, as shown in FIG. 8, an insulating film 22 thicker than the insulating film 21 is formed on the insulating film 21. The insulating film 22 is made of, for example, a silicon oxide film, and can be formed by a plasma CVD method using TEOS (Tetraethoxysilane: also called Tetra Ethyl Ortho Silicate). Thereby, an interlayer insulating film composed of the insulating films 21 and 22 is formed. Thereafter, the upper surface of the insulating film 22 is planarized by polishing the surface of the insulating film 22 by CMP or the like. Even if unevenness is formed on the surface of the insulating film 21 due to the base step, by polishing the surface of the insulating film 22 by the CMP method, an interlayer insulating film having a flattened surface can be obtained. .

次に、図9に示されるように、絶縁膜22上に形成したフォトレジストパターン(図示せず)をエッチングマスクとして用いて、絶縁膜22,21をドライエッチングすることにより、絶縁膜21,22にコンタクトホール(貫通孔、孔)23を形成する。   Next, as shown in FIG. 9, by using the photoresist pattern (not shown) formed on the insulating film 22 as an etching mask, the insulating films 22 and 21 are dry-etched, thereby insulating films 21 and 22. A contact hole (through-hole, hole) 23 is formed.

この際、まず絶縁膜21に比較して絶縁膜22がエッチングされやすい条件(すなわち絶縁膜22のエッチング速度が絶縁膜21のエッチング速度よりも大きくなるエッチング条件)で絶縁膜22のドライエッチングを行い、絶縁膜21をエッチングストッパ膜として機能させることで、絶縁膜22にコンタクトホール23を形成する。この段階では、コンタクトホール23は、絶縁膜22を貫通するが絶縁膜21は貫通せず、絶縁膜21でエッチングを停止させ、コンタクトホール23の底部で、絶縁膜21の少なくとも一部が残存するようにする。それから、絶縁膜22に比較して絶縁膜21がエッチングされやすい条件(すなわち絶縁膜21のエッチング速度が絶縁膜22のエッチング速度よりも大きくなるエッチング条件)でコンタクトホール23の底部の絶縁膜21をドライエッチングして除去する。これにより、コンタクトホール23の底部で絶縁膜21が完全に除去され、コンタクトホール23は絶縁膜22,21を貫通し、コンタクトホール23の底部で半導体基板1の主面の一部、例えばn型半導体領域7bおよびp型半導体領域8bの表面上の金属シリサイド層13の一部や、ゲート電極6a,6bの表面上の金属シリサイド層13の一部などが露出される。 At this time, first, the insulating film 22 is dry-etched under the condition that the insulating film 22 is more easily etched than the insulating film 21 (that is, the etching condition that the etching speed of the insulating film 22 is higher than the etching speed of the insulating film 21). By making the insulating film 21 function as an etching stopper film, a contact hole 23 is formed in the insulating film 22. At this stage, the contact hole 23 penetrates the insulating film 22 but does not penetrate the insulating film 21, etching is stopped at the insulating film 21, and at least a part of the insulating film 21 remains at the bottom of the contact hole 23. Like that. Then, the insulating film 21 at the bottom of the contact hole 23 is formed under conditions where the insulating film 21 is more easily etched than the insulating film 22 (that is, an etching condition in which the etching rate of the insulating film 21 is higher than the etching rate of the insulating film 22) Remove by dry etching. Thereby, the insulating film 21 is completely removed at the bottom of the contact hole 23, the contact hole 23 penetrates the insulating films 22, 21, and a part of the main surface of the semiconductor substrate 1 at the bottom of the contact hole 23, for example, n + A part of metal silicide layer 13 on the surface of type semiconductor region 7b and p + type semiconductor region 8b, a part of metal silicide layer 13 on the surface of gate electrodes 6a and 6b, and the like are exposed.

次に、コンタクトホール23内に、タングステン(W)などからなるプラグ(接続用導体部、埋め込みプラグ、埋め込み導体部)24を形成する。プラグ24を形成するには、例えば、コンタクトホール23の内部(底部および側壁上)を含む絶縁膜22上に、プラズマCVD法などによりバリア導体膜24a(例えばチタン膜、窒化チタン膜、あるいはそれらの積層膜)を形成する。それから、タングステン膜などからなる主導体膜24bをCVD法などによってバリア導体膜24a上にコンタクトホール23を埋めるように形成し、絶縁膜22上の不要な主導体膜24bおよびバリア導体膜24aをCMP法またはエッチバック法などによって除去することにより、コンタクトホール23内に残存する主導体膜24bおよびバリア導体膜24aからなるプラグ24を形成することができる。ゲート電極6a,6b、n型半導体領域7bまたはp型半導体領域8b上に形成されたプラグ24は、その底部でゲート電極6a,6b、n型半導体領域7bまたはp型半導体領域8bの表面上の金属シリサイド層13と接して、電気的に接続される。 Next, plugs (connecting conductor portions, embedded plugs, embedded conductor portions) 24 made of tungsten (W) or the like are formed in the contact holes 23. In order to form the plug 24, for example, a barrier conductor film 24a (for example, a titanium film, a titanium nitride film, or the like) is formed on the insulating film 22 including the inside (on the bottom and side walls) of the contact hole 23 by a plasma CVD method or the like. A laminated film) is formed. Then, a main conductor film 24b made of a tungsten film or the like is formed so as to fill the contact hole 23 on the barrier conductor film 24a by CVD or the like, and the unnecessary main conductor film 24b and barrier conductor film 24a on the insulating film 22 are CMPed. By removing by the method or the etch back method, the plug 24 composed of the main conductor film 24b and the barrier conductor film 24a remaining in the contact hole 23 can be formed. The plug 24 formed on the gate electrodes 6a, 6b, the n + type semiconductor region 7b or the p + type semiconductor region 8b has a gate electrode 6a, 6b, an n + type semiconductor region 7b or a p + type semiconductor region 8b at the bottom. The metal silicide layer 13 on the surface of the metal is in contact with and electrically connected.

次に、図10に示されるように、プラグ24が埋め込まれた絶縁膜22上に、ストッパ絶縁膜31および配線形成用の絶縁膜32を順次形成する。ストッパ絶縁膜31は絶縁膜32への溝加工の際にエッチングストッパとなる膜であり、絶縁膜32に対してエッチング選択比を有する材料を用いる。ストッパ絶縁膜31は、例えばプラズマCVD法により形成される窒化シリコン膜とし、絶縁膜32は、例えばプラズマCVD法により形成される酸化シリコン膜とすることができる。なお、ストッパ絶縁膜31と絶縁膜32には次に説明する第1層目の配線が形成される。   Next, as shown in FIG. 10, a stopper insulating film 31 and a wiring forming insulating film 32 are sequentially formed on the insulating film 22 in which the plugs 24 are embedded. The stopper insulating film 31 is a film that becomes an etching stopper when a groove is formed in the insulating film 32, and a material having an etching selectivity with respect to the insulating film 32 is used. The stopper insulating film 31 can be, for example, a silicon nitride film formed by a plasma CVD method, and the insulating film 32 can be, for example, a silicon oxide film formed by a plasma CVD method. The stopper insulating film 31 and the insulating film 32 are formed with the first layer wiring described below.

次に、シングルダマシン法により第1層目の配線を形成する。まず、レジストパターン(図示せず)をマスクとしたドライエッチングによって絶縁膜32およびストッパ絶縁膜31の所定の領域に配線溝33を形成した後、半導体基板1の主面上(すなわち配線溝33の底部および側壁上を含む絶縁膜32上)にバリア導体膜(バリアメタル膜)34を形成する。バリア導体膜34は、例えば窒化チタン膜、タンタル膜または窒化タンタル膜などを用いることができる。続いて、CVD法またはスパッタリング法などによりバリア導体膜34上に銅のシード層を形成し、さらに電解めっき法などを用いてシード層上に銅めっき膜を形成して、銅めっき膜により配線溝33の内部を埋め込む。それから、配線溝33以外の領域の銅めっき膜、シード層およびバリアメタル膜34をCMP法により除去して、配線溝33に埋め込まれ銅を主導電材料とする第1層目の配線35を形成する。配線35は、プラグ24を介してnチャネル型MISFETQnおよびpチャネル型MISFETQpのソースまたはドレイン用のn型半導体領域7bおよびp型半導体領域8bやゲート電極6a,6bなどと電気的に接続されている。その後、デュアルダマシン法により2層目の配線を形成するが、ここでは図示およびその説明は省略する。 Next, a first layer wiring is formed by a single damascene method. First, after forming a wiring groove 33 in a predetermined region of the insulating film 32 and the stopper insulating film 31 by dry etching using a resist pattern (not shown) as a mask, the wiring groove 33 is formed on the main surface of the semiconductor substrate 1 (that is, the wiring groove 33). A barrier conductor film (barrier metal film) 34 is formed on the insulating film 32 including the bottom and side walls. As the barrier conductor film 34, for example, a titanium nitride film, a tantalum film, a tantalum nitride film, or the like can be used. Subsequently, a copper seed layer is formed on the barrier conductor film 34 by CVD or sputtering, and further a copper plating film is formed on the seed layer by electrolytic plating or the like. The inside of 33 is embedded. Then, the copper plating film, the seed layer, and the barrier metal film 34 in a region other than the wiring trench 33 are removed by CMP to form a first-layer wiring 35 embedded in the wiring trench 33 and using copper as a main conductive material. To do. The wiring 35 is electrically connected to the n + type semiconductor region 7b and p + type semiconductor region 8b for the source or drain of the n channel MISFET Qn and p channel MISFET Qp, the gate electrodes 6a and 6b, and the like through the plug 24. ing. Thereafter, a second layer wiring is formed by a dual damascene method, but illustration and description thereof are omitted here.

次に、絶縁膜21の形成工程について、より詳細に説明する。   Next, the formation process of the insulating film 21 will be described in more detail.

図11は絶縁膜21の形成に用いることができる成膜装置41の一例を示す概略平面図である。絶縁膜21の成膜には、図11の成膜装置41を用いることができる。   FIG. 11 is a schematic plan view showing an example of a film forming apparatus 41 that can be used for forming the insulating film 21. The film formation apparatus 41 in FIG. 11 can be used for forming the insulating film 21.

図11に示されるように、成膜装置41は、搬送室42と、搬送室42の周囲に開閉手段であるゲートバルブ43を介して配置されたロードロック室44a,44bおよびチャンバ(処理室、反応室)46a,46b,47a,47b,48a,48bとを有している。また、成膜装置41においては、ロードロック室44a,44bの搬送室42と反対側にはウエハ搬入出室51が設けられており、ウエハ搬入出室51のロードロック室44a,44bと反対側には半導体ウエハSWを収納するフープ(Front Open Unified Pod)52a,52bを取り付けるポート53が設けられている。   As shown in FIG. 11, the film forming apparatus 41 includes a transfer chamber 42, load lock chambers 44 a and 44 b arranged around the transfer chamber 42 through a gate valve 43 serving as an opening / closing means, and chambers (processing chambers, Reaction chamber) 46a, 46b, 47a, 47b, 48a, 48b. In the film forming apparatus 41, a wafer loading / unloading chamber 51 is provided on the opposite side of the load lock chambers 44a and 44b from the transfer chamber 42, and the wafer loading / unloading chamber 51 is opposite to the load lock chambers 44a and 44b. Are provided with ports 53 for attaching FOUPs (Front Open Unified Pods) 52a and 52b for storing the semiconductor wafer SW.

搬送室42は排気機構等により所定の真空度に保持され、その中央部には半導体ウエハSWを搬送するための搬送用ロボット42aが設けられている。搬送室42に備わるチャンバ46a,46bは、プラズマCVD法により絶縁膜21を成膜する成膜用チャンバとなる。   The transfer chamber 42 is maintained at a predetermined degree of vacuum by an exhaust mechanism or the like, and a transfer robot 42a for transferring the semiconductor wafer SW is provided at the center thereof. The chambers 46a and 46b provided in the transfer chamber 42 are film forming chambers for forming the insulating film 21 by plasma CVD.

成膜装置41は、複数のチャンバ46a,46b,47a,47b,48a,48bを備えたマルチチャンバタイプの装置であるが、成膜装置41が備えるチャンバの数は種々変更可能であり、一つのチャンバのみを備えたタイプの装置とすることもできるが、チャンバ46a,46bの少なくとも一方は必要である。   The film forming apparatus 41 is a multi-chamber type apparatus including a plurality of chambers 46a, 46b, 47a, 47b, 48a, and 48b, but the number of chambers included in the film forming apparatus 41 can be variously changed. Although an apparatus of a type having only a chamber can be used, at least one of the chambers 46a and 46b is necessary.

また、成膜装置41では、チャンバ46aとチャンバ46bとを同じ構成のチャンバとしてツインチャンバとし、チャンバ47aとチャンバ47bとを同じ構成のチャンバとしてツインチャンバとし、チャンバ48aとチャンバ48bとを同じ構成のチャンバとしてツインチャンバとし、一度に2枚の半導体ウエハに対して同じ処理を行えるようにしている。他の形態として、チャンバ46a,46bの一方とチャンバ47a,47bの一方とチャンバ48a,48bの一方を省略することもできる。   Further, in the film forming apparatus 41, the chamber 46a and the chamber 46b have the same configuration as the twin chamber, the chamber 47a and the chamber 47b have the same configuration as the twin chamber, and the chamber 48a and the chamber 48b have the same configuration. The chamber is a twin chamber so that the same processing can be performed on two semiconductor wafers at a time. As another form, one of the chambers 46a and 46b, one of the chambers 47a and 47b, and one of the chambers 48a and 48b can be omitted.

次に、成膜装置41のチャンバ46a,46bの構成について説明する。なお、チャンバ46aとチャンバ46bとは同様の構成を有しているので、ここではチャンバ46a,46bをチャンバ46として説明する。図12は成膜装置41に備わる成膜用のチャンバ46(すなわちチャンバ46a,46b)の概略断面図である。   Next, the configuration of the chambers 46a and 46b of the film forming apparatus 41 will be described. Since the chamber 46a and the chamber 46b have the same configuration, the chambers 46a and 46b will be described as the chamber 46 here. FIG. 12 is a schematic cross-sectional view of a film forming chamber 46 (that is, chambers 46 a and 46 b) provided in the film forming apparatus 41.

チャンバ46は、半導体ウエハSW(すなわち半導体基板1)上に絶縁膜21をCVD法によって形成するために使用されるチャンバ(処理室、反応室)であり、例えば平行平板型プラズマCVD装置のチャンバである。   The chamber 46 is a chamber (processing chamber, reaction chamber) used for forming the insulating film 21 on the semiconductor wafer SW (that is, the semiconductor substrate 1) by the CVD method. For example, the chamber 46 is a chamber of a parallel plate type plasma CVD apparatus. is there.

図12に示されるように、チャンバ46は、真空気密が可能な処理室であり、チャンバ46内には、互いに対向する下部電極(基板電極)61および上部電極(高周波電極)62が配置されている。下部電極61は、その上に半導体ウエハSW(すなわち半導体基板1)が配置可能に構成され、内部に図示しないヒータなどの加熱機構を内蔵している。また、下部電極61および上部電極62間には、チャンバ46の外部に設けられた高周波電源63などにより高周波電力または高周波電圧を供給(印加)可能に構成されている。   As shown in FIG. 12, the chamber 46 is a processing chamber capable of vacuum-tightness. In the chamber 46, a lower electrode (substrate electrode) 61 and an upper electrode (high-frequency electrode) 62 facing each other are arranged. Yes. The lower electrode 61 is configured such that the semiconductor wafer SW (that is, the semiconductor substrate 1) can be disposed thereon, and incorporates a heating mechanism such as a heater (not shown) therein. Further, a high-frequency power or a high-frequency voltage can be supplied (applied) between the lower electrode 61 and the upper electrode 62 by a high-frequency power source 63 provided outside the chamber 46.

また、チャンバ46は、上部電極62に設けられたガス導入口62aから所望のガスが所望の流量でチャンバ46内に導入できるように構成されている。例えば、ガス導入口62aは、後述するステップS2,S3で必要となるガス(ここではSiHガス、NHガス、Hガス、NガスおよびArガス)の導入経路にマスフローコントローラ(ガス流量制御装置)64を介して連結されており、それによって、所望の種類のガス(SiH、NH、H、NおよびArから選択されたガス)が所望の流量でガス導入口62aからチャンバ46内に導入できるようになっている。 Further, the chamber 46 is configured so that a desired gas can be introduced into the chamber 46 at a desired flow rate from a gas introduction port 62 a provided in the upper electrode 62. For example, the gas introduction port 62a is connected to a mass flow controller (gas flow rate) in an introduction path of gases (here, SiH 4 gas, NH 3 gas, H 2 gas, N 2 gas and Ar gas) required in steps S2 and S3 described later. A control device) 64, whereby a desired type of gas (a gas selected from SiH 4 , NH 3 , H 2 , N 2 and Ar) is supplied from the gas inlet 62a at a desired flow rate. It can be introduced into the chamber 46.

また、チャンバ46はガス排気口65を介して図示しないガス排気手段(例えば真空ポンプ)に接続され、ガス排気口65からチャンバ46内を所望の排気速度で排気することができるように構成されている。また、図示しない圧力制御部が、圧力センサなどが検出したチャンバ46内の圧力に応じて、ガス排気口65からの排気速度などを調節し、チャンバ46内を所望の圧力に維持することができるように構成されている。   The chamber 46 is connected to a gas exhaust means (for example, a vacuum pump) (not shown) via a gas exhaust port 65 so that the chamber 46 can be exhausted from the gas exhaust port 65 at a desired exhaust speed. Yes. Further, a pressure control unit (not shown) can adjust the exhaust speed from the gas exhaust port 65 according to the pressure in the chamber 46 detected by the pressure sensor or the like, and can maintain the inside of the chamber 46 at a desired pressure. It is configured as follows.

図13は、絶縁膜21の形成工程を示す製造プロセスフロー図である。絶縁膜21の形成工程は、成膜装置41を用いて次のように行われる。   FIG. 13 is a manufacturing process flow chart showing the step of forming the insulating film 21. The formation process of the insulating film 21 is performed as follows using the film forming apparatus 41.

まず、フープ52aまたはフープ52bから半導体ウエハSWを、ウエハ搬入出室51内に設置された搬送用ロボット51aまたは搬送用ロボット51bによって取り出し、ロードロック室44a,44bへ搬入する。この際、搬送用ロボット51a,51b間の半導体ウエハSWの受け渡しは、ウエハ受け渡しステーション54を介して行われる。この半導体ウエハSWは、上記半導体基板1に対応するものである。フープ52a,52bは半導体ウエハSWのバッチ搬送用の密閉収納容器であり、通常25枚、12枚、6枚等のバッチ単位で半導体ウエハSWを収納する。フープ52a,52bの容器外壁は微細な通気フィルタ部を除いて機密構造になっており、塵埃はほぼ完全に排除される。従って、クラス1000の雰囲気で搬送しても、内部はクラス1の清浄度が保てるようになっている。成膜装置41とのドッキングは、フープ52a,52bの扉をポート53に取り付けて、ウエハ搬入出室51の内部に引き込むことによって清浄さを保持した状態で行われる。続いてロードロック室44a,44b内を真空引きした後、搬送用ロボット42aによって半導体ウエハSWをロードロック室44a,44bから搬送室42を介して成膜用のチャンバ46a,46bへ真空搬送する。このようにして、半導体ウエハSW、すなわち半導体基板1をチャンバ46(すなわちチャンバ46a,46b)へ搬送し、チャンバ46内に配置する(ステップS1)。この際、半導体基板1(半導体ウエハSW)は、絶縁膜21を形成する側の主面(上面、表面)を上部電極62に向けて、チャンバ46内の下部電極61上に配置される。下部電極61上に配置された半導体基板1(半導体ウエハSW)は、下部電極61に内蔵されたヒータで加熱される。既に加熱された下部電極61上に半導体基板1(半導体ウエハSW)を配置することもできる。   First, the semiconductor wafer SW is taken out from the FOUP 52a or FOUP 52b by the transfer robot 51a or the transfer robot 51b installed in the wafer carry-in / out chamber 51, and is loaded into the load lock chambers 44a and 44b. At this time, the transfer of the semiconductor wafer SW between the transfer robots 51 a and 51 b is performed via the wafer transfer station 54. The semiconductor wafer SW corresponds to the semiconductor substrate 1. The FOUPs 52a and 52b are hermetically sealed containers for batch transfer of the semiconductor wafers SW, and normally store the semiconductor wafers SW in batch units such as 25, 12, and 6 sheets. The container outer walls of the hoops 52a and 52b have a secret structure except for a fine ventilation filter portion, and dust is almost completely eliminated. Therefore, even if transported in a class 1000 atmosphere, the inside can maintain a class 1 cleanliness. Docking with the film forming apparatus 41 is performed in a state in which cleanliness is maintained by attaching the doors of the FOUPs 52 a and 52 b to the port 53 and pulling them into the wafer carry-in / out chamber 51. Subsequently, after the inside of the load lock chambers 44a and 44b is evacuated, the semiconductor robot SW is vacuum transferred from the load lock chambers 44a and 44b to the film forming chambers 46a and 46b via the transfer chamber 42 by the transfer robot 42a. In this manner, the semiconductor wafer SW, that is, the semiconductor substrate 1 is transferred to the chamber 46 (that is, the chambers 46a and 46b) and is disposed in the chamber 46 (step S1). At this time, the semiconductor substrate 1 (semiconductor wafer SW) is disposed on the lower electrode 61 in the chamber 46 with the main surface (upper surface, surface) on the side where the insulating film 21 is formed facing the upper electrode 62. The semiconductor substrate 1 (semiconductor wafer SW) disposed on the lower electrode 61 is heated by a heater built in the lower electrode 61. The semiconductor substrate 1 (semiconductor wafer SW) can also be disposed on the already heated lower electrode 61.

次に、チャンバ46内に配置された半導体基板1(半導体ウエハSW)を還元性ガスのプラズマで処理する(ステップS2)。半導体基板1には、金属シリサイド層13が形成されているので、ステップS2では、半導体基板1に形成された金属シリサイド層13の表面が還元性ガスのプラズマで処理される。このステップS2の還元性ガスのプラズマ処理により、金属シリサイド層13の表面の自然酸化膜(後述の酸化膜72に対応)が還元されて除去される。   Next, the semiconductor substrate 1 (semiconductor wafer SW) disposed in the chamber 46 is treated with reducing gas plasma (step S2). Since the metal silicide layer 13 is formed on the semiconductor substrate 1, in step S2, the surface of the metal silicide layer 13 formed on the semiconductor substrate 1 is treated with reducing gas plasma. The natural oxide film (corresponding to an oxide film 72 described later) on the surface of the metal silicide layer 13 is reduced and removed by the plasma treatment of the reducing gas in step S2.

ステップS2の還元性ガスのプラズマは、水素ガスのプラズマ(水素プラズマ)、アンモニアガスのプラズマ(アンモニアプラズマ)、あるいは水素ガスとアンモニアガスとの混合ガスのプラズマが好ましい。これにより、金属シリサイド層13の表面の自然酸化膜を的確に除去することができる。   The reducing gas plasma in step S2 is preferably hydrogen gas plasma (hydrogen plasma), ammonia gas plasma (ammonia plasma), or a mixed gas plasma of hydrogen gas and ammonia gas. As a result, the natural oxide film on the surface of the metal silicide layer 13 can be accurately removed.

すなわち、ステップS2では、ガス導入口62aからチャンバ46内に還元性ガス(好ましくは水素(H)ガスまたはアンモニア(NH)ガスあるいはそれらの混合ガス)を導入し、ガス排気口65からの排気速度を調節してチャンバ46内の圧力を所定の圧力に制御し、高周波電源63により下部電極61および上部電極62間に高周波電力(高周波電圧)を供給(印加)する。これにより、下部電極61と上部電極62との間に高周波グロー放電によりプラズマを発生させる。このようにして、ガス導入口62aから導入した還元性ガス(好ましくは水素(H)ガスまたはアンモニア(NH)ガスあるいはそれらの混合ガス)のプラズマがチャンバ46内(下部電極61および上部電極62間)に発生(生成)し、このプラズマにより、金属シリサイド層13の表面が処理(プラズマ処理)され、金属シリサイド層13の表面の自然酸化膜が除去される。ステップS2で行う還元性ガスのプラズマ処理は、10〜60秒程度行うことが好ましく、これにより、金属シリサイド層13の表面の自然酸化膜を除去できるとともに、製造時間が長くなってスループットが低下するのを防止できる。 That is, in step S2, a reducing gas (preferably hydrogen (H 2 ) gas, ammonia (NH 3 ) gas, or a mixed gas thereof) is introduced into the chamber 46 from the gas inlet port 62a, and the gas exhaust port 65 The pressure in the chamber 46 is controlled to a predetermined pressure by adjusting the exhaust speed, and high frequency power (high frequency voltage) is supplied (applied) between the lower electrode 61 and the upper electrode 62 by the high frequency power source 63. Thereby, plasma is generated between the lower electrode 61 and the upper electrode 62 by high-frequency glow discharge. In this way, the plasma of the reducing gas (preferably hydrogen (H 2 ) gas, ammonia (NH 3 ) gas, or a mixed gas thereof) introduced from the gas inlet 62 a is generated in the chamber 46 (the lower electrode 61 and the upper electrode). 62), the surface of the metal silicide layer 13 is treated (plasma treatment) by this plasma, and the natural oxide film on the surface of the metal silicide layer 13 is removed. The plasma treatment of the reducing gas performed in step S2 is preferably performed for about 10 to 60 seconds, whereby the natural oxide film on the surface of the metal silicide layer 13 can be removed, and the manufacturing time is increased and the throughput is reduced. Can be prevented.

また、ステップS2では、還元性ガス(好ましくは水素(H)ガスまたはアンモニア(NH)ガスあるいはそれらの混合ガス)以外に、希釈ガスまたはキャリアガスなどとして不活性ガス、例えば窒素(N)ガス、アルゴン(Ar)ガスおよびヘリウム(He)ガスから選択された単一または複数のガス、をガス導入口62aからチャンバ46内に導入することもできる。この場合、ステップS2では、還元性ガス(好ましくは水素(H)ガスまたはアンモニア(NH)ガスあるいはそれらの混合ガス)と不活性ガス(例えば窒素ガス、アルゴンガスおよびヘリウムガスから選択された単一または複数のガス)との混合ガスプラズマで金属シリサイド層13の表面を処理して自然酸化膜が除去されることになる。 In step S2, in addition to the reducing gas (preferably hydrogen (H 2 ) gas, ammonia (NH 3 ) gas, or a mixed gas thereof), an inert gas such as nitrogen (N 2 ) is used as a dilution gas or a carrier gas. ) Gas, single or plural gases selected from argon (Ar) gas and helium (He) gas may be introduced into the chamber 46 from the gas inlet 62a. In this case, in step S2, a reducing gas (preferably hydrogen (H 2 ) gas or ammonia (NH 3 ) gas or a mixed gas thereof) and an inert gas (for example, nitrogen gas, argon gas and helium gas) are selected. The natural oxide film is removed by treating the surface of the metal silicide layer 13 with a mixed gas plasma with a single gas or a plurality of gases.

また、ステップS2は、金属シリサイド層13の表面の還元処理(自然酸化膜の還元処理または除去)が目的であるので、ステップS2では、シラン(SiH)ガスのようなシリコンソースガス(Siを構成元素として含むガス)はチャンバ46内に導入しない。 Further, since step S2 is intended to reduce the surface of the metal silicide layer 13 (reduction treatment or removal of the natural oxide film), in step S2, a silicon source gas such as silane (SiH 4 ) gas (Si is used. Gas contained as a constituent element) is not introduced into the chamber 46.

ステップS2の還元性ガスのプラズマ処理の後、半導体基板1(すなわち半導体ウエハSW)上に、窒化シリコンからなる絶縁膜21をプラズマCVD法で堆積させる(ステップS3)。ステップS2の還元性ガスのプラズマ処理の後、半導体基板1(半導体ウエハSW)を大気中(酸素含有雰囲気中)にさらすことなく、ステップS3の絶縁膜21の堆積工程を行うことが重要である。これにより、金属シリサイド層13の表面に自然酸化膜が再形成されることなく、金属シリサイド層13の表面を含む半導体基板1上に絶縁膜21を形成することができる。このため、ステップS2の還元性ガスのプラズマ処理工程とステップS3の絶縁膜21の堆積工程とは、同じチャンバ46内で連続的に行うことが好ましい。また、チャンバ46内でステップS2の還元性ガスのプラズマ処理工程を行ってから、半導体基板1をそのチャンバ46から取り出さず、同じチャンバ46内でステップS3の堆積工程を開始することが好ましいが、ステップS2の還元性ガスのプラズマ処理を行ってからステップS3で絶縁膜21(窒化シリコン膜)を堆積するまでの間、酸素含有ガスをチャンバ46内に導入しないようにする。これにより、ステップS2の還元性ガスのプラズマ処理の後、半導体基板1(半導体ウエハSW)を酸素含有雰囲気中にさらすことなく、ステップS3の絶縁膜21の堆積工程を行うことができ、金属シリサイド層13の表面の再酸化を防止できる。   After the plasma treatment of the reducing gas in step S2, an insulating film 21 made of silicon nitride is deposited on the semiconductor substrate 1 (that is, the semiconductor wafer SW) by the plasma CVD method (step S3). After the plasma treatment of the reducing gas in step S2, it is important to perform the step of depositing the insulating film 21 in step S3 without exposing the semiconductor substrate 1 (semiconductor wafer SW) to the atmosphere (in an oxygen-containing atmosphere). . Thereby, the insulating film 21 can be formed on the semiconductor substrate 1 including the surface of the metal silicide layer 13 without re-forming the natural oxide film on the surface of the metal silicide layer 13. For this reason, it is preferable that the reducing gas plasma treatment process in step S2 and the insulating film 21 deposition process in step S3 are continuously performed in the same chamber 46. Further, it is preferable to start the deposition process of step S3 in the same chamber 46 without taking out the semiconductor substrate 1 from the chamber 46 after performing the reducing gas plasma processing process of step S2 in the chamber 46. The oxygen-containing gas is not introduced into the chamber 46 after the plasma treatment of the reducing gas in step S2 until the insulating film 21 (silicon nitride film) is deposited in step S3. As a result, after the plasma treatment of the reducing gas in step S2, the step of depositing the insulating film 21 in step S3 can be performed without exposing the semiconductor substrate 1 (semiconductor wafer SW) to an oxygen-containing atmosphere. Reoxidation of the surface of the layer 13 can be prevented.

すなわち、ステップS2の後、下部電極61および上部電極62間に供給(印加)される高周波電力(高周波電圧)を一旦停止する。それから、ステップS3で、ガス導入口62aからチャンバ46内に反応ガス(ソースガス、原料ガス、成膜用ガス)、例えばシラン(SiH)ガスとアンモニア(NH)ガスと窒素(N)ガスとを導入し、ガス排気口65からの排気速度を調節してチャンバ46内の圧力を所定の圧力に制御し、高周波電源63により下部電極61および上部電極62間に高周波電力(高周波電圧)を供給(印加)する。これにより、下部電極61と上部電極62との間に高周波グロー放電によりプラズマが発生し、反応ガスが分解され、下部電極61上に配置された半導体基板1(半導体ウエハSW)上に窒化シリコン膜(プラズマ窒化シリコン膜)からなる絶縁膜21が堆積される。以下では、プラズマCVD法で形成された窒化シリコン膜をプラズマ窒化シリコン膜と呼ぶ場合もある。 That is, after step S2, high-frequency power (high-frequency voltage) supplied (applied) between the lower electrode 61 and the upper electrode 62 is temporarily stopped. Then, in step S3, a reaction gas (source gas, source gas, film forming gas), for example, silane (SiH 4 ) gas, ammonia (NH 3 ) gas, and nitrogen (N 2 ) is introduced into the chamber 46 from the gas inlet 62a. Gas is introduced, the exhaust speed from the gas exhaust port 65 is adjusted, and the pressure in the chamber 46 is controlled to a predetermined pressure. Is supplied (applied). Thereby, plasma is generated between the lower electrode 61 and the upper electrode 62 by high frequency glow discharge, the reaction gas is decomposed, and a silicon nitride film is formed on the semiconductor substrate 1 (semiconductor wafer SW) disposed on the lower electrode 61. An insulating film 21 made of (plasma silicon nitride film) is deposited. Hereinafter, a silicon nitride film formed by plasma CVD may be referred to as a plasma silicon nitride film.

ステップS3では、ガス導入口62aからチャンバ46内に、窒化シリコンのシリコンソースガスとして、シリコン(Si)元素を構成元素として含む第1のガス、好ましくはシラン(SiH)ガスのようなシラン系ガスと、窒化シリコンの窒素ソースガスとして、窒素元素を構成元素として含む第2のガス、好ましくはアンモニア(NH)ガスとを導入し、これらのガスのプラズマを生成して絶縁膜21を堆積させる。ステップS3では、それ以外に、希釈ガスまたはキャリアガスなどとして不活性ガス、例えば窒素(N)ガス、アルゴン(Ar)ガスおよびヘリウム(He)ガスから選択された単一または複数のガス、をガス導入口62aからチャンバ46内に導入することもできる。 In step S3, a first gas containing silicon (Si) element as a constituent element, preferably a silane-based material such as silane (SiH 4 ) gas, is used as a silicon source gas of silicon nitride from the gas inlet 62a into the chamber 46. A gas and a second gas containing nitrogen as a constituent element, preferably ammonia (NH 3 ) gas, are introduced as a nitrogen source gas of silicon nitride, and plasma of these gases is generated to deposit the insulating film 21. Let In step S3, an inert gas such as a diluent gas or a carrier gas, for example, a single gas or a plurality of gases selected from nitrogen (N 2 ) gas, argon (Ar) gas, and helium (He) gas is used. The gas can be introduced into the chamber 46 from the gas inlet 62a.

本実施の形態では、ステップS3の絶縁膜21(窒化シリコン膜)の堆積工程の前に、In−situ処理にて金属シリサイド層13の表面の自然酸化膜(後述の酸化膜72に対応)をステップS2の還元性ガスのプラズマ処理により還元除去して清浄化しているので、表面の酸化膜が除去された金属シリサイド層13上に絶縁膜21が堆積される。   In this embodiment, before the step of depositing the insulating film 21 (silicon nitride film) in step S3, a natural oxide film (corresponding to an oxide film 72 described later) on the surface of the metal silicide layer 13 is formed by in-situ processing. Since it is reduced and removed by the reducing gas plasma treatment in step S2, the insulating film 21 is deposited on the metal silicide layer 13 from which the oxide film on the surface has been removed.

ステップS3の絶縁膜21の成膜工程後、半導体基板1(半導体ウエハSW)はチャンバ46から取り出され(ステップS4)、次の工程(絶縁膜22の成膜工程)に送られる。例えば、搬送用ロボット42aによって半導体ウエハSWを成膜用のチャンバ46a,46b(すなわちチャンバ46)から搬送室42を介してロードロック室44a,44bへ真空搬送し、それから、搬送用ロボット51a,51bによって半導体ウエハSWをロードロック室44a,44bからウエハ搬入出室51を介して元のフープ52aまたはフープ52bへ戻す。この際、搬送用ロボット51a,51b間の半導体ウエハSWの受け渡しは、ウエハ受け渡しステーション54を介して行われる。   After the step of forming the insulating film 21 in step S3, the semiconductor substrate 1 (semiconductor wafer SW) is taken out of the chamber 46 (step S4) and sent to the next step (step of forming the insulating film 22). For example, the semiconductor wafer SW is vacuum-transferred from the deposition chambers 46a and 46b (that is, the chamber 46) to the load lock chambers 44a and 44b by the transfer robot 42a, and then transferred to the load robots 51a and 51b. Thus, the semiconductor wafer SW is returned from the load lock chambers 44a and 44b to the original FOUP 52a or FOUP 52b via the wafer loading / unloading chamber 51. At this time, the transfer of the semiconductor wafer SW between the transfer robots 51 a and 51 b is performed via the wafer transfer station 54.

このように、本実施の形態では、ステップS2で金属シリサイド層13の表面を還元性ガス(好ましくはアンモニアガス、水素ガス、あるいはそれらの混合ガス)のプラズマで処理した後、半導体基板1を大気中にさらすことなく、ステップS3で金属シリサイド層13上を含む半導体基板1上に絶縁膜21(窒化シリコン膜)をプラズマCVD法で形成する。より好ましくは、ステップS2で金属シリサイド層13の表面を還元性ガスのプラズマで処理した後、半導体基板1を酸素含有雰囲気にさらすことなく、ステップS3で金属シリサイド層13上を含む半導体基板1上に絶縁膜21を形成する。ステップS2の還元性ガスのプラズマ処理により、金属シリサイド層13の表面の自然酸化膜(後述の酸化膜72に対応)が除去され、その後、半導体基板1を大気中(酸素含有雰囲気中)にさらすことなく、ステップS3で絶縁膜21を堆積するので、形成された絶縁膜21と金属シリサイド層13との間の界面に酸化膜は形成されていない。このため、絶縁膜21の成膜後の種々の加熱工程(例えば種々の絶縁膜や導体膜の成膜工程のように半導体基板1の加熱を伴う工程)が行われても、金属シリサイド層13と絶縁膜21との間の界面の酸化膜の酸素に起因して金属シリサイド層13が部分的に異常成長してしまうのを防止できる。これにより、異常成長による金属シリサイド層13の抵抗の増加を防止できる。また、ソース・ドレイン領域上に形成した金属シリサイド層13がチャネル部に異常成長して電界効果トランジスタのソース・ドレイン間のリーク電流が増大するのを防止できる。従って、半導体装置の性能を向上させることができる。また、半導体装置の信頼性を向上させることができる。   As described above, in this embodiment, after the surface of the metal silicide layer 13 is treated with plasma of a reducing gas (preferably ammonia gas, hydrogen gas, or a mixed gas thereof) in step S2, the semiconductor substrate 1 is exposed to the atmosphere. In step S3, an insulating film 21 (silicon nitride film) is formed on the semiconductor substrate 1 including the metal silicide layer 13 by plasma CVD without being exposed to the inside. More preferably, after the surface of the metal silicide layer 13 is treated with reducing gas plasma in step S2, the semiconductor substrate 1 including the metal silicide layer 13 is exposed in step S3 without exposing the semiconductor substrate 1 to an oxygen-containing atmosphere. An insulating film 21 is formed. The natural oxide film (corresponding to an oxide film 72 described later) on the surface of the metal silicide layer 13 is removed by the plasma treatment of the reducing gas in step S2, and then the semiconductor substrate 1 is exposed to the atmosphere (in an oxygen-containing atmosphere). Therefore, since the insulating film 21 is deposited in step S3, an oxide film is not formed at the interface between the formed insulating film 21 and the metal silicide layer 13. For this reason, even if various heating processes after the formation of the insulating film 21 (for example, processes involving heating of the semiconductor substrate 1 as in the process of forming various insulating films and conductor films) are performed, the metal silicide layer 13 is formed. It is possible to prevent the metal silicide layer 13 from partially growing abnormally due to oxygen in the oxide film at the interface between the insulating film 21 and the insulating film 21. Thereby, an increase in resistance of the metal silicide layer 13 due to abnormal growth can be prevented. Further, it is possible to prevent the metal silicide layer 13 formed on the source / drain regions from abnormally growing in the channel portion and increasing the leakage current between the source and drain of the field effect transistor. Therefore, the performance of the semiconductor device can be improved. In addition, the reliability of the semiconductor device can be improved.

絶縁膜21は、コンタクトホール23を形成するために絶縁膜22をエッチングする際のエッチングストッパ膜として機能するが、SAC(Self Align Contact)用の絶縁膜とみなすこともできる。半導体基板1の主面上に形成された絶縁膜21を半導体基板1に引張応力を生じさせる膜にすると、nチャネル型MISFETQnは、移動度が向上して駆動電流が増加するので、スイッチング特性が向上する。また、半導体基板1の主面上に形成された絶縁膜21を半導体基板1に圧縮応力を生じさせる膜にすると、pチャネル型MISFETQpは、移動度が向上して駆動電流が増加するので、スイッチング特性が向上する。このため、半導体基板1の主面上に形成する絶縁膜21を、半導体基板1に引張応力を生じさせる膜にする場合と、圧縮応力を生じさせる膜にする場合とがあり、必要に応じて選択される。   The insulating film 21 functions as an etching stopper film when the insulating film 22 is etched to form the contact hole 23, but can also be regarded as an insulating film for SAC (Self Align Contact). If the insulating film 21 formed on the main surface of the semiconductor substrate 1 is a film that generates a tensile stress in the semiconductor substrate 1, the n-channel MISFET Qn has improved mobility and increased drive current. improves. Further, if the insulating film 21 formed on the main surface of the semiconductor substrate 1 is a film that generates compressive stress in the semiconductor substrate 1, the p-channel type MISFET Qp has improved mobility and increased drive current. Improved characteristics. For this reason, the insulating film 21 formed on the main surface of the semiconductor substrate 1 may be a film that generates a tensile stress in the semiconductor substrate 1 or a film that generates a compressive stress. Selected.

このため、上記ステップS3で形成した絶縁膜21を半導体基板1に引張応力を生じさせる膜とする場合と、上記ステップS3で形成した絶縁膜21を半導体基板1に圧縮応力を生じさせる膜とする場合とについて、それぞれ上記ステップS2の還元性ガスのプラズマ処理をアンモニアプラズマで行う場合と水素プラズマで行う場合とに分けて、絶縁膜21の好ましい成膜法について更に具体的に説明する。   Therefore, the insulating film 21 formed in step S3 is used as a film that generates tensile stress in the semiconductor substrate 1, and the insulating film 21 formed in step S3 is used as a film that generates compressive stress in the semiconductor substrate 1. The preferable deposition method of the insulating film 21 will be described in more detail by dividing the plasma treatment of the reducing gas in step S2 into ammonia plasma and hydrogen plasma.

まず、上記ステップS3で形成した絶縁膜21を半導体基板1に引張応力を生じさせる膜とする場合でかつ上記ステップS2の還元性ガスのプラズマ処理をアンモニアプラズマで行う場合について、図14を参照して説明する。   First, referring to FIG. 14, a case where the insulating film 21 formed in step S3 is a film that causes tensile stress in the semiconductor substrate 1 and the plasma treatment of the reducing gas in step S2 is performed with ammonia plasma. I will explain.

図14は、絶縁膜21(窒化シリコン膜)の成膜工程における第1の成膜方法のプロセスステップを示す説明図(表)であり、ステップS1でチャンバ46内に半導体基板1を配置した後、ステップS4でチャンバ46から半導体基板1を取り出すまでの、チャンバ46の状態が示されている。なお、図14の第1の成膜方法は、上記ステップS2の還元性ガスのプラズマ処理をアンモニアプラズマで行い、かつ上記ステップS3で形成した絶縁膜21を半導体基板1に引張応力を生じさせる膜とする場合の好ましい実施例(実施の形態、具体例)に対応する。   FIG. 14 is an explanatory diagram (table) showing process steps of the first film forming method in the film forming process of the insulating film 21 (silicon nitride film), and after the semiconductor substrate 1 is placed in the chamber 46 in step S1. The state of the chamber 46 until the semiconductor substrate 1 is taken out from the chamber 46 in step S4 is shown. In the first film forming method of FIG. 14, the reducing gas plasma treatment in step S2 is performed with ammonia plasma, and the insulating film 21 formed in step S3 is a film that causes tensile stress on the semiconductor substrate 1. This corresponds to a preferable example (embodiment, specific example).

なお、図14および後述の図15〜図17では、「時間」の欄に、各ステップの所要時間(単位は秒)を記載し、「温度」の欄に、各ステップでの半導体基板1の加熱温度(単位は℃)を記載し、「圧力」の欄に、各ステップでのチャンバ46内の圧力(単位はPa)を記載している。また、図14および後述の図15〜図17では、「SiH流量」の欄に、各ステップでガス導入口62aからチャンバ46内に導入するシラン(SiH)ガスの流量(単位はsccm)を記載し、「NH流量」の欄に、各ステップでガス導入口62aからチャンバ46内に導入するアンモニア(NH)ガスの流量(単位はsccm)を記載している。また、図14および後述の図15〜図17では、「H流量」の欄に、各ステップでガス導入口62aからチャンバ46内に導入する水素(H)ガスの流量(単位はsccm)を記載し、「N流量」の欄に、各ステップでガス導入口62aからチャンバ46内に導入する窒素(N)ガスの流量(単位はsccm)を記載している。また、図14および後述の図15〜図17では、「Ar流量」の欄に、各ステップでガス導入口62aからチャンバ46内に導入するアルゴン(Ar)ガスの流量(単位はsccm)を記載している。また、図14および後述の図15〜図17では、「高周波RFパワー」の欄に、各ステップで下部電極61と上部電極62との間に供給(印加)する高周波電力(単位はW)を記載し、印加する周波数は13.56MHzとしている。また、後述の図16および図17では、「低周波RFパワー」の欄に、各ステップで下部電極61と上部電極62との間に供給(印加)する、「高周波RFパワー」よりも低周波数の高周波電力(単位はW)を記載し、印加する周波数は350kHzとしている。なお、図14および後述の図15〜図17の「圧力」の欄に「フルオープン」と記載されている場合は、ガス排気口65からの排気速度を最大にしてチャンバ46内を排気(真空排気)している場合に対応する。図14および後述の図15〜図17のそれぞれにおいて、示されたステップは連続的に行われる。 14 and FIGS. 15 to 17 to be described later, the time required for each step (unit: second) is described in the “time” column, and the semiconductor substrate 1 at each step is described in the “temperature” column. The heating temperature (unit: ° C.) is described, and the pressure (unit: Pa) in the chamber 46 at each step is described in the “pressure” column. In FIG. 14 and FIGS. 15 to 17 to be described later, the flow rate (unit: sccm) of silane (SiH 4 ) gas introduced into the chamber 46 from the gas introduction port 62a in each step in the column of “SiH 4 flow rate”. In the “NH 3 flow rate” column, the flow rate (unit: sccm) of ammonia (NH 3 ) gas introduced into the chamber 46 from the gas introduction port 62a in each step is described. In FIG. 14 and FIGS. 15 to 17 to be described later, the flow rate of hydrogen (H 2 ) gas introduced into the chamber 46 from the gas inlet 62a in each step is indicated in the “H 2 flow rate” column (unit is sccm). In the “N 2 flow rate” column, the flow rate (unit: sccm) of nitrogen (N 2 ) gas introduced into the chamber 46 from the gas inlet 62a in each step is described. In FIG. 14 and FIGS. 15 to 17 described later, the flow rate of argon (Ar) gas introduced into the chamber 46 from the gas inlet 62a in each step is described in the “Ar flow rate” column (unit is sccm). is doing. Further, in FIG. 14 and FIGS. 15 to 17 to be described later, high-frequency power (unit: W) supplied (applied) between the lower electrode 61 and the upper electrode 62 in each step is entered in the column of “high-frequency RF power”. The frequency to be described and applied is 13.56 MHz. In FIG. 16 and FIG. 17 to be described later, in the “low frequency RF power” column, a frequency lower than “high frequency RF power” supplied (applied) between the lower electrode 61 and the upper electrode 62 in each step. The high frequency power (unit: W) is described, and the applied frequency is 350 kHz. 14 and FIG. 15 to FIG. 17 described later, when “full open” is described, the chamber 46 is evacuated (vacuum) with the exhaust speed from the gas exhaust port 65 maximized. This corresponds to the case of exhaust. In each of FIG. 14 and FIGS. 15 to 17 described below, the steps shown are performed continuously.

上記ステップS1で半導体基板1(半導体ウエハSW)をチャンバ46内の下部電極61上に配置して下部電極61に内蔵されたヒータで加熱する。既に加熱された下部電極61上に半導体基板1(半導体ウエハSW)を配置してもよい。図14のステップS11a〜S21aの間、半導体基板1の加熱温度(半導体基板1を配置した下部電極61の温度)は、好ましくは250〜500℃、例えば480℃程度に維持される。   In step S <b> 1, the semiconductor substrate 1 (semiconductor wafer SW) is placed on the lower electrode 61 in the chamber 46 and heated by a heater built in the lower electrode 61. The semiconductor substrate 1 (semiconductor wafer SW) may be disposed on the already heated lower electrode 61. During steps S11a to S21a in FIG. 14, the heating temperature of the semiconductor substrate 1 (the temperature of the lower electrode 61 on which the semiconductor substrate 1 is disposed) is preferably maintained at 250 to 500 ° C., for example, about 480 ° C.

それから、図14のステップS11aで、ガス導入口62aからチャンバ46内に窒素(N)ガスを所定の流量(例えば18000sccm)で導入し、チャンバ46内の圧力を所定の圧力(例えば560Pa)に制御する。この圧力は、図14のステップS11a,S12aで維持される。ステップS11aは、チャンバ46内の圧力を安定化させるステップである。 Then, in step S11a of FIG. 14, nitrogen (N 2 ) gas is introduced into the chamber 46 from the gas inlet 62a at a predetermined flow rate (for example, 18000 sccm), and the pressure in the chamber 46 is set to a predetermined pressure (for example, 560 Pa). Control. This pressure is maintained in steps S11a and S12a in FIG. Step S11a is a step of stabilizing the pressure in the chamber 46.

次に、図14のステップS12aで、ステップS11aと同様の窒素(N)ガスに加えて、更にアンモニア(NH)ガスを所定の流量(例えば160sccm)でガス導入口62aからチャンバ46内に導入し、下部電極61および上部電極62間に例えば13.56MHzの高周波電力(高周波電圧)を所定の電力(好ましくは30〜1000W、例えば300W)で供給(印加)してプラズマを発生させる。これによりチャンバ46内(下部電極61および上部電極62間)のアンモニア(NH)ガスおよび窒素(N)ガスがプラズマ化し、金属シリサイド層13の表面がアンモニアプラズマで処理される。従って、ステップS12aは、金属シリサイド層13の表面をアンモニアプラズマで処理するステップであり、上記ステップS2(金属シリサイド層13の表面を還元性ガスのプラズマで処理する工程)に対応するものである。ステップS12aのアンモニアプラズマ処理によって、金属シリサイド層13の表面の自然酸化膜が還元されて除去される。ステップS12aは、10〜60秒程度行うことが好ましく、これにより、金属シリサイド層13の表面の自然酸化膜を還元して除去できるとともに、製造時間が長くなってスループットが低下するのを防止できる。また、ステップS12aにおいて、ガス導入口62aからチャンバ46内にアンモニア(NH)ガスだけでなく窒素(N)ガスも導入した理由は、圧力およびプラズマを安定化させるためである。 Next, in step S12a of FIG. 14, in addition to nitrogen (N 2 ) gas similar to that in step S11a, ammonia (NH 3 ) gas is further introduced into the chamber 46 from the gas inlet 62a into the chamber 46 at a predetermined flow rate (for example, 160 sccm). Then, plasma is generated by supplying (applying) high frequency power (high frequency voltage) of, for example, 13.56 MHz at a predetermined power (preferably 30 to 1000 W, for example, 300 W) between the lower electrode 61 and the upper electrode 62. As a result, ammonia (NH 3 ) gas and nitrogen (N 2 ) gas in the chamber 46 (between the lower electrode 61 and the upper electrode 62) are turned into plasma, and the surface of the metal silicide layer 13 is treated with ammonia plasma. Therefore, step S12a is a step of treating the surface of the metal silicide layer 13 with ammonia plasma, and corresponds to the above step S2 (step of treating the surface of the metal silicide layer 13 with plasma of reducing gas). The natural oxide film on the surface of the metal silicide layer 13 is reduced and removed by the ammonia plasma treatment in step S12a. Step S12a is preferably performed for about 10 to 60 seconds, whereby it is possible to reduce and remove the natural oxide film on the surface of the metal silicide layer 13, and it is possible to prevent the manufacturing time from increasing and the throughput from decreasing. The reason why not only ammonia (NH 3 ) gas but also nitrogen (N 2 ) gas is introduced into the chamber 46 from the gas inlet 62a in step S12a is to stabilize the pressure and plasma.

次に、図14のステップS13aで、下部電極61および上部電極62間への高周波電力(高周波電圧)の供給(印加)とガス導入口62aからチャンバ46内へのガスの導入を停止し、ガス排気口65からチャンバ46内を排気(真空排気)する。ステップS13aは、チャンバ46内を排気(真空排気)するステップである。   Next, in step S13a of FIG. 14, the supply (application) of high-frequency power (high-frequency voltage) between the lower electrode 61 and the upper electrode 62 and the introduction of gas into the chamber 46 from the gas inlet 62a are stopped. The chamber 46 is evacuated (evacuated) from the exhaust port 65. Step S13a is a step of evacuating (evacuating) the chamber 46.

次に、図14のステップS17aで、ガス導入口62aからチャンバ46内に、シラン(SiH)ガス、アンモニア(NH)ガスおよび窒素(N)ガスを所定の流量(例えばそれぞれ25sccm、50sccmおよび20000sccm)で導入し、チャンバ46内の圧力を所定の圧力(例えば800Pa)に制御する。この圧力は、図14のステップS17a〜S20aで維持される。また、チャンバ46内への窒素(N)ガスの導入は、図14のステップS17a〜S20aで維持される。ステップS17aは、チャンバ46内のガス(ガス比や圧力など)を安定化させるステップである。 Next, in step S17a of FIG. 14, silane (SiH 4 ) gas, ammonia (NH 3 ) gas, and nitrogen (N 2 ) gas are supplied from the gas inlet 62a into the chamber 46 at predetermined flow rates (for example, 25 sccm and 50 sccm, respectively). And 20000 sccm), and the pressure in the chamber 46 is controlled to a predetermined pressure (for example, 800 Pa). This pressure is maintained in steps S17a to S20a in FIG. Further, the introduction of nitrogen (N 2 ) gas into the chamber 46 is maintained in steps S17a to S20a in FIG. Step S17a is a step of stabilizing the gas (gas ratio, pressure, etc.) in the chamber 46.

また、ステップS17aでは、シラン(SiH)ガスおよびアンモニア(NH)ガスの一方または両方の流量をゼロ(すなわちチャンバ46内にシランガスおよびアンモニアガスの一方または両方を導入しない状態)にすることもできる。但し、ステップS17aでは、ステップS18aと同様にシラン(SiH)ガスおよびアンモニア(NH)ガスの両方をチャンバ46内に導入することが好ましく、これにより、ステップS18aで堆積する窒化シリコン膜の半導体ウエハSWの主面内での均一性(例えば膜厚分布の均一性)を向上させることができる。 In step S17a, the flow rate of one or both of silane (SiH 4 ) gas and ammonia (NH 3 ) gas is set to zero (that is, one or both of silane gas and ammonia gas are not introduced into chamber 46). it can. However, in step S17a, it is preferable to introduce both silane (SiH 4 ) gas and ammonia (NH 3 ) gas into the chamber 46 as in step S18a, and thereby the silicon nitride film semiconductor deposited in step S18a. The uniformity (for example, the uniformity of the film thickness distribution) within the main surface of the wafer SW can be improved.

次に、窒化シリコン膜の堆積(成膜)ステップである図14のステップS18a(および後述の図15のステップS18b)で、下部電極61および上部電極62間に例えば13.56MHzの高周波電力(高周波電圧)を所定の電力(好ましくは30〜150W、例えば45W)で供給(印加)してプラズマを発生させる。これにより、チャンバ46内(下部電極61および上部電極62間)のシラン(SiH)ガス、アンモニア(NH)ガスおよび窒素(N)ガスがプラズマ化し、下部電極61上に配置された半導体基板1上に窒化シリコン膜(プラズマ窒化シリコン膜)が堆積する。ステップS18a(および後述のステップS18b)は、半導体基板1上に窒化シリコン膜をプラズマCVD法で堆積するステップである。下部電極61および上部電極62間への上記高周波電力(例えば13.56MHz)の供給(印加)は、図14のステップS18a,S19a(および後述の図15のステップS18b,S19b)で維持される。 Next, in step S18a of FIG. 14 (and step S18b of FIG. 15 described later), which is a silicon nitride film deposition (film formation) step, for example, a high-frequency power (high-frequency) of 13.56 MHz is provided between the lower electrode 61 and the upper electrode 62. A voltage is supplied (applied) at a predetermined power (preferably 30 to 150 W, for example, 45 W) to generate plasma. As a result, silane (SiH 4 ) gas, ammonia (NH 3 ) gas, and nitrogen (N 2 ) gas in the chamber 46 (between the lower electrode 61 and the upper electrode 62) are turned into plasma, and the semiconductor disposed on the lower electrode 61. A silicon nitride film (plasma silicon nitride film) is deposited on the substrate 1. Step S18a (and step S18b described later) is a step of depositing a silicon nitride film on the semiconductor substrate 1 by a plasma CVD method. Supply (application) of the high-frequency power (for example, 13.56 MHz) between the lower electrode 61 and the upper electrode 62 is maintained in steps S18a and S19a in FIG. 14 (and steps S18b and S19b in FIG. 15 described later).

また、図14のステップS18aおよび後述の図15のステップS18bでは、ガス導入口62aからチャンバ46内に導入するアンモニア(NH)ガスとシラン(SiH)ガスの流量比が、「アンモニア(NH)ガスの流量」/「シラン(SiH)ガスの流量」で、2/1以上500/1以下であることが好ましい。また、図14のステップS18aおよび後述の図15のステップS18bでは、シラン(SiH)ガスの流量は10〜150sccmであることが好ましい。これにより、窒化シリコン膜を的確に堆積できる。 In step S18a of FIG. 14 and step S18b of FIG. 15 described later, the flow rate ratio of ammonia (NH 3 ) gas and silane (SiH 4 ) gas introduced into the chamber 46 from the gas inlet 62a is “ammonia (NH 3 ) Gas flow rate "/" Silane (SiH 4 ) gas flow rate "is preferably 2/1 or more and 500/1 or less. In step S18a in FIG. 14 and step S18b in FIG. 15 described later, the flow rate of the silane (SiH 4 ) gas is preferably 10 to 150 sccm. Thereby, the silicon nitride film can be deposited accurately.

また、ステップS18a(および後述のステップS18b)において、ガス導入口62aからチャンバ46内に、窒化シリコンのシリコンソースガスであるシラン(SiH)ガスおよび窒化シリコンの窒素ソースガスであるアンモニア(NH)ガスだけでなく、窒素(N)ガスも導入する理由は、圧力およびプラズマを安定化させるためである。 In step S18a (and step S18b described later), silane (SiH 4 ) gas, which is a silicon source gas of silicon nitride, and ammonia (NH 3), which is a nitrogen source gas of silicon nitride, enter the chamber 46 from the gas inlet 62a. ) The reason for introducing not only gas but also nitrogen (N 2 ) gas is to stabilize the pressure and plasma.

次に、図14のステップS18aで得られる引張応力膜(窒化シリコン膜)の応力(引張応力)をさらに大きくするために、ステップS18aの後に図14のステップS19aを追加してもよい。図14のステップS19aでは、ガス導入口62aからチャンバ46内へのシラン(SiH)ガスおよびアンモニア(NH)ガスの導入を停止し、窒素(N)ガスの導入を継続させる。これにより、半導体基板1の表面、すなわちステップS18aで堆積した窒化シリコン膜(プラズマ窒化シリコン膜)の表面が窒素プラズマで処理される。ステップS18aで堆積した窒化シリコン膜(プラズマ窒化シリコン膜)の表面が、ステップS19aで窒素プラズマで処理されることにより、その窒化シリコン膜(プラズマ窒化シリコン膜)中の水素(H)が低減され、未結合手が多くなる。ステップS19aは、ステップS18aで堆積した窒化シリコン膜の表面を窒素プラズマ処理するステップである。 Next, in order to further increase the stress (tensile stress) of the tensile stress film (silicon nitride film) obtained in step S18a in FIG. 14, step S19a in FIG. 14 may be added after step S18a. In step S19a of FIG. 14, the introduction of silane (SiH 4 ) gas and ammonia (NH 3 ) gas from the gas inlet 62a into the chamber 46 is stopped, and the introduction of nitrogen (N 2 ) gas is continued. Thereby, the surface of the semiconductor substrate 1, that is, the surface of the silicon nitride film (plasma silicon nitride film) deposited in step S18a is treated with nitrogen plasma. By treating the surface of the silicon nitride film (plasma silicon nitride film) deposited in step S18a with nitrogen plasma in step S19a, hydrogen (H) in the silicon nitride film (plasma silicon nitride film) is reduced, There are many unbound hands. Step S19a is a step of performing nitrogen plasma treatment on the surface of the silicon nitride film deposited in step S18a.

その後、ステップS18aで堆積された窒化シリコン膜の合計膜厚が所望の膜厚になるまで、図14のステップS17a,S18a,S19aを1サイクル以上繰り返す。すなわち、ステップS17a、ステップS18aおよびステップS19aを順に行ってから、ステップS17aに戻って再度ステップS17a、ステップS18aおよびステップS19aを順に行い、これを窒化シリコン膜の堆積厚みが所望の厚みになるまで繰り返す。従って、ステップS17a,S18a,S19aを1回以上の所定回数繰り返したものが、上記ステップS3(絶縁膜21の堆積工程)に対応するものとなる。ステップS17a,S18a,S19aを繰り返す際には、ステップS18a,S19aで下部電極61および上部電極62間へ上記高周波電力(例えば13.56MHz)が供給(印加)され、ステップS17aでは、下部電極61および上部電極62間へ高周波電力は供給(印加)されない。   Thereafter, steps S17a, S18a, and S19a in FIG. 14 are repeated one or more cycles until the total thickness of the silicon nitride films deposited in step S18a reaches a desired thickness. That is, after step S17a, step S18a, and step S19a are performed in order, the process returns to step S17a and step S17a, step S18a, and step S19a are performed again in order, and this is repeated until the deposited thickness of the silicon nitride film reaches a desired thickness. . Accordingly, the step S17a, S18a, S19a repeated one or more times a predetermined number of times corresponds to the step S3 (deposition step of the insulating film 21). When steps S17a, S18a, and S19a are repeated, the high-frequency power (for example, 13.56 MHz) is supplied (applied) between the lower electrode 61 and the upper electrode 62 in steps S18a and S19a, and in step S17a, the lower electrode 61 and High frequency power is not supplied (applied) between the upper electrodes 62.

図14のステップS17a,S18a,S19aを繰り返して半導体基板1上に所望の膜厚の窒化シリコン膜が成膜された後、図14のステップS20aで、下部電極61および上部電極62間への高周波電力(高周波電圧)の供給(印加)を停止する。ステップS20aでは、ガス導入口62aからチャンバ46内へ窒素(N)ガスだけが導入され、チャンバ46内が窒素(N)ガスでパージされる。 After steps S17a, S18a, and S19a in FIG. 14 are repeated to form a silicon nitride film having a desired film thickness on the semiconductor substrate 1, a high frequency between the lower electrode 61 and the upper electrode 62 is obtained in step S20a in FIG. Stop supplying (applying) power (high-frequency voltage). In step S20a, only nitrogen (N 2 ) gas is introduced into the chamber 46 from the gas inlet 62a, and the inside of the chamber 46 is purged with nitrogen (N 2 ) gas.

次に、図14のステップS21aで、ガス導入口62aからチャンバ46内へのガスの導入を停止し、ガス排気口65からチャンバ46内を排気(真空排気)する。ステップS21aは、チャンバ46内を排気(真空排気)するステップである。   Next, in step S21a of FIG. 14, the introduction of gas from the gas inlet 62a into the chamber 46 is stopped, and the inside of the chamber 46 is exhausted (vacuum exhausted) from the gas exhaust port 65. Step S21a is a step of evacuating (evacuating) the chamber 46.

その後、上記ステップS4で、半導体基板1(半導体ウエハSW)はチャンバ46から取り出され、次の工程(絶縁膜22の成膜工程)に送られる。   Thereafter, in step S4, the semiconductor substrate 1 (semiconductor wafer SW) is taken out of the chamber 46 and sent to the next process (film formation process of the insulating film 22).

半導体基板1の主面上にプラズマCVD法により形成する窒化シリコン膜は、膜中の未結合手が多いと半導体基板1に引張応力を生じさせる膜となり、膜中の未結合手を少なくし膜をより緻密化することで半導体基板1に圧縮応力を生じさせる膜となる。ステップS18aで、成膜用ガスの構成元素として含まれる水素(ここではSiHおよびNHにおける水素元素)が膜中に取り込まれた窒化シリコン膜が堆積するが、その窒化シリコン膜中の水素(H)がステップS19aの窒素プラズマ処理により低減され、窒化シリコン膜中の未結合手をより多くさせることができる。このため、ステップS17a,S18a,S19aを繰り返すことで所望の厚みまで堆積された窒化シリコン膜からなる絶縁膜21(これが後述する絶縁膜21aに対応する)は、膜中の未結合手がより多い膜となり、それによって、半導体基板1にさらに大きな引張応力を生じさせる膜となる。絶縁膜21を半導体基板1に引張応力を生じさせる膜にすることで、nチャネル型MISFETQnは、移動度が向上して駆動電流が増加するので、スイッチング特性を向上することができる。 A silicon nitride film formed by plasma CVD on the main surface of the semiconductor substrate 1 becomes a film that causes tensile stress in the semiconductor substrate 1 when there are a large number of dangling bonds in the film, thereby reducing dangling bonds in the film. As a result, the semiconductor substrate 1 becomes a film that generates compressive stress. In step S18a, a silicon nitride film in which hydrogen (a hydrogen element in SiH 4 and NH 3 in this case) contained as a constituent element of the film-forming gas is taken into the film is deposited, but the hydrogen in the silicon nitride film ( H) is reduced by the nitrogen plasma treatment in step S19a, and the number of dangling bonds in the silicon nitride film can be increased. Therefore, the insulating film 21 made of a silicon nitride film deposited to a desired thickness by repeating steps S17a, S18a, and S19a (which corresponds to an insulating film 21a described later) has more dangling bonds in the film. It becomes a film, and thereby a film that causes a larger tensile stress on the semiconductor substrate 1. By making the insulating film 21 a film that generates a tensile stress in the semiconductor substrate 1, the n-channel type MISFET Qn has improved mobility and increased driving current, so that switching characteristics can be improved.

また、ステップS17a,S18a,S19aを複数サイクル繰り返して絶縁膜21を形成すれば、各サイクルのステップS18aで堆積される窒化シリコン膜の厚みを薄くしてそれをステップS19aで窒素プラズマ処理することになるので、最終膜厚の窒化シリコン膜を一度のステップで堆積してそれを窒素プラズマ処理した場合に比べて、窒素プラズマによる水素の低減効果が大きい。このため、最終的に形成された絶縁膜21中の未結合手がより多くなり、絶縁膜21が半導体基板1に生じさせる引張応力をより大きくすることができる。   Further, if the insulating film 21 is formed by repeating steps S17a, S18a, and S19a for a plurality of cycles, the thickness of the silicon nitride film deposited in step S18a of each cycle is reduced, and it is subjected to nitrogen plasma treatment in step S19a. Therefore, the effect of reducing hydrogen by nitrogen plasma is greater than when a silicon nitride film having a final thickness is deposited in a single step and then subjected to nitrogen plasma treatment. For this reason, the number of dangling bonds in the finally formed insulating film 21 is increased, and the tensile stress generated by the insulating film 21 on the semiconductor substrate 1 can be further increased.

次に、上記ステップS3で形成した絶縁膜21を半導体基板1に引張応力を生じさせる膜とする場合でかつ上記ステップS2の還元性ガスのプラズマ処理を水素プラズマで行う場合について、図15を参照して説明する。   Next, refer to FIG. 15 for the case where the insulating film 21 formed in step S3 is a film that generates tensile stress in the semiconductor substrate 1 and the plasma treatment of the reducing gas in step S2 is performed with hydrogen plasma. To explain.

図15は、絶縁膜21(窒化シリコン膜)の成膜工程における第2の成膜方法のプロセスステップを示す説明図(表)であり、ステップS1でチャンバ46内に半導体基板1を配置した後、ステップS4でチャンバ46から半導体基板1を取り出すまでの、チャンバ46の状態が示されている。なお、図15の第2の成膜方法は、上記ステップS2の還元性ガスのプラズマ処理を水素プラズマで行い、かつ上記ステップS3で形成した絶縁膜21を半導体基板1に引張応力を生じさせる膜とする場合の好ましい実施例(実施の形態、具体例)に対応する。   FIG. 15 is an explanatory diagram (table) showing process steps of the second film formation method in the film formation process of the insulating film 21 (silicon nitride film), and after the semiconductor substrate 1 is placed in the chamber 46 in step S1. The state of the chamber 46 until the semiconductor substrate 1 is taken out from the chamber 46 in step S4 is shown. In the second film forming method of FIG. 15, the reducing gas plasma treatment in step S2 is performed with hydrogen plasma, and the insulating film 21 formed in step S3 is a film that generates a tensile stress on the semiconductor substrate 1. This corresponds to a preferable example (embodiment, specific example).

上記ステップS1で半導体基板1(半導体ウエハSW)をチャンバ46内の下部電極61上に配置して下部電極61に内蔵されたヒータで加熱する。既に加熱された下部電極61上に半導体基板1(半導体ウエハSW)を配置してもよい。図15のステップS11b〜S21bの間、半導体基板1の加熱温度(半導体基板1を配置した下部電極61の温度)は、、好ましくは250〜500℃、例えば480℃程度に維持される。   In step S <b> 1, the semiconductor substrate 1 (semiconductor wafer SW) is placed on the lower electrode 61 in the chamber 46 and heated by a heater built in the lower electrode 61. The semiconductor substrate 1 (semiconductor wafer SW) may be disposed on the already heated lower electrode 61. During steps S11b to S21b in FIG. 15, the heating temperature of the semiconductor substrate 1 (the temperature of the lower electrode 61 on which the semiconductor substrate 1 is disposed) is preferably maintained at 250 to 500 ° C., for example, about 480 ° C.

それから、図15のステップS11bで、ガス導入口62aからチャンバ46内に水素(H)ガスおよびアルゴン(Ar)ガスを所定の流量(例えばそれぞれ4000sccmおよび800sccm)で導入し、チャンバ46内の圧力を所定の圧力(例えば560Pa)に制御する。この流量および圧力は、ステップS11b〜S12bで維持される。ステップS11bは、チャンバ46内の圧力を安定化させるステップである。 Then, in step S11b of FIG. 15, hydrogen (H 2 ) gas and argon (Ar) gas are introduced into the chamber 46 from the gas inlet 62a at a predetermined flow rate (for example, 4000 sccm and 800 sccm, respectively), and the pressure in the chamber 46 is increased. Is controlled to a predetermined pressure (for example, 560 Pa). This flow rate and pressure are maintained in steps S11b to S12b. Step S11b is a step of stabilizing the pressure in the chamber 46.

次に、図15のステップS12bで、下部電極61および上部電極62間に例えば13.56MHzの高周波電力(高周波電圧)を所定の電力(好ましくは30〜1000W、例えば300W)で供給(印加)してプラズマを発生させる。これによりチャンバ46内(下部電極61および上部電極62間)の水素(H)ガスおよびアルゴン(Ar)ガスがプラズマ化し、金属シリサイド層13の表面が水素プラズマで処理される。従って、ステップS12bは、金属シリサイド層13の表面を水素プラズマで処理するステップであり、上記ステップS2(金属シリサイド層13の表面を還元性ガスのプラズマで処理する工程)に対応するものである。ステップS12bの水素プラズマ処理によって、金属シリサイド層13の表面の自然酸化膜が還元されて除去される。ステップS12bは、10〜60秒程度行うことが好ましく、これにより、金属シリサイド層13の表面の自然酸化膜を還元して除去できるとともに、製造時間が長くなってスループットが低下するのを防止できる。 Next, in step S12b of FIG. 15, high frequency power (high frequency voltage) of, for example, 13.56 MHz is supplied (applied) between the lower electrode 61 and the upper electrode 62 at a predetermined power (preferably 30 to 1000 W, for example, 300 W). To generate plasma. As a result, the hydrogen (H 2 ) gas and the argon (Ar) gas in the chamber 46 (between the lower electrode 61 and the upper electrode 62) are turned into plasma, and the surface of the metal silicide layer 13 is treated with hydrogen plasma. Therefore, step S12b is a step of treating the surface of the metal silicide layer 13 with hydrogen plasma, and corresponds to the above step S2 (step of treating the surface of the metal silicide layer 13 with plasma of reducing gas). The natural oxide film on the surface of the metal silicide layer 13 is reduced and removed by the hydrogen plasma treatment in step S12b. Step S12b is preferably performed for about 10 to 60 seconds, whereby it is possible to reduce and remove the natural oxide film on the surface of the metal silicide layer 13, and it is possible to prevent the manufacturing time from increasing and the throughput from decreasing.

また、ステップS12bにおいて、ガス導入口62aからチャンバ46内に水素(H)だけでなくアルゴン(Ar)ガスも導入した理由は、不活性ガスとして、プラズマを安定化させるためである。 The reason why not only hydrogen (H 2 ) but also argon (Ar) gas is introduced into the chamber 46 from the gas inlet 62a in step S12b is to stabilize the plasma as an inert gas.

次に、図15のステップS13bで、下部電極61および上部電極62間への高周波電力(高周波電圧)の供給(印加)とガス導入口62aからチャンバ46内へのガスの導入を停止し、ガス排気口65からチャンバ46内を排気(真空排気)する。ステップS13bは、チャンバ46内を排気(真空排気)するステップである。   Next, in step S13b of FIG. 15, the supply (application) of high-frequency power (high-frequency voltage) between the lower electrode 61 and the upper electrode 62 and the introduction of gas from the gas inlet 62a into the chamber 46 are stopped, The chamber 46 is evacuated (evacuated) from the exhaust port 65. Step S13b is a step of evacuating (evacuating) the chamber 46.

次に、図15のステップS14bで、ガス導入口62aからチャンバ46内にアンモニア(NH)ガスおよび窒素(N)ガスを所定の流量(例えばそれぞれ100sccmおよび20000sccm)導入し、チャンバ46内の圧力を所定の圧力(例えば800Pa)に制御する。この圧力は、図15のステップS14b〜S20bで維持される。また、チャンバ46内への窒素(N)ガスの導入は、図15のステップS14b〜S20bで維持される。ステップS14bは、チャンバ46内の圧力を安定化させるステップであるが、不要であれば省略することもできる。但し、後述するステップS15bでシラン(SiH)ガスの流量よりもアンモニア(NH)ガスの流量を十分に多くする場合には、ステップS14bを設けることがより好ましく、チャンバ46内のガスの安定性を高めることができる。 Next, in step S14b of FIG. 15, ammonia (NH 3 ) gas and nitrogen (N 2 ) gas are introduced into the chamber 46 from the gas inlet 62a at predetermined flow rates (for example, 100 sccm and 20000 sccm, respectively). The pressure is controlled to a predetermined pressure (for example, 800 Pa). This pressure is maintained in steps S14b to S20b in FIG. Further, the introduction of nitrogen (N 2 ) gas into the chamber 46 is maintained in steps S14b to S20b in FIG. Step S14b is a step of stabilizing the pressure in the chamber 46, but may be omitted if unnecessary. However, in the case where the flow rate of ammonia (NH 3 ) gas is sufficiently larger than the flow rate of silane (SiH 4 ) gas in step S 15 b described later, it is more preferable to provide step S 14 b and to stabilize the gas in the chamber 46. Can increase the sex.

次に、図15のステップS15bで、ステップS14bと同様のアンモニア(NH)ガスおよび窒素(N)ガスに加えて、更にシラン(SiH)ガスを所定の流量(例えば75sccm)でガス導入口62aからチャンバ46内に導入する。このステップS15bは、半導体基板1の金属シリサイド層13上に金属シリサイドの触媒作用により薄い窒化膜(後述の窒化膜73bに対応)を形成するためのステップである。ステップS15bでは、ガス導入口62aからチャンバ46内にシラン(SiH)ガス、アンモニア(NH)ガスおよび窒素(N)ガスを導入する状態を、好ましくは3〜30秒程度継続する。ステップS15bを3〜30秒とすることで、後述の窒化膜73bを形成できるとともに、製造時間が長くなるのを防止できる。 Next, in step S15b in FIG. 15, in addition to ammonia (NH 3 ) gas and nitrogen (N 2 ) gas similar to step S14b, silane (SiH 4 ) gas is further introduced at a predetermined flow rate (for example, 75 sccm). The gas is introduced into the chamber 46 from the port 62a. This step S15b is a step for forming a thin nitride film (corresponding to a nitride film 73b described later) on the metal silicide layer 13 of the semiconductor substrate 1 by the catalytic action of the metal silicide. In step S15b, the state of introducing silane (SiH 4 ) gas, ammonia (NH 3 ) gas, and nitrogen (N 2 ) gas into the chamber 46 from the gas inlet 62a is preferably continued for about 3 to 30 seconds. By setting step S15b to 3 to 30 seconds, a nitride film 73b described later can be formed, and an increase in manufacturing time can be prevented.

次に、図15のステップS16bで、ガス導入口62aからチャンバ46内へのシラン(SiH)ガスおよびアンモニア(NH)ガスの導入を停止し、ガス導入口62aからチャンバ46内への導入ガスを窒素(N)ガスだけにする。ステップS16bは、チャンバ46からシラン(SiH)ガスおよびアンモニア(NH)ガスを排気するステップである。ステップS16bは、不要であれば省略することもできる。但し、上記ステップS15bと後述のステップS17b,S18bとで、チャンバ46内に導入するシラン(SiH)ガスとアンモニア(NH)ガスの流量比が異なる場合には、ステップS16bを設けることがより好ましく、これにより、後述のステップS17b,S18bでチャンバ46内のガスをより安定にすることができる。 Next, in step S16b of FIG. 15, introduction of silane (SiH 4 ) gas and ammonia (NH 3 ) gas into the chamber 46 from the gas introduction port 62a is stopped, and introduction into the chamber 46 from the gas introduction port 62a. The gas is only nitrogen (N 2 ) gas. Step S <b> 16 b is a step of exhausting silane (SiH 4 ) gas and ammonia (NH 3 ) gas from the chamber 46. Step S16b can be omitted if unnecessary. However, if the flow rate ratio of silane (SiH 4 ) gas and ammonia (NH 3 ) gas introduced into the chamber 46 is different between step S15b and steps S17b and S18b described later, step S16b is provided. Preferably, this makes it possible to make the gas in the chamber 46 more stable in steps S17b and S18b described later.

これ以降は、上記ステップS17a,S18a,S19a,S20a,S21aと同様のステップS17b,S18b,S19b,S20b,S21bを行う。   Thereafter, steps S17b, S18b, S19b, S20b, and S21b similar to steps S17a, S18a, S19a, S20a, and S21a are performed.

すなわち、図15のステップS17bで、ガス導入口62aからチャンバ46内に、ステップS14bと同様の窒素(N)ガスに加えて、更にシラン(SiH)ガスおよびアンモニア(NH)ガスを所定の流量(例えばそれぞれ25sccmおよび50sccm)で導入する。ステップS17bは、チャンバ46内のガス(ガス比や圧力など)を安定化させるステップである。 That is, in step S17b of FIG. 15, in addition to the same nitrogen (N 2 ) gas as in step S14b, silane (SiH 4 ) gas and ammonia (NH 3 ) gas are further supplied into the chamber 46 from the gas inlet 62a. At a flow rate of, for example, 25 sccm and 50 sccm, respectively. Step S17b is a step of stabilizing the gas (gas ratio, pressure, etc.) in the chamber 46.

次に、図15のステップS18bで、下部電極61および上部電極62間に例えば13.56MHzの高周波電力(高周波電圧)を所定の電力(好ましくは30〜150W、例えば45W)で供給(印加)してプラズマを発生させる。これにより、チャンバ46内(下部電極61および上部電極62間)のシラン(SiH)ガス、アンモニア(NH)ガスおよび窒素(N)ガスがプラズマ化し、下部電極61上に配置された半導体基板1上に窒化シリコン膜(プラズマ窒化シリコン膜)が堆積する。ステップS18bは、半導体基板1上に窒化シリコンをプラズマCVD法で堆積するステップである。下部電極61および上部電極62間への上記高周波電力(例えば13.56MHz)の供給(印加)は、ステップS18b〜S19bで維持される。 Next, in step S18b of FIG. 15, high frequency power (high frequency voltage) of, for example, 13.56 MHz is supplied (applied) between the lower electrode 61 and the upper electrode 62 at a predetermined power (preferably 30 to 150 W, for example, 45 W). To generate plasma. As a result, silane (SiH 4 ) gas, ammonia (NH 3 ) gas, and nitrogen (N 2 ) gas in the chamber 46 (between the lower electrode 61 and the upper electrode 62) are turned into plasma, and the semiconductor disposed on the lower electrode 61. A silicon nitride film (plasma silicon nitride film) is deposited on the substrate 1. Step S18b is a step of depositing silicon nitride on the semiconductor substrate 1 by a plasma CVD method. The supply (application) of the high-frequency power (for example, 13.56 MHz) between the lower electrode 61 and the upper electrode 62 is maintained in steps S18b to S19b.

次に、図15のステップS18bで得られる引張応力膜(窒化シリコン膜)の応力(引張応力)をさらに大きくするために、ステップS18bの後に図15のステップS19bを追加してもよい。図15のステップS19bでは、ガス導入口62aからチャンバ46内へのシラン(SiH)ガスおよびアンモニア(NH)ガスの導入を停止し、窒素(N)ガスの導入を継続させる。これにより、半導体基板1の表面、すなわちステップS18bで堆積した窒化シリコン膜(プラズマ窒化シリコン膜)の表面が窒素プラズマで処理される。ステップS18bで堆積した窒化シリコン膜(プラズマ窒化シリコン膜)の表面が、ステップS19bで窒素プラズマで処理されることにより、その窒化シリコン膜(プラズマ窒化シリコン膜)中の水素(H)が低減され、未結合手が多くなる。ステップS19bは、ステップS18bで堆積した窒化シリコン膜の表面を窒素プラズマ処理するステップである。 Next, in order to further increase the stress (tensile stress) of the tensile stress film (silicon nitride film) obtained in step S18b of FIG. 15, step S19b of FIG. 15 may be added after step S18b. In step S19b of FIG. 15, the introduction of silane (SiH 4 ) gas and ammonia (NH 3 ) gas from the gas inlet 62a into the chamber 46 is stopped, and the introduction of nitrogen (N 2 ) gas is continued. Thus, the surface of the semiconductor substrate 1, that is, the surface of the silicon nitride film (plasma silicon nitride film) deposited in step S18b is treated with nitrogen plasma. By treating the surface of the silicon nitride film (plasma silicon nitride film) deposited in step S18b with nitrogen plasma in step S19b, hydrogen (H) in the silicon nitride film (plasma silicon nitride film) is reduced, There are many unbound hands. Step S19b is a step of performing nitrogen plasma treatment on the surface of the silicon nitride film deposited in step S18b.

その後、ステップS18bで堆積された窒化シリコン膜の合計膜厚が所望の膜厚になるまで、ステップS17b,S18b,S19bを1サイクル以上繰り返す。すなわち、ステップS17b、ステップS18bおよびステップS19bを順に行ってから、ステップS17bに戻って再度ステップS17b、ステップS18bおよびステップS19bを順に行い、これを窒化シリコン膜の堆積厚みが所望の厚みになるまで繰り返す。従って、ステップS17b,S18b,S19bを1回以上の所定回数繰り返したものが、上記ステップS3(絶縁膜21の堆積工程)に対応するものとなる。ステップS17b,S18b,S19bを繰り返す際には、ステップS18b〜S19bで下部電極61および上部電極62間へ上記高周波電力(例えば13.56MHz)が供給(印加)され、ステップS17bでは、下部電極61および上部電極62間へ高周波電力は供給(印加)されない。   Thereafter, steps S17b, S18b, and S19b are repeated one or more cycles until the total thickness of the silicon nitride films deposited in step S18b reaches a desired thickness. That is, after step S17b, step S18b, and step S19b are performed in order, the process returns to step S17b and step S17b, step S18b, and step S19b are performed again in order, and this is repeated until the deposition thickness of the silicon nitride film reaches a desired thickness. . Accordingly, the step S17b, S18b, S19b repeated one or more times a predetermined number of times corresponds to the step S3 (deposition step of the insulating film 21). When steps S17b, S18b, and S19b are repeated, the high-frequency power (for example, 13.56 MHz) is supplied (applied) between the lower electrode 61 and the upper electrode 62 in steps S18b to S19b, and in step S17b, the lower electrode 61 and High frequency power is not supplied (applied) between the upper electrodes 62.

ステップS17b,S18b,S19bを繰り返して半導体基板1上に所望の膜厚の窒化シリコン膜が成膜された後、図15のステップS20bで、下部電極61および上部電極62間への高周波電力(高周波電圧)の供給(印加)を停止する。ステップS20bでは、ガス導入口62aからチャンバ46内へ窒素(N)ガスだけが導入され、チャンバ46内が窒素(N)ガスでパージされる。 After steps S17b, S18b, and S19b are repeated to form a silicon nitride film having a desired film thickness on the semiconductor substrate 1, in step S20b of FIG. (Voltage) supply (application) is stopped. In step S20b, only nitrogen (N 2 ) gas is introduced into the chamber 46 from the gas inlet 62a, and the inside of the chamber 46 is purged with nitrogen (N 2 ) gas.

次に、図15のステップS21bで、ガス導入口62aからチャンバ46内へのガスの導入を停止し、ガス排気口65からチャンバ46内を排気(真空排気)する。ステップS21bは、チャンバ46内を排気(真空排気)するステップである。   Next, in step S21b of FIG. 15, the introduction of gas from the gas inlet 62a into the chamber 46 is stopped, and the inside of the chamber 46 is exhausted (vacuum exhausted) from the gas outlet 65. Step S21b is a step of evacuating (evacuating) the chamber 46.

その後、上記ステップS4で、半導体基板1(半導体ウエハSW)はチャンバ46から取り出され、次の工程(絶縁膜22の成膜工程)に送られる。   Thereafter, in step S4, the semiconductor substrate 1 (semiconductor wafer SW) is taken out of the chamber 46 and sent to the next process (film formation process of the insulating film 22).

上記ステップS17a,s18a,S19aと同様のステップS17b,S18b,S19bを繰り返すことで所望の厚みまで堆積された窒化シリコン膜からなる絶縁膜21(これが後述する絶縁膜21aに対応する)は、上述したように、膜中の未結合手がより多い膜となり、それによって、半導体基板1にさらに大きな引張応力を生じさせる膜となる。絶縁膜21を半導体基板1に引張応力を生じさせる膜にすることで、nチャネル型MISFETQnは、移動度が向上して駆動電流が増加するので、スイッチング特性を向上することができる。   The insulating film 21 made of a silicon nitride film deposited to a desired thickness by repeating steps S17b, S18b, and S19b similar to steps S17a, s18a, and S19a described above corresponds to the insulating film 21a described later. As described above, the film has a larger number of dangling bonds in the film, and as a result, a film that causes a greater tensile stress on the semiconductor substrate 1 is obtained. By making the insulating film 21 a film that generates a tensile stress in the semiconductor substrate 1, the n-channel type MISFET Qn has improved mobility and increased driving current, so that switching characteristics can be improved.

また、ステップS18a,S18bで堆積した窒化シリコン膜(プラズマ窒化シリコン膜)中の未結合手を多くすることを目的として、上記ステップS19a,19b(窒素プラズマ処理)の代わりに、半導体基板1(半導体ウエハSW)にUV(紫外線)照射処理を行うこともできる。この場合、例えば、ステップS18aまたはステップS18bで窒化シリコン膜を堆積した後、チャンバ46から半導体ウエハSW(半導体基板1)を取り出してUV照射処理用チャンバ(例えばチャンバ47a,47bなど)に移動させ、そのチャンバ内で半導体ウエハSWにUV照射処理を行ってから、その半導体ウエハSWをチャンバ46内に戻し、再度ステップS18aまたはステップS18bの窒化シリコン膜の堆積を行う。すなわち、ステップS18aまたはステップS18bのチャンバ46でのプラズマ窒化シリコン膜の堆積と、他のチャンバでのUV照射処理とを、ステップS18aまたはステップS18bで堆積された窒化シリコン膜の合計膜厚が所望の膜厚になるまで繰り返すことで、所望の厚みの絶縁膜21を形成する。ステップS18a,S18bでは水素を含む窒化シリコン膜が堆積するが、その窒化シリコン膜中の水素(H)がUV照射処理によってより低減され、窒化シリコン膜中の未結合手をより多くさせることができる。このため、ステップS18aまたはステップS18bとUV照射処理とを繰り返すことで所望の厚みまで堆積された窒化シリコン膜からなる絶縁膜21は、膜中の未結合手がより多い膜となり、それによって、半導体基板1にさらに大きな引張応力を生じさせる膜とすることができる。   Further, for the purpose of increasing the number of dangling bonds in the silicon nitride film (plasma silicon nitride film) deposited in steps S18a and S18b, instead of steps S19a and 19b (nitrogen plasma treatment), the semiconductor substrate 1 (semiconductor The wafer SW can be subjected to UV (ultraviolet) irradiation treatment. In this case, for example, after depositing a silicon nitride film in step S18a or step S18b, the semiconductor wafer SW (semiconductor substrate 1) is taken out from the chamber 46 and moved to a UV irradiation processing chamber (for example, the chambers 47a and 47b). After the semiconductor wafer SW is subjected to UV irradiation treatment in the chamber, the semiconductor wafer SW is returned to the chamber 46, and the silicon nitride film is deposited again in step S18a or step S18b. In other words, the deposition of the plasma silicon nitride film in the chamber 46 in step S18a or step S18b and the UV irradiation process in the other chamber, the total film thickness of the silicon nitride film deposited in step S18a or step S18b is desired. By repeating until the film thickness is reached, the insulating film 21 having a desired thickness is formed. In steps S18a and S18b, a silicon nitride film containing hydrogen is deposited, but hydrogen (H) in the silicon nitride film is further reduced by the UV irradiation treatment, and more dangling bonds in the silicon nitride film can be obtained. . For this reason, the insulating film 21 made of a silicon nitride film deposited to a desired thickness by repeating the step S18a or step S18b and the UV irradiation process becomes a film with more dangling bonds in the film, thereby making the semiconductor It can be set as the film | membrane which produces the bigger tensile stress on the board | substrate 1. FIG.

次に、上記ステップS3で形成した絶縁膜21を半導体基板1に圧縮応力を生じさせる膜とする場合でかつ上記ステップS2の還元性ガスのプラズマ処理をアンモニアプラズマで行う場合について、図16を参照して説明する。   Next, refer to FIG. 16 for the case where the insulating film 21 formed in step S3 is a film that generates compressive stress in the semiconductor substrate 1 and the plasma treatment of the reducing gas in step S2 is performed with ammonia plasma. To explain.

図16は、絶縁膜21(窒化シリコン膜)の成膜工程における第3の成膜方法のプロセスステップを示す説明図(表)であり、ステップS1でチャンバ46内に半導体基板1を配置した後、ステップS4でチャンバ46から半導体基板1を取り出すまでの、チャンバ46の状態が示されている。なお、図16の第3の成膜方法は、上記ステップS2の還元性ガスのプラズマ処理をアンモニアプラズマで行い、かつ上記ステップS3で形成した絶縁膜21を半導体基板1に圧縮応力を生じさせる膜とする場合の好ましい実施例(実施の形態、具体例)に対応する。   FIG. 16 is an explanatory diagram (table) showing process steps of the third film forming method in the film forming process of the insulating film 21 (silicon nitride film), and after the semiconductor substrate 1 is placed in the chamber 46 in step S1. The state of the chamber 46 until the semiconductor substrate 1 is taken out from the chamber 46 in step S4 is shown. In the third film forming method of FIG. 16, the plasma treatment of the reducing gas in step S2 is performed with ammonia plasma, and the insulating film 21 formed in step S3 is a film that generates compressive stress on the semiconductor substrate 1. This corresponds to a preferable example (embodiment, specific example).

上記ステップS1で半導体基板1(半導体ウエハSW)をチャンバ46内の下部電極61上に配置して下部電極61に内蔵されたヒータで加熱する。既に加熱された下部電極61上に半導体基板1(半導体ウエハSW)を配置してもよい。図16のステップS31a〜S41aの間、半導体基板1の加熱温度(半導体基板1を配置した下部電極61の温度)は、好ましくは250〜500℃、例えば480℃程度に維持される。   In step S <b> 1, the semiconductor substrate 1 (semiconductor wafer SW) is placed on the lower electrode 61 in the chamber 46 and heated by a heater built in the lower electrode 61. The semiconductor substrate 1 (semiconductor wafer SW) may be disposed on the already heated lower electrode 61. During steps S31a to S41a in FIG. 16, the heating temperature of the semiconductor substrate 1 (the temperature of the lower electrode 61 on which the semiconductor substrate 1 is disposed) is preferably maintained at 250 to 500 ° C., for example, about 480 ° C.

次に、図16のステップS31aで上記図14のステップS11aと同様の動作(処理)を行い、それから、図16のステップS32aで上記図14のステップS12aと同様の動作(処理)を行い、それから、図16のステップS33aで上記図14のステップS13aと同様の動作(処理)を行う。すなわち、図16のステップS31a,32a,33aは、それぞれ上記図14のステップS11a,12a,13aと同様の動作および処理であるので、ここではその説明は省略する。   Next, in step S31a in FIG. 16, the same operation (process) as in step S11a in FIG. 14 is performed. Then, in step S32a in FIG. 16, the same operation (process) as in step S12a in FIG. In step S33a in FIG. 16, the same operation (process) as in step S13a in FIG. 14 is performed. That is, steps S31a, 32a, and 33a in FIG. 16 are the same operations and processes as steps S11a, 12a, and 13a in FIG.

次に、図16のステップS35aで、ガス導入口62aからチャンバ46内に、シラン(SiH)ガス、アンモニア(NH)ガスおよび窒素(N)ガスを所定の流量(例えばそれぞれ60sccm、130sccmおよび4000sccm)で導入し、チャンバ46内の圧力を所定の圧力(例えば267Pa)に制御する。この圧力は、図16のステップS35a〜S40aで維持される。また、チャンバ46内へのシラン(SiH)ガスおよびアンモニア(NH)ガスの導入は、図16のステップS35a〜S39aで維持される。また、チャンバ46内への窒素(N)ガスの導入は、ステップS35a,S36aと、ステップS37aと、ステップS38aと、ステップS39a,40aとで、順に流量を低減させる。ステップS35aは、チャンバ46内のガス(ガス比や圧力など)を安定化させるステップである。 Next, in step S35a of FIG. 16, silane (SiH 4 ) gas, ammonia (NH 3 ) gas, and nitrogen (N 2 ) gas are supplied from the gas inlet 62a into the chamber 46 at predetermined flow rates (for example, 60 sccm and 130 sccm, respectively). And 4000 sccm), and the pressure in the chamber 46 is controlled to a predetermined pressure (for example, 267 Pa). This pressure is maintained in steps S35a to S40a in FIG. Further, introduction of silane (SiH 4 ) gas and ammonia (NH 3 ) gas into the chamber 46 is maintained in steps S35a to S39a in FIG. Introducing nitrogen (N 2 ) gas into the chamber 46 reduces the flow rate in order in steps S35a and S36a, step S37a, step S38a, and steps S39a and 40a. Step S35a is a step of stabilizing the gas (gas ratio, pressure, etc.) in the chamber 46.

また、ステップS35aでは、シラン(SiH)ガスおよびアンモニア(NH)ガスの一方または両方の流量をゼロ(すなわちチャンバ46内にシランガスおよびアンモニアガスの一方または両方を導入しない状態)にすることもできる。但し、ステップS35aでも、シラン(SiH)ガスおよびアンモニア(NH)ガスの両方をチャンバ46内に導入することが好ましく、これにより、後で堆積する窒化シリコン膜の半導体ウエハSWの主面内での均一性(例えば膜厚分布の均一性)を向上させることができる。 In step S35a, the flow rate of one or both of silane (SiH 4 ) gas and ammonia (NH 3 ) gas is set to zero (that is, one or both of silane gas and ammonia gas are not introduced into chamber 46). it can. However, also in step S35a, it is preferable to introduce both silane (SiH 4 ) gas and ammonia (NH 3 ) gas into the chamber 46, so that the silicon nitride film to be deposited later on the main surface of the semiconductor wafer SW (For example, the uniformity of the film thickness distribution) can be improved.

次に、図16のステップS36aで、ステップS35aと同様のシラン(SiH)ガス、アンモニア(NH)ガスおよび窒素(N)ガスに加えて、更にアルゴン(Ar)ガスを所定の流量(例えば1000sccm)でガス導入口62aからチャンバ46内に導入し、下部電極61および上部電極62間に例えば350kHzの高周波電力(高周波電圧)を所定の電力(例えば75W)で供給(印加)する。下部電極61および上部電極62間へのこの350kHzの高周波電力の供給(印加)は、図16のステップS36a〜S39bで維持される。また、チャンバ46内へのアルゴン(Ar)ガスの導入は、ステップS36a,S37aと、ステップS38aと、ステップS39a,40aとで、順に流量を増大させる。 Next, in step S36a of FIG. 16, in addition to the silane (SiH 4 ) gas, ammonia (NH 3 ) gas, and nitrogen (N 2 ) gas similar to step S35a, argon (Ar) gas is further added at a predetermined flow rate ( For example, 1000 sccm) is introduced into the chamber 46 from the gas inlet 62 a, and a high frequency power (high frequency voltage) of 350 kHz, for example, is supplied (applied) between the lower electrode 61 and the upper electrode 62 at a predetermined power (for example, 75 W). The supply (application) of the 350 kHz high frequency power between the lower electrode 61 and the upper electrode 62 is maintained in steps S36a to S39b in FIG. The introduction of argon (Ar) gas into the chamber 46 increases the flow rate in order in steps S36a and S37a, step S38a, and steps S39a and 40a.

次に、図16のステップS37aで、ガス導入口62aからチャンバ46内に導入している窒素(N)ガスの流量を所定の流量(例えば3000sccm)に低減させ、下部電極61および上部電極62間に、上記例えば350kHzの高周波電力に加えて、それよりも高い周波数、例えば13.56MHzの高周波電力(高周波電圧)を所定の電力(好ましくは50〜1000W、例えば100W)で供給(印加)する。下部電極61および上部電極62間へのこの13.56MHzの高周波電力の供給(印加)は、図16のステップS37a〜S39bで維持される。 Next, in step S37a of FIG. 16, the flow rate of the nitrogen (N 2 ) gas introduced into the chamber 46 from the gas inlet 62a is reduced to a predetermined flow rate (eg, 3000 sccm), and the lower electrode 61 and the upper electrode 62 In the meantime, in addition to the high frequency power of, for example, 350 kHz, a higher frequency, for example, high frequency power of 13.56 MHz (high frequency voltage) is supplied (applied) at a predetermined power (preferably 50 to 1000 W, for example, 100 W). . The supply (application) of the 13.56 MHz high-frequency power between the lower electrode 61 and the upper electrode 62 is maintained in steps S37a to S39b in FIG.

次に、図16のステップS38aで、ガス導入口62aからチャンバ46内に導入している窒素(N)ガスの流量を所定の流量(例えば2000sccm)に低減させるとともに、窒素(N)ガスの流量の低減量(例えば1000sccm)に相当する流量分だけ、ガス導入口62aからチャンバ46内に導入しているアルゴン(Ar)ガスの流量を増大させる。 Next, in step S38a of FIG. 16, the flow rate of nitrogen (N 2 ) gas introduced into the chamber 46 from the gas introduction port 62a is reduced to a predetermined flow rate (for example, 2000 sccm) and nitrogen (N 2 ) gas. The flow rate of argon (Ar) gas introduced into the chamber 46 from the gas introduction port 62a is increased by a flow rate corresponding to a reduction amount (for example, 1000 sccm).

次に、図16のステップS39aで、ガス導入口62aからチャンバ46内に導入している窒素(N)ガスの流量を所定の流量(例えば1000sccm)に低減させるとともに、窒素(N)ガスの流量の低減量(例えば1000sccm)に相当する流量分だけ、ガス導入口62aからチャンバ46内に導入しているアルゴン(Ar)ガスの流量を増大させる。 Next, in step S39a of FIG. 16, the flow rate of the nitrogen (N 2 ) gas introduced into the chamber 46 from the gas inlet 62a is reduced to a predetermined flow rate (for example, 1000 sccm) and the nitrogen (N 2 ) gas. The flow rate of argon (Ar) gas introduced into the chamber 46 from the gas introduction port 62a is increased by a flow rate corresponding to a reduction amount (for example, 1000 sccm).

ステップS37a〜S39aでは、下部電極61および上部電極62間に高周波電力を供給(印加)したことにより、チャンバ46内(下部電極61および上部電極62間)のシラン(SiH)ガス、アンモニア(NH)ガス、窒素(N)ガスおよびアルゴン(Ar)ガスがプラズマ化し、下部電極61上に配置された半導体基板1上に窒化シリコン膜(プラズマ窒化シリコン膜)が堆積する。従って、ステップS37a〜S39aは、窒化シリコンを堆積するステップである。但し、ステップS37a,S38aは短時間(例えばそれぞれ1秒程度)であり、それに比べてステップS39aは長時間(例えば230秒)であるため、窒化シリコン膜は主としてステップS39aで堆積する。 In steps S37a to S39a, by supplying (applying) high-frequency power between the lower electrode 61 and the upper electrode 62, silane (SiH 4 ) gas, ammonia (NH) in the chamber 46 (between the lower electrode 61 and the upper electrode 62). 3 ) Gas, nitrogen (N 2 ) gas, and argon (Ar) gas are turned into plasma, and a silicon nitride film (plasma silicon nitride film) is deposited on the semiconductor substrate 1 disposed on the lower electrode 61. Therefore, steps S37a to S39a are steps for depositing silicon nitride. However, since steps S37a and S38a are short time (for example, about 1 second each) and step S39a is long time (for example, 230 seconds), the silicon nitride film is mainly deposited in step S39a.

また、窒化シリコン膜の堆積(成膜)ステップである図16のステップS37a〜S39aおよび後述の図17のステップS37b〜S39bでは、ガス導入口62aからチャンバ46内に導入するアンモニア(NH)ガスとシラン(SiH)ガスの流量比が、「アンモニア(NH)ガスの流量」/「シラン(SiH)ガスの流量」で、2/1以上500/1以下であることが好ましい。また、図16のステップS37a〜S39aおよび後述の図17のステップS37b〜S39bでは、シラン(SiH)ガスの流量は10〜150sccmであることが好ましい。これにより、窒化シリコン膜を的確に堆積できる。 Further, in steps S37a to S39a of FIG. 16 and steps S37b to S39b of FIG. 17 to be described later, which are silicon nitride film deposition (film formation) steps, ammonia (NH 3 ) gas introduced into the chamber 46 from the gas inlet 62a. silane (SiH 4) flow rate ratio of the gas is the "ammonia (NH 3) flow rate of gas" / "silane (SiH 4) flow rate of gas" is preferably 2/1 or more 500/1 or less. Further, in steps S37a to S39a of FIG. 16 and steps S37b to S39b of FIG. 17 described later, the flow rate of the silane (SiH 4 ) gas is preferably 10 to 150 sccm. Thereby, the silicon nitride film can be deposited accurately.

また、窒化シリコン膜の堆積(成膜)ステップである図16のステップS37a〜S39aにおいて、ガス導入口62aからチャンバ46内に、窒化シリコンのシリコンソースガスであるシラン(SiH)ガスおよび窒化シリコンの窒素ソースガスであるアンモニア(NH)ガスだけでなく、窒素(N)ガスも導入する理由は、圧力およびプラズマを安定化させるためである。 Further, in steps S37a to S39a of FIG. 16, which is a silicon nitride film deposition (film formation) step, silane (SiH 4 ) gas, which is a silicon source gas of silicon nitride, and silicon nitride enter the chamber 46 from the gas inlet 62a. The reason for introducing nitrogen (N 2 ) gas as well as ammonia (NH 3 ) gas, which is the nitrogen source gas, is to stabilize the pressure and plasma.

また、窒化シリコン膜の堆積(成膜)ステップである図16のステップS37a〜S39aにおいて、ガス導入口62aからチャンバ46内に窒化シリコンのソースガスではないアルゴン(Ar)ガスも導入した理由は、堆積する窒化シリコン膜を、アルゴン(Ar)イオンのボンバートメント(衝撃)によって、より緻密な膜にするためである。アルゴン(Ar)ガスを導入して窒化シリコン膜中の未結合手を少なくし、より緻密な膜とすることで、窒化シリコン膜(絶縁膜21)が半導体基板1に生じさせる圧縮応力をさらに大きくすることができる。   In addition, in steps S37a to S39a of FIG. 16, which is a silicon nitride film deposition (film formation) step, argon (Ar) gas, which is not a silicon nitride source gas, is also introduced into the chamber 46 from the gas inlet 62a. This is because the deposited silicon nitride film is formed into a denser film by bombardment (impact) of argon (Ar) ions. Argon (Ar) gas is introduced to reduce the number of dangling bonds in the silicon nitride film, thereby forming a denser film, thereby further increasing the compressive stress generated in the semiconductor substrate 1 by the silicon nitride film (insulating film 21). can do.

また、窒化シリコン膜の堆積(成膜)ステップである図16のステップS37a〜S39aにおいて、ガス導入口62aからチャンバ46内に水素(H)ガスを更に導入することもできる。水素(H)ガスを導入することで、アンモニア(NH)ガス、シラン(SiH)ガスおよび窒素(N)の分解を促進でき、それによって、堆積した窒化シリコン膜を未結合手の少ないより緻密な膜にすることができる。窒化シリコン膜を未結合手の少ないより緻密な膜とすることで、窒化シリコン膜(絶縁膜21)が半導体基板1に生じさせる圧縮応力をさらに大きくすることができる。 Further, in steps S37a to S39a of FIG. 16 which is a silicon nitride film deposition (film formation) step, hydrogen (H 2 ) gas can be further introduced into the chamber 46 from the gas inlet 62a. By introducing hydrogen (H 2 ) gas, decomposition of ammonia (NH 3 ) gas, silane (SiH 4 ) gas, and nitrogen (N 2 ) can be promoted, whereby the deposited silicon nitride film is bonded to the unbonded hand. Less denser film can be obtained. By making the silicon nitride film a denser film with less dangling bonds, the compressive stress generated in the semiconductor substrate 1 by the silicon nitride film (insulating film 21) can be further increased.

また、窒化シリコン膜の堆積(成膜)ステップである図16のステップS37a〜S39aにおいて、下部電極61および上部電極62間に、例えば13.56MHzの高周波電力(高周波電圧)だけでなく、それよりも低周波数の例えば350kHzの高周波電力(高周波電圧)も供給(印加)する理由は、ガスの分解を促進して、堆積した窒化シリコン膜を未結合手の少ないより緻密な膜にするためである。窒化シリコン膜を未結合手の少ないより緻密な膜とすることで、窒化シリコン膜(絶縁膜21)が半導体基板1に生じさせる圧縮応力をさらに大きくすることができる。   Further, in steps S37a to S39a of FIG. 16 which is a silicon nitride film deposition (film formation) step, not only the high frequency power (high frequency voltage) of, for example, 13.56 MHz is provided between the lower electrode 61 and the upper electrode 62, but also from that. The reason why high frequency power (high frequency voltage) of 350 kHz, for example, is also supplied (applied) is to accelerate the decomposition of the gas and make the deposited silicon nitride film a denser film with fewer unbonded hands. . By making the silicon nitride film a denser film with less dangling bonds, the compressive stress generated in the semiconductor substrate 1 by the silicon nitride film (insulating film 21) can be further increased.

ステップS39aを所定の時間(例えば230秒)継続して半導体基板1上に所望の膜厚の窒化シリコン膜が成膜された後、図16のステップS40aで、下部電極61および上部電極62間への上記350kHzおよび13.56MHzの高周波電力(高周波電圧)の供給(印加)を停止するとともに、ガス導入口62aからチャンバ46内へのシラン(SiH)ガスおよびアンモニア(NH)ガスの導入を停止する。ステップS40aでは、ガス導入口62aからチャンバ46内へ窒素(N)ガスおよびアルゴン(Ar)ガスだけが導入され、チャンバ46内が窒素(N)ガスおよびアルゴン(Ar)ガスでパージされる。 Step S39a is continued for a predetermined time (for example, 230 seconds), and after a silicon nitride film having a desired film thickness is formed on the semiconductor substrate 1, between step S40a in FIG. 16 and between the lower electrode 61 and the upper electrode 62. The supply (application) of the above-described 350 kHz and 13.56 MHz high-frequency power (high-frequency voltage) is stopped, and introduction of silane (SiH 4 ) gas and ammonia (NH 3 ) gas from the gas inlet 62 a into the chamber 46 is stopped. Stop. In step S40a, only nitrogen (N 2 ) gas and argon (Ar) gas are introduced into the chamber 46 from the gas inlet 62a, and the inside of the chamber 46 is purged with nitrogen (N 2 ) gas and argon (Ar) gas. .

次に、図16のステップS41aで、ガス導入口62aからチャンバ46内へのガスの導入を停止し、ガス排気口65からチャンバ46内を排気(真空排気)する。ステップS41aは、チャンバ46内を排気(真空排気)するステップである。   Next, in step S41a of FIG. 16, the introduction of gas from the gas inlet 62a into the chamber 46 is stopped, and the inside of the chamber 46 is exhausted (vacuum exhausted) from the gas outlet 65. Step S41a is a step of exhausting (evacuating) the inside of the chamber 46.

その後、上記ステップS4で、半導体基板1(半導体ウエハSW)はチャンバ46から取り出され、次の工程(絶縁膜22の成膜工程)に送られる。   Thereafter, in step S4, the semiconductor substrate 1 (semiconductor wafer SW) is taken out of the chamber 46 and sent to the next process (film formation process of the insulating film 22).

半導体基板1の主面上にプラズマCVD法により形成する窒化シリコン膜は、膜中の未結合手が多いと半導体基板1に引張応力を生じさせる膜となり、膜中の未結合手を少なくし膜をより緻密化することで半導体基板1に圧縮応力を生じさせる膜となる。ステップS37a〜S39aで窒化シリコン膜が堆積されるが、成膜中に供給(印加)される2種類の高周波電力(高周波電圧)や添加導入ガスであるアルゴン(Ar)や水素(H)などにより、ステップS37a〜S39aでは未結合手が少ないより緻密化された窒化シリコン膜が堆積する。このため、ステップS37a〜S39aで所望の厚みまで堆積された窒化シリコン膜からなる絶縁膜21(これが後述する絶縁膜21bに対応する)は、未結合手が少ない緻密な膜となり、それによって、半導体基板1に圧縮応力を生じさせる膜となる。絶縁膜21を半導体基板1に圧縮応力を生じさせる膜にすることで、pチャネル型MISFETQpは、移動度が向上して駆動電流が増加するので、スイッチング特性を向上することができる。 A silicon nitride film formed by plasma CVD on the main surface of the semiconductor substrate 1 becomes a film that causes tensile stress in the semiconductor substrate 1 when there are a large number of dangling bonds in the film, thereby reducing dangling bonds in the film. As a result, the semiconductor substrate 1 becomes a film that generates compressive stress. A silicon nitride film is deposited in steps S37a to S39a. Two types of high-frequency power (high-frequency voltage) supplied (applied) during film formation, argon (Ar), hydrogen (H 2 ), etc., which are additive introduction gases, etc. Thus, a denser silicon nitride film with fewer dangling bonds is deposited in steps S37a to S39a. For this reason, the insulating film 21 made of the silicon nitride film deposited to a desired thickness in steps S37a to S39a (this corresponds to an insulating film 21b described later) becomes a dense film with few dangling bonds. It becomes a film that generates compressive stress on the substrate 1. By using the insulating film 21 as a film that generates compressive stress in the semiconductor substrate 1, the p-channel type MISFET Qp has improved mobility and increased drive current, so that switching characteristics can be improved.

次に、上記ステップS3で形成した絶縁膜21を半導体基板1に圧縮応力を生じさせる膜とする場合でかつ上記ステップS2の還元性ガスのプラズマ処理を水素プラズマで行う場合について、図17を参照して説明する。   Next, refer to FIG. 17 for the case where the insulating film 21 formed in step S3 is a film that generates compressive stress on the semiconductor substrate 1 and the reducing gas plasma treatment in step S2 is performed with hydrogen plasma. To explain.

図17は、絶縁膜21(窒化シリコン膜)の成膜工程における第4の成膜方法のプロセスステップを示す説明図(表)であり、ステップS1でチャンバ46内に半導体基板1を配置した後、ステップS4でチャンバ46から半導体基板1を取り出すまでの、チャンバ46の状態が示されている。なお、図17の第4の成膜方法は、上記ステップS2の還元性ガスのプラズマ処理を水素プラズマで行い、かつ上記ステップS3で形成した絶縁膜21を半導体基板1に圧縮応力を生じさせる膜とする場合の好ましい実施例(実施の形態、具体例)に対応する。   FIG. 17 is an explanatory view (table) showing process steps of the fourth film forming method in the film forming process of the insulating film 21 (silicon nitride film), and after the semiconductor substrate 1 is placed in the chamber 46 in step S1. The state of the chamber 46 until the semiconductor substrate 1 is taken out from the chamber 46 in step S4 is shown. In the fourth film forming method of FIG. 17, the plasma treatment of the reducing gas in step S2 is performed with hydrogen plasma, and the insulating film 21 formed in step S3 is a film that generates compressive stress on the semiconductor substrate 1. This corresponds to a preferable example (embodiment, specific example).

上記ステップS1で半導体基板1(半導体ウエハSW)をチャンバ46内の下部電極61上に配置して下部電極61に内蔵されたヒータで加熱する。既に加熱された下部電極61上に半導体基板1(半導体ウエハSW)を配置してもよい。図17のステップS31b〜S41bの間、半導体基板1の加熱温度(半導体基板1を配置した下部電極61の温度)は、好ましくは250〜500℃、例えば480℃程度に維持される。   In step S <b> 1, the semiconductor substrate 1 (semiconductor wafer SW) is placed on the lower electrode 61 in the chamber 46 and heated by a heater built in the lower electrode 61. The semiconductor substrate 1 (semiconductor wafer SW) may be disposed on the already heated lower electrode 61. During steps S31b to S41b in FIG. 17, the heating temperature of the semiconductor substrate 1 (the temperature of the lower electrode 61 on which the semiconductor substrate 1 is disposed) is preferably maintained at 250 to 500 ° C., for example, about 480 ° C.

次に、図17のステップS31bで上記図15のステップS11bと同様の動作(処理)を行い、それから、図17のステップS32bで上記図15のステップS12bと同様の動作(処理)を行い、それから、図17のステップS33bで上記図15のステップS13bと同様の動作(処理)を行う。すなわち、図17のステップS31b,32b,33bは、それぞれ上記図15のステップS11b,12b,13bと同様の動作および処理であるので、ここではその説明は省略する。   Next, in step S31b in FIG. 17, the same operation (process) as in step S11b in FIG. 15 is performed. Then, in step S32b in FIG. 17, the same operation (process) as in step S12b in FIG. 15 is performed. In step S33b in FIG. 17, the same operation (process) as in step S13b in FIG. 15 is performed. That is, steps S31b, 32b, and 33b in FIG. 17 are the same operations and processes as steps S11b, 12b, and 13b in FIG.

次に、図17のステップS34bで、ガス導入口62aからチャンバ46内にシラン(SiH)ガス、アンモニア(NH)ガスおよび窒素(N)ガスを所定の流量(例えばそれぞれ60sccm、130sccmおよび4000sccm)導入し、チャンバ46内の圧力を所定の圧力(例えば267Pa)に制御する。この圧力は、ステップS34b〜S40bで維持される。また、チャンバ46内へのシラン(SiH)ガスおよびアンモニア(NH)ガスの導入は、ステップS34b〜S39bで維持される。また、チャンバ46内への窒素(N)ガスの導入は、ステップS34b,S35b,S36bと、ステップS37bと、ステップS38bと、ステップS39b,40bとで、順に流量を低減させる。 Next, in step S34b of FIG. 17, silane (SiH 4 ) gas, ammonia (NH 3 ) gas, and nitrogen (N 2 ) gas are supplied from the gas inlet 62a into the chamber 46 at predetermined flow rates (for example, 60 sccm, 130 sccm, and 4000 sccm) and the pressure in the chamber 46 is controlled to a predetermined pressure (for example, 267 Pa). This pressure is maintained in steps S34b to S40b. Further, introduction of silane (SiH 4 ) gas and ammonia (NH 3 ) gas into the chamber 46 is maintained in steps S34b to S39b. Further, the introduction of nitrogen (N 2 ) gas into the chamber 46 reduces the flow rate in order in steps S34b, S35b, S36b, step S37b, step S38b, and steps S39b, 40b.

また、ステップS34bでシラン(SiH)ガスの流量よりもアンモニア(NH)ガスの流量を十分に多くする場合には、ステップS33bとステップS34bとの間に上記ステップS14bと同様のステップ(チャンバ46内にアンモニアガスと窒素ガスを導入するがシランガスを導入しないステップ)を設けることがより好ましく、これによりチャンバ46内のガスの安定性を高めることができる。 When the flow rate of ammonia (NH 3 ) gas is sufficiently larger than the flow rate of silane (SiH 4 ) gas in step S34b, a step (chamber similar to step S14b described above) is provided between step S33b and step S34b. It is more preferable to provide a step in which ammonia gas and nitrogen gas are introduced into 46 but silane gas is not introduced, whereby the stability of the gas in the chamber 46 can be improved.

このステップS34bは、上記図15のステップS15bと同様に、半導体基板1の金属シリサイド層13上に薄い窒化膜(後述の窒化膜73bに対応)を形成するためのステップである。ステップS34bでは、ガス導入口62aからチャンバ46内にシラン(SiH)ガス、アンモニア(NH)ガスおよび窒素(N)ガスを導入する状態を、好ましくは3〜30秒程度継続する。ステップS34bを3〜30秒とすることで、後述の窒化膜73bを形成できるとともに、製造時間が長くなるのを防止できる。 This step S34b is a step for forming a thin nitride film (corresponding to a nitride film 73b described later) on the metal silicide layer 13 of the semiconductor substrate 1 as in step S15b of FIG. In step S34b, the state of introducing silane (SiH 4 ) gas, ammonia (NH 3 ) gas, and nitrogen (N 2 ) gas from the gas inlet 62a into the chamber 46 is preferably continued for about 3 to 30 seconds. By setting step S34b to 3 to 30 seconds, a nitride film 73b described later can be formed, and an increase in manufacturing time can be prevented.

また、ステップS34bと後述のステップS35b,S36b,S37b,S38b,S39bとで、チャンバ46内に導入するシラン(SiH)ガスとアンモニア(NH)ガスの流量比が異なる場合には、上記ステップS16bと同様のステップ(チャンバ46内にガスを導入しない状態でチャンバ46を排気するステップ)をステップS34bと後述のステップS35bとの間に設けることもできる。これにより、後述のステップS35b以降のチャンバ46内のガスをより安定にすることができる。 Further, when the flow rate ratio of silane (SiH 4 ) gas and ammonia (NH 3 ) gas introduced into the chamber 46 is different between step S34b and later-described steps S35b, S36b, S37b, S38b, and S39b, the above steps are performed. A step similar to S16b (a step of exhausting the chamber 46 without introducing gas into the chamber 46) may be provided between step S34b and step S35b described later. Thereby, the gas in the chamber 46 after step S35b mentioned later can be made more stable.

その後、図17のステップS35b,S36b,37b,38b,39b,40b,41bで、それぞれ上記図16のステップS35a,S36a,37b,38b,39b,40b,41bと同様の動作(処理)を行う。すなわち、図17のステップS35b,S36b,37b,38b,39b,40b,41bは、それぞれ上記図16のステップS35a,S36a,37b,38b,39b,40b,41bと同様の動作および処理であるので、ここではその説明は省略する。   Thereafter, in steps S35b, S36b, 37b, 38b, 39b, 40b, and 41b in FIG. 17, the same operations (processes) as in steps S35a, S36a, 37b, 38b, 39b, 40b, and 41b in FIG. That is, steps S35b, S36b, 37b, 38b, 39b, 40b, and 41b in FIG. 17 are the same operations and processes as steps S35a, S36a, 37b, 38b, 39b, 40b, and 41b in FIG. The description is omitted here.

図17のステップS35b,S36b,37b,38b,39b,40b,41bを行った後、上記ステップS4で、半導体基板1(半導体ウエハSW)はチャンバ46から取り出され、次の工程(絶縁膜22の成膜工程)に送られる。   After performing Steps S35b, S36b, 37b, 38b, 39b, 40b, and 41b in FIG. 17, the semiconductor substrate 1 (semiconductor wafer SW) is taken out from the chamber 46 in Step S4, and the next step (insulating film 22) is performed. Sent to the film forming step).

半導体基板1の主面上にプラズマCVD法により形成する窒化シリコン膜は、膜中の未結合手が多いと半導体基板1に引張応力を生じさせる膜となり、膜中の未結合手を少なくし膜をより緻密化することで半導体基板1に圧縮応力を生じさせる膜となる。ステップS37b〜S39bで窒化シリコン膜が堆積されるが、成膜中に供給(印加)される2種類の高周波電力(高周波電圧)や添加導入ガスであるアルゴン(Ar)や水素(H)などにより、ステップS37b〜S39bでは未結合手が少ないより緻密化された窒化シリコン膜が堆積する。このため、ステップS37b〜S39bで所望の厚みまで堆積された窒化シリコン膜からなる絶縁膜21(これが後述する絶縁膜21bに対応する)は、未結合手が少ない緻密な膜となり、それによって、半導体基板1に圧縮応力を生じさせる膜となる。絶縁膜21を半導体基板1に圧縮応力を生じさせる膜にすることで、pチャネル型MISFETQpは、移動度が向上して駆動電流が増加するので、スイッチング特性を向上することができる。 A silicon nitride film formed by plasma CVD on the main surface of the semiconductor substrate 1 becomes a film that causes tensile stress in the semiconductor substrate 1 when there are a large number of dangling bonds in the film, thereby reducing dangling bonds in the film. As a result, the semiconductor substrate 1 becomes a film that generates compressive stress. A silicon nitride film is deposited in steps S37b to S39b. Two types of high-frequency power (high-frequency voltage) supplied (applied) during film formation, argon (Ar), hydrogen (H 2 ), etc., which are additive introduction gases, etc. Thus, in steps S37b to S39b, a denser silicon nitride film with fewer dangling bonds is deposited. For this reason, the insulating film 21 made of the silicon nitride film deposited to a desired thickness in steps S37b to S39b (which corresponds to an insulating film 21b described later) becomes a dense film with few dangling bonds. It becomes a film that generates compressive stress on the substrate 1. By using the insulating film 21 as a film that generates compressive stress in the semiconductor substrate 1, the p-channel type MISFET Qp has improved mobility and increased drive current, so that switching characteristics can be improved.

次に、本実施の形態の効果について、より詳細に説明する。   Next, the effect of this embodiment will be described in more detail.

図18は、上記図6のようにサリサイドプロセスで金属シリサイド層13を形成した後で、上記図7のように絶縁膜21を形成する前の状態を示す要部断面図(部分拡大断面図)であり、シリコン(Si)からなる半導体領域(シリコン領域)71上に金属シリサイド層13が形成された状態が示されている。なお、半導体領域71は、上記ゲート電極6a、上記ゲート電極6b、上記n型半導体領域7bまたは上記p型半導体領域8bに対応するものである。従って、半導体領域71がゲート電極6aまたはゲート電極6bの場合は、半導体領域71は、そのゲート電極を構成する多結晶シリコン膜であり、半導体領域71がn型半導体領域7bまたはp型半導体領域8bの場合は、そのソース・ドレイン領域を構成する単結晶シリコン領域(単結晶シリコン基板領域)である。 18 is a fragmentary cross-sectional view (partially enlarged cross-sectional view) showing a state before the insulating film 21 is formed as shown in FIG. 7 after the metal silicide layer 13 is formed by the salicide process as shown in FIG. In this state, the metal silicide layer 13 is formed on the semiconductor region (silicon region) 71 made of silicon (Si). The semiconductor region 71 corresponds to the gate electrode 6a, the gate electrode 6b, the n + type semiconductor region 7b, or the p + type semiconductor region 8b. Therefore, when the semiconductor region 71 is the gate electrode 6a or the gate electrode 6b, the semiconductor region 71 is a polycrystalline silicon film constituting the gate electrode, and the semiconductor region 71 is the n + type semiconductor region 7b or the p + type semiconductor. The region 8b is a single crystal silicon region (single crystal silicon substrate region) constituting the source / drain region.

本発明者の検討によれば、上記図5および図6を参照して説明したようにして金属シリサイド層13を形成した後、絶縁膜21を形成するまでの間に、図18に示されるように、金属シリサイド層13の表面が酸化して、自然酸化膜である酸化膜72が金属シリサイド層13の表面に形成されることが分かった。酸化膜72は、主として酸化シリコンにより形成されている。酸化膜72の形成を完全に防止するには、金属シリサイド層13を形成してから絶縁膜21を形成するまでの管理を厳格にする必要があり、半導体装置の製造設備などを複雑にし、また半導体装置の製造コストを増大させる。このため、金属シリサイド層13を形成してから絶縁膜21を形成するまでの間に金属シリサイド層13の表面に酸化膜72が形成されるのを許容することが望まれる。   According to the study of the present inventor, as shown in FIG. 18 after the metal silicide layer 13 is formed as described with reference to FIGS. 5 and 6 until the insulating film 21 is formed. Further, it was found that the surface of the metal silicide layer 13 was oxidized, and an oxide film 72, which is a natural oxide film, was formed on the surface of the metal silicide layer 13. The oxide film 72 is mainly formed of silicon oxide. In order to completely prevent the formation of the oxide film 72, it is necessary to strictly control from the formation of the metal silicide layer 13 to the formation of the insulating film 21, which complicates the manufacturing equipment of the semiconductor device, etc. Increase the manufacturing cost of the semiconductor device. For this reason, it is desirable to allow the oxide film 72 to be formed on the surface of the metal silicide layer 13 between the formation of the metal silicide layer 13 and the formation of the insulating film 21.

図19は、比較例の半導体装置の製造工程中の要部断面図であり、上記図18に相当する領域が示されているが、図19には、図18の状態の後、本実施の形態とは異なり、上記ステップS2の還元性ガスのプラズマ処理を行うことなく、絶縁膜21をプラズマCVD法で堆積させた状態が示されている。すなわち、図19の比較例は、図13のステップS1の後、ステップS2を省略してステップS3,S4を行った場合に対応する。   FIG. 19 is a fragmentary cross-sectional view of the semiconductor device of the comparative example during the manufacturing process, and the region corresponding to FIG. 18 is shown. FIG. 19 illustrates the present embodiment after the state of FIG. Unlike the embodiment, the state in which the insulating film 21 is deposited by the plasma CVD method without performing the plasma treatment of the reducing gas in step S2 is shown. That is, the comparative example in FIG. 19 corresponds to the case where steps S3 and S4 are performed after step S1 in FIG.

金属シリサイド層13を形成した後、本実施の形態とは異なり、上記ステップS2の還元性ガスのプラズマ処理を行わずに、絶縁膜21をプラズマCVD法で堆積させた場合、図19に示されるように、金属シリサイド層13と絶縁膜21との間の界面に、酸化膜72が形成(残存)されている状態になる。   After the metal silicide layer 13 is formed, unlike the present embodiment, when the insulating film 21 is deposited by the plasma CVD method without performing the reducing gas plasma processing in the above step S2, FIG. 19 shows. As described above, the oxide film 72 is formed (remaining) at the interface between the metal silicide layer 13 and the insulating film 21.

図19のように金属シリサイド層13と絶縁膜21の界面に酸化膜72が形成(残存)されていると、絶縁膜21の成膜後の種々の加熱工程(例えば種々の絶縁膜や導体膜の成膜工程のように半導体基板1の加熱を伴う工程)において、金属シリサイド層13表面にある酸化膜72の酸素に起因して、金属シリサイド層13が部分的に異常成長してしまうことが、本発明者の検討により分かった。これは、金属シリサイド層13と絶縁膜21との間の界面に酸化膜72が存在していると、酸化膜72中の酸素(O)が拡散して酸素に起因した欠陥が増え、生じた欠陥を通して金属シリサイド層13の金属元素(例えばNi)が拡散しやすくなり、金属シリサイド層13の異常成長を促進するためであると考えられる。この異常成長は、金属シリサイド層13がMSi(例えばNiSi)相である場合に特に顕著であり、MSi相の金属シリサイド層13の金属元素(例えばNi)が拡散してMSi(例えばNiSi)の部分が異常成長する。 When the oxide film 72 is formed (remains) at the interface between the metal silicide layer 13 and the insulating film 21 as shown in FIG. 19, various heating processes (for example, various insulating films and conductor films) after the formation of the insulating film 21 are performed. In the process involving heating of the semiconductor substrate 1 as in the film formation process of FIG. 5, the metal silicide layer 13 may partially grow abnormally due to oxygen in the oxide film 72 on the surface of the metal silicide layer 13. It was found by the study of the present inventors. This is because, when the oxide film 72 exists at the interface between the metal silicide layer 13 and the insulating film 21, oxygen (O) in the oxide film 72 diffuses and defects due to oxygen increase. This is considered to be because the metal element (for example, Ni) of the metal silicide layer 13 is likely to diffuse through the defect and promote the abnormal growth of the metal silicide layer 13. This abnormal growth is particularly noticeable when the metal silicide layer 13 is in the MSi (eg, NiSi) phase, and the metal element (eg, Ni) in the MSi phase metal silicide layer 13 is diffused to cause MSi 2 (eg, NiSi 2 ). The part of grows abnormally.

このような金属シリサイド層13の異常成長は、金属シリサイド層13の抵抗の増加を招き、また、ソース・ドレイン領域上に形成した金属シリサイド層13がチャネル部に異常成長していると、電界効果トランジスタのソース・ドレイン間のリーク電流の増大を招く可能性がある。これは、半導体装置の性能を低下させる。   Such abnormal growth of the metal silicide layer 13 causes an increase in resistance of the metal silicide layer 13, and if the metal silicide layer 13 formed on the source / drain regions is abnormally grown in the channel portion, the electric field effect There is a possibility of increasing the leakage current between the source and drain of the transistor. This degrades the performance of the semiconductor device.

金属シリサイド層13の形成後、絶縁膜21の形成前にウェットエッチングによって酸化膜72を除去することも考えられるが、この場合、ウェットエッチングの後に、酸化膜72が再形成されてしまう可能性がある。ウェットエッチングによる酸化膜72除去後の酸化膜72の再形成を完全に防止するには、ウェットエッチング後、絶縁膜21を形成するまでの管理を厳格にする必要があり、半導体装置の製造設備などを複雑にし、また半導体装置の製造コストを増大させる。   Although it is conceivable that the oxide film 72 is removed by wet etching after the metal silicide layer 13 is formed and before the insulating film 21 is formed, in this case, the oxide film 72 may be re-formed after the wet etching. is there. In order to completely prevent the oxide film 72 from being re-formed after the oxide film 72 is removed by wet etching, it is necessary to strictly control the process after the wet etching until the insulating film 21 is formed. And the manufacturing cost of the semiconductor device is increased.

図20〜図23は、本実施の形態の半導体装置の製造工程中の要部断面図であり、上記図19に相当する領域が示されているが、図20〜図23には、上記図18の状態の後、本実施の形態の製造工程に従って絶縁膜21を形成した状態(上記図7に対応する工程段階)が示されている。すなわち、図20〜図23は、図13のステップS1の後、ステップS2およびステップS3を行った場合に対応する。但し、図20は、上記図14の第1の成膜方法で絶縁膜21を成膜した場合、すなわち、ステップS1〜S4を上記図14(ステップS11a〜S21a)のように行った場合に対応する。また、図21は、上記図15の第2の成膜方法で絶縁膜21を成膜した場合、すなわち、ステップS1〜S4を上記図15(ステップS11b〜S21b)のように行った場合に対応する。また、図22は、上記図16の第3の成膜方法で絶縁膜21を成膜した場合、すなわち、ステップS1〜S4を上記図16(ステップS31a〜S41a)のように行った場合に対応する。また、図23は、上記図17の第4の成膜方法で絶縁膜21を成膜した場合、すなわち、ステップS1〜S4を上記図17(ステップS31b〜S41b)のように行った場合に対応する。なお、絶縁膜21が半導体基板1に引張応力を生じさせる膜である場合を絶縁膜21aとして図20および図21に示し、絶縁膜21が半導体基板1に圧縮応力を生じさせる膜である場合を絶縁膜21bとして図22および図23に示してある。   20 to 23 are cross-sectional views of the main part during the manufacturing process of the semiconductor device according to the present embodiment, and the region corresponding to FIG. 19 is shown. FIGS. After the state 18, the state in which the insulating film 21 is formed according to the manufacturing process of the present embodiment (process step corresponding to FIG. 7) is shown. That is, FIGS. 20 to 23 correspond to the case where Step S2 and Step S3 are performed after Step S1 of FIG. However, FIG. 20 corresponds to the case where the insulating film 21 is formed by the first film forming method of FIG. 14, that is, the case where steps S1 to S4 are performed as shown in FIG. 14 (steps S11a to S21a). To do. FIG. 21 corresponds to the case where the insulating film 21 is formed by the second film forming method of FIG. 15, that is, the steps S1 to S4 are performed as shown in FIG. 15 (steps S11b to S21b). To do. FIG. 22 corresponds to the case where the insulating film 21 is formed by the third film forming method of FIG. 16, that is, the case where steps S1 to S4 are performed as shown in FIG. 16 (steps S31a to S41a). To do. FIG. 23 corresponds to the case where the insulating film 21 is formed by the fourth film forming method of FIG. 17, that is, the case where steps S1 to S4 are performed as shown in FIG. 17 (steps S31b to S41b). To do. Note that the case where the insulating film 21 is a film that generates a tensile stress in the semiconductor substrate 1 is shown as an insulating film 21 a in FIGS. 20 and 21, and the case where the insulating film 21 is a film that generates a compressive stress in the semiconductor substrate 1. An insulating film 21b is shown in FIGS.

本実施の形態では、金属シリサイド層13を形成した後、上記ステップS2の還元性ガスのプラズマ処理を行ってから、絶縁膜21をプラズマCVD法で堆積させる。このため、ステップS2の還元性ガスのプラズマ処理によって金属シリサイド層13の表面の酸化膜72が還元され除去されてから、絶縁膜21が堆積される。また、ステップS2の還元性ガスのプラズマ処理によって金属シリサイド層13の表面の酸化膜72が還元され除去されてから、金属シリサイド層13上に絶縁膜21が堆積されるまでの間、半導体基板1が酸素含有雰囲気中にさらされることはないため、金属シリサイド層13の表面は再酸化されない。このため、絶縁膜21を形成した段階で、金属シリサイド層13と絶縁膜21との間の界面に、酸化膜72は残存していない状態になる。その代わり、図20〜図23のように、金属シリサイド層13と絶縁膜21との間の界面に、窒化膜73(窒化膜73aまたは窒化膜73b)が形成されている状態になる。その理由は、次の通りである。   In this embodiment, after the metal silicide layer 13 is formed, the insulating gas 21 is deposited by the plasma CVD method after performing the plasma treatment of the reducing gas in step S2. Therefore, the insulating film 21 is deposited after the oxide film 72 on the surface of the metal silicide layer 13 is reduced and removed by the plasma treatment of the reducing gas in step S2. Further, the semiconductor substrate 1 is subjected to the period from when the oxide film 72 on the surface of the metal silicide layer 13 is reduced and removed by the plasma treatment of the reducing gas in step S2 until the insulating film 21 is deposited on the metal silicide layer 13. Is not exposed to the oxygen-containing atmosphere, the surface of the metal silicide layer 13 is not re-oxidized. For this reason, the oxide film 72 does not remain at the interface between the metal silicide layer 13 and the insulating film 21 when the insulating film 21 is formed. Instead, as shown in FIGS. 20 to 23, a nitride film 73 (nitride film 73 a or nitride film 73 b) is formed at the interface between the metal silicide layer 13 and the insulating film 21. The reason is as follows.

上記図14および図16のようにステップS2(すなわちステップS12aまたはステップS32a)の還元性ガスのプラズマ処理をアンモニア(NH)ガスのプラズマ、すなわちアンモニアプラズマで行った場合、このアンモニアプラズマにより金属シリサイド層13の表面の酸化膜72は還元され除去されるが、アンモニアの窒素に起因して、金属シリサイド層13の表面には窒化膜73aが形成される。その後、ステップS3(すなわちステップS18aまたはステップS37a〜S39a)で絶縁膜21を堆積するので、図20や図22のように、金属シリサイド層13と絶縁膜21(絶縁膜21aまたは絶縁膜21b)との間の界面に、窒化膜73aが形成されている状態になる。 When the plasma treatment of the reducing gas in step S2 (that is, step S12a or step S32a) is performed with ammonia (NH 3 ) gas plasma, that is, ammonia plasma, as shown in FIGS. Although the oxide film 72 on the surface of the layer 13 is reduced and removed, a nitride film 73a is formed on the surface of the metal silicide layer 13 due to nitrogen of ammonia. After that, since the insulating film 21 is deposited in step S3 (that is, step S18a or steps S37a to S39a), the metal silicide layer 13 and the insulating film 21 (insulating film 21a or insulating film 21b) are formed as shown in FIGS. A nitride film 73a is formed at the interface between the two.

ステップS12aやステップS32aのアンモニア(NH)ガスのプラズマ処理では、主として、
SiO+2(N+3H) → Si+2HO+2N+2H 式(1)
3SiO+4(N+3H) → Si+6HO 式(2)
の反応が生じると考えられる。上記式(1)および式(2)の反応は発熱反応であり、熱力学的に起こりやすい。ステップS12aやステップS32a(アンモニアプラズマ処理)では、上記式(1)の反応(還元反応)によって酸化膜72が還元されるとともに、上記式(2)の反応(窒化シリコンの生成反応)によってSiが生成され、それによって、酸化膜72が除去され窒化膜73aが生成(形成)される。
In the plasma treatment of ammonia (NH 3 ) gas in step S12a or step S32a, mainly,
SiO 2 +2 (N * + 3H * ) → Si + 2H 2 O + 2N * + 2H * Formula (1)
3SiO 2 +4 (N * + 3H * ) → Si 3 N 4 + 6H 2 O Formula (2)
It is thought that this reaction occurs. The reactions of the above formulas (1) and (2) are exothermic reactions and tend to occur thermodynamically. In step S12a and step S32a (ammonia plasma treatment), the oxide film 72 is reduced by the reaction of formula (1) (reduction reaction) and Si 3 by the reaction of formula (2) (production reaction of silicon nitride). N 4 is generated, whereby the oxide film 72 is removed and a nitride film 73a is generated (formed).

一方、本実施の形態とは異なり、ステップS12aやステップS32aでプラズマを生成しなかった場合には、
SiO+2NH → Si+2HO+N+H 式(3)
3SiO+4NH → Si+6HO 式(4)
の反応が考えられる。しかしながら、上記式(3)および式(4)の反応は吸熱反応であり、熱力学的に起こりにくいため、本実施の形態とは異なり、ステップS12aやステップS32aでプラズマを生成しなかった場合には、酸化膜72の還元処理を行うことはできず、上記図19のように金属シリサイド層13と絶縁膜21との間の界面に酸化膜72が形成(残存)されている状態になってしまう。
On the other hand, unlike this embodiment, when plasma is not generated in step S12a or step S32a,
SiO 2 + 2NH 3 → Si + 2H 2 O + N 2 + H 2 formula (3)
3SiO 2 + 4NH 3 → Si 3 N 4 + 6H 2 O Formula (4)
This reaction is considered. However, since the reactions of the above formulas (3) and (4) are endothermic reactions and hardly occur thermodynamically, unlike the present embodiment, when plasma is not generated in step S12a or step S32a, The oxide film 72 cannot be reduced, and the oxide film 72 is formed (remaining) at the interface between the metal silicide layer 13 and the insulating film 21 as shown in FIG. End up.

また、上記図15および図17のようにステップS2(すなわちステップS12b,S32b)の還元性ガスのプラズマ処理を水素(H)ガスのプラズマ、すなわち水素プラズマで行った場合、この水素プラズマにより金属シリサイド層13の表面の酸化膜72は還元され除去される。このステップS12b,S32bの水素プラズマ処理の際には、金属シリサイド層13の表面には窒化膜は形成されない。しかしながら、S12b,S32bの水素プラズマ処理で酸化膜72を還元、除去して金属シリサイド層13の表面を露出させた後、ステップS15b,S34bでシラン(SiH)ガスおよびアンモニア(NH)ガスをチャンバ46内に導入する。これにより、金属シリサイド層13の表面がプラズマ化されていないシラン(SiH)ガスおよびアンモニア(NH)ガスにさらされ、金属シリサイド層13の表面に窒化膜73bが形成される。その後、ステップS18aまたはステップS37a〜S39aで絶縁膜21を堆積するので、図21や図23のように、金属シリサイド層13と絶縁膜21(絶縁膜21aまたは絶縁膜21bとの間の界面に、窒化膜73bが形成されている状態になる。 15 and 17, when the plasma treatment of the reducing gas in step S2 (ie, steps S12b and S32b) is performed with hydrogen (H 2 ) gas plasma, ie, hydrogen plasma, The oxide film 72 on the surface of the silicide layer 13 is reduced and removed. During the hydrogen plasma treatment in steps S12b and S32b, no nitride film is formed on the surface of the metal silicide layer 13. However, after the oxide film 72 is reduced and removed by hydrogen plasma treatment of S12b and S32b to expose the surface of the metal silicide layer 13, silane (SiH 4 ) gas and ammonia (NH 3 ) gas are used in steps S15b and S34b. It is introduced into the chamber 46. As a result, the surface of the metal silicide layer 13 is exposed to silane (SiH 4 ) gas and ammonia (NH 3 ) gas that have not been converted into plasma, and a nitride film 73 b is formed on the surface of the metal silicide layer 13. Thereafter, since the insulating film 21 is deposited in Step S18a or Steps S37a to S39a, as shown in FIG. 21 and FIG. 23, the interface between the metal silicide layer 13 and the insulating film 21 (the insulating film 21a or the insulating film 21b) The nitride film 73b is formed.

すなわち、ステップS3(ステップS18b,S37b〜S39b)では、チャンバ46内に、窒化シリコンのシリコンソースガスとして、シリコン(Si)元素を構成元素として含む第1のガス(好ましくはシラン(SiH)ガスのようなシラン系ガス)と、窒化シリコンの窒素ソースガスとして、窒素元素を構成元素として含む第2のガス(好ましくはアンモニア(NH)ガス)とを導入し、これらのガスをプラズマ化して窒化シリコンを堆積させる。このステップS3(ステップS18b,S37b〜S39b)の前のステップS15b,S34bで、これら第1のガスと第2のガスとをチャンバ46内に導入し、プラズマ化されていないそれら第1のガスおよび第2のガスに金属シリサイド層13の表面をさらすことで、金属シリサイド層13の表面に窒化膜73bを形成するのである。上記図14〜図17の全ステップにおいて半導体基板1は加熱されているため、ステップS15b,S34bにおいても半導体基板1は加熱されており、これも窒化膜73b形成に寄与する。 That is, in step S3 (steps S18b, S37b to S39b), a first gas (preferably silane (SiH 4 ) gas containing silicon (Si) element as a constituent element as a silicon source gas of silicon nitride in the chamber 46. And a second gas containing nitrogen as a constituent element (preferably ammonia (NH 3 ) gas) as a nitrogen source gas of silicon nitride, and these gases are converted into plasma. Silicon nitride is deposited. In steps S15b and S34b prior to step S3 (steps S18b, S37b to S39b), the first gas and the second gas are introduced into the chamber 46, and the first gas that has not been converted to plasma and The nitride film 73b is formed on the surface of the metal silicide layer 13 by exposing the surface of the metal silicide layer 13 to the second gas. Since the semiconductor substrate 1 is heated in all the steps of FIGS. 14 to 17, the semiconductor substrate 1 is also heated in steps S15b and S34b, which also contributes to the formation of the nitride film 73b.

ステップS12bやステップS32bの水素(H)ガスのプラズマ処理では、主として、
SiO+4H → Si+2HO 式(5)
の反応が生じると考えられる。上記式(5)の反応は発熱反応であり、熱力学的に起こりやすい。ステップS12bやステップS32bでは、上記式(5)の反応(還元反応)によって酸化膜72が還元され除去され、窒化シリコンは生成されない。
In the plasma treatment of hydrogen (H 2 ) gas in step S12b or step S32b, mainly,
SiO 2 + 4H * → Si + 2H 2 O Formula (5)
It is thought that this reaction occurs. The reaction of the above formula (5) is an exothermic reaction and easily occurs thermodynamically. In step S12b and step S32b, the oxide film 72 is reduced and removed by the reaction (reduction reaction) of the above formula (5), and silicon nitride is not generated.

一方、本実施の形態とは異なり、ステップS12bやステップS32bでプラズマを生成しなかった場合には、
SiO+2H → Si+2HO 式(6)
の反応が考えられる。しかしながら、上記式(6)の反応は吸熱反応であり、熱力学的に起こりにくいため、本実施の形態とは異なり、ステップS12bやステップS32bでプラズマを生成しなかった場合には、酸化膜72の還元処理を行うことはできず、上記図19のように金属シリサイド層13と絶縁膜21との間の界面に酸化膜72が形成(残存)されている状態になってしまう。
On the other hand, unlike this embodiment, when plasma is not generated in step S12b or step S32b,
SiO 2 + 2H 2 → Si + 2H 2 O Formula (6)
This reaction is considered. However, since the reaction of the above formula (6) is an endothermic reaction and hardly occurs thermodynamically, unlike the present embodiment, when plasma is not generated in step S12b or step S32b, the oxide film 72 Therefore, the oxide film 72 is formed (remaining) at the interface between the metal silicide layer 13 and the insulating film 21 as shown in FIG.

また、ステップS15bやステップS34bでは、主として、
3SiH+4NH → 3Si+12H 式(7)
の反応が生じると考えられる。上記式(7)の反応は発熱反応であり、熱力学的に起こりやすい。更に、ステップS12b,S32bの水素(H)ガスのプラズマ処理により酸化膜72を除去した状態でステップS15bやステップS34bを行うので、ステップS15bやステップS34bでは、金属シリサイド層13の表面が露出している。このため、金属シリサイド層13の金属の触媒作用によってアンモニア(NH)ガスおよびシラン(SiH)ガスの分解が促進され、上記式(7)の反応が起こりやすくなる。このため、ステップS15bやステップS34bでは、上記式(7)の反応(窒化シリコンの生成反応)によってSiが生成され、それによって窒化膜73bが生成(形成)される。
In step S15b and step S34b, mainly,
3SiH 4 + 4NH 3 → 3Si 3 N 4 + 12H 2 formula (7)
It is thought that this reaction occurs. The reaction of the above formula (7) is an exothermic reaction and easily occurs thermodynamically. Furthermore, since the steps S15b and S34b are performed in a state where the oxide film 72 is removed by the plasma treatment of hydrogen (H 2 ) gas in steps S12b and S32b, the surface of the metal silicide layer 13 is exposed in steps S15b and S34b. ing. For this reason, decomposition of ammonia (NH 3 ) gas and silane (SiH 4 ) gas is promoted by the metal catalytic action of the metal silicide layer 13, and the reaction of the above formula (7) easily occurs. For this reason, in step S15b and step S34b, Si 3 N 4 is generated by the reaction of formula (7) (a generation reaction of silicon nitride), thereby generating (forming) a nitride film 73b.

金属シリサイド層13の金属の触媒作用によってアンモニア(NH)ガスおよびシラン(SiH)ガスの分解が十分に行われる場合には、上記図15のステップS15bおよび上記図17のステップS34bでガス導入口62aからチャンバ46内に導入するアンモニア(NH)ガスとシラン(SiH)ガスの流量比は、「アンモニア(NH)ガスの流量」/「シラン(SiH)ガスの流量」で4/3であればよい。また、一般に、高温ではシラン(SiH)ガスよりもアンモニア(NH)ガスの方が分解されにくい傾向にあるため、金属シリサイド層13の金属の触媒作用によるアンモニア(NH)ガスの分解が十分でない場合には、アンモニア(NH)ガスの流量を多くすればよい。このめ、上記図15のステップS15bおよび上記図17のステップS34bでガス導入口62aからチャンバ46内に導入するアンモニア(NH)ガスとシラン(SiH)ガスの流量比は、「アンモニア(NH)ガスの流量」/「シラン(SiH)ガスの流量」で4/3以上500/1以下であることが好ましく、これにより、窒化膜73bを形成しやすくなる。 When ammonia (NH 3 ) gas and silane (SiH 4 ) gas are sufficiently decomposed by the metal catalytic action of the metal silicide layer 13, the gas is introduced in step S 15 b of FIG. 15 and step S 34 b of FIG. 17. The flow rate ratio of ammonia (NH 3 ) gas and silane (SiH 4 ) gas introduced into the chamber 46 through the port 62 a is 4 as “flow rate of ammonia (NH 3 ) gas” / “flow rate of silane (SiH 4 ) gas”. / 3 is sufficient. In general, ammonia (NH 3 ) gas tends to be more difficult to be decomposed than silane (SiH 4 ) gas at a high temperature. Therefore, decomposition of ammonia (NH 3 ) gas due to metal catalysis of the metal silicide layer 13 is difficult. If it is not sufficient, the flow rate of ammonia (NH 3 ) gas may be increased. Therefore, the flow ratio of ammonia (NH 3 ) gas and silane (SiH 4 ) gas introduced into the chamber 46 from the gas inlet 62a in step S15b in FIG. 15 and step S34b in FIG. 17 is “ammonia (NH 3 ) Gas flow rate "/" Silane (SiH 4 ) gas flow rate "is preferably 4/3 or more and 500/1 or less, which facilitates formation of the nitride film 73b.

このように、窒化膜73(窒化膜73aまたは窒化膜73b)の形成は、上記のような反応が主因であるため、窒化膜73(窒化膜73aまたは窒化膜73b)は、主として窒化シリコンからなり、シリコン(Si)と窒素(N)の原子比が化学量論比に近い組成(すなわちSiに近い組成の膜)となっている。 Thus, since the formation of the nitride film 73 (nitride film 73a or nitride film 73b) is mainly caused by the reaction as described above, the nitride film 73 (nitride film 73a or nitride film 73b) is mainly made of silicon nitride. the atomic ratio of silicon (Si) and nitrogen (N) is in the composition close to the stoichiometric ratio (i.e. film having a composition close to the Si 3 N 4).

また、上記図15および図17のようにステップS2(すなわちステップS12b,S32b)の還元性ガスのプラズマ処理を水素(H)ガスのプラズマ、すなわち水素プラズマで行う場合、窒化膜73bが形成されないようにすることもでき、そのためには、図15のステップS15b(またはステップS14b,S15b)および図17のステップ34bを省略する。この場合、ステップS12b,S32bの水素プラズマ処理により金属シリサイド層13の表面の酸化膜72は還元され除去されるが、その後に金属シリサイド層13の表面がシラン(SiH)ガスおよびアンモニア(NH)ガスにさらされる時間が短いので、金属シリサイド層13の表面に窒化膜73bが形成されるのを防止できる。この状態でステップS18aまたはステップS37a〜S39aで絶縁膜21が堆積されるので、図24や図25のように、金属シリサイド層13と絶縁膜21(絶縁膜21aまたは絶縁膜21b)との間の界面に、酸化膜72はもちろん窒化膜73bも形成されておらず、金属シリサイド層13と絶縁膜21とが直接的に接した状態になる。なお、図24は、図21と同じ半導体装置の製造工程中の要部断面図であり、ステップS1〜S4を上記図15(ステップS11b〜S21b)のように行うが、図21の場合とは異なり、図15のステップS15b(またはステップS14b,S15b)を省略した場合に対応する。また、図25は、図23と同じ半導体装置の製造工程中の要部断面図であり、ステップS1〜S4を上記図17(ステップS31b〜S41b)のように行うが、図23の場合とは異なり、図17のステップS34bを省略した場合に対応する。 15 and 17, when the plasma treatment of the reducing gas in step S2 (that is, steps S12b and S32b) is performed with plasma of hydrogen (H 2 ) gas, that is, hydrogen plasma, the nitride film 73b is not formed. For this purpose, step S15b (or steps S14b and S15b) in FIG. 15 and step 34b in FIG. 17 are omitted. In this case, the oxide film 72 on the surface of the metal silicide layer 13 is reduced and removed by the hydrogen plasma treatment in steps S12b and S32b. Thereafter, the surface of the metal silicide layer 13 is silane (SiH 4 ) gas and ammonia (NH 3). ) Since the exposure time to the gas is short, the formation of the nitride film 73b on the surface of the metal silicide layer 13 can be prevented. In this state, since the insulating film 21 is deposited in step S18a or steps S37a to S39a, the metal silicide layer 13 and the insulating film 21 (insulating film 21a or insulating film 21b) are interposed as shown in FIGS. Neither the oxide film 72 nor the nitride film 73b is formed at the interface, and the metal silicide layer 13 and the insulating film 21 are in direct contact with each other. 24 is a fragmentary cross-sectional view of the same semiconductor device as that of FIG. 21 during the manufacturing process. Steps S1 to S4 are performed as shown in FIG. 15 (steps S11b to S21b). Unlike this, it corresponds to the case where step S15b (or steps S14b and S15b) in FIG. 15 is omitted. FIG. 25 is a fragmentary cross-sectional view of the same semiconductor device as that of FIG. 23 during the manufacturing process. Steps S1 to S4 are performed as shown in FIG. 17 (steps S31b to S41b). Unlike this, it corresponds to the case where step S34b in FIG. 17 is omitted.

また、図15のステップS12aや図17のステップS32aにおいて、更に水素(H)ガスをガス導入口62aからチャンバ46内に導入することもでき、これは、ステップS2の還元性ガスのプラズマを、アンモニア(NH)ガスおよび水素(H)ガスの混合ガスのプラズマとした場合に対応する。この場合、ステップS12aやステップ32aにおいて、アンモニア(NH)ガスと水素(H)ガスの混合ガスのプラズマにより金属シリサイド層13の表面が処理(プラズマ処理)され、それによって、金属シリサイド層13の表面の酸化膜72が還元され除去されるとともに、アンモニアプラズマにより金属シリサイド層13の表面に窒化膜73aが形成される。従って、絶縁膜21aや絶縁膜21bの成膜後には、上記図20や図22の状態になる。 Further, in step S12a in FIG. 15 and step S32a in FIG. 17, hydrogen (H 2 ) gas can be further introduced into the chamber 46 from the gas inlet 62a, which is the same as the reducing gas plasma in step S2. This corresponds to the case of plasma of a mixed gas of ammonia (NH 3 ) gas and hydrogen (H 2 ) gas. In this case, in step S12a or step 32a, the surface of the metal silicide layer 13 is treated (plasma treatment) by plasma of a mixed gas of ammonia (NH 3 ) gas and hydrogen (H 2 ) gas, and thereby the metal silicide layer 13 The oxide film 72 on the surface is reduced and removed, and a nitride film 73a is formed on the surface of the metal silicide layer 13 by ammonia plasma. Therefore, the state shown in FIGS. 20 and 22 is obtained after the insulating film 21a and the insulating film 21b are formed.

図20〜図25のいずれの場合であっても、金属シリサイド層13と絶縁膜21との間の界面には、酸化膜は形成されていない。このため、絶縁膜21の成膜後の種々の加熱工程(例えば種々の絶縁膜や導体膜の成膜工程のように半導体基板1の加熱を伴う工程)が行われても、金属シリサイド層13と絶縁膜21との間の界面の酸化膜の酸素に起因して金属シリサイド層13が部分的に異常成長してしまうのを防止できる。このため、異常成長による金属シリサイド層13の抵抗の増加を防止できる。また、ソース・ドレイン領域上に形成した金属シリサイド層13がチャネル部に異常成長して電界効果トランジスタのソース・ドレイン間のリーク電流が増大するのを防止できる。従って、半導体装置の性能を向上させることができる。また、半導体装置の信頼性を向上させることができる。   20 to 25, no oxide film is formed at the interface between the metal silicide layer 13 and the insulating film 21. For this reason, even if various heating processes after the formation of the insulating film 21 (for example, processes involving heating of the semiconductor substrate 1 as in the process of forming various insulating films and conductor films) are performed, the metal silicide layer 13 is formed. It is possible to prevent the metal silicide layer 13 from partially growing abnormally due to oxygen in the oxide film at the interface between the insulating film 21 and the insulating film 21. For this reason, an increase in resistance of the metal silicide layer 13 due to abnormal growth can be prevented. Further, it is possible to prevent the metal silicide layer 13 formed on the source / drain regions from abnormally growing in the channel portion and increasing the leakage current between the source and drain of the field effect transistor. Therefore, the performance of the semiconductor device can be improved. In addition, the reliability of the semiconductor device can be improved.

また、金属シリサイド層13と絶縁膜21との間の界面に酸化膜が形成されていないので、絶縁膜21が半導体基板1に作用させるべき引張応力または圧縮応力(絶縁膜21が絶縁膜21aの場合は引張応力、絶縁膜21が絶縁膜21bの場合は圧縮応力)が、酸化膜によって阻害されることがなく、半導体基板1に十分に伝わるようになる。このため、nチャネル型MISFETQn(引張応力の場合)またはpチャネル型MISFETQp(圧縮応力の場合)の特性を的確に向上させることができる。   In addition, since no oxide film is formed at the interface between the metal silicide layer 13 and the insulating film 21, the tensile stress or compressive stress that the insulating film 21 should act on the semiconductor substrate 1 (the insulating film 21 is the insulating film 21a). In this case, the tensile stress, and the compressive stress in the case where the insulating film 21 is the insulating film 21b) are not disturbed by the oxide film and are sufficiently transmitted to the semiconductor substrate 1. Therefore, the characteristics of the n-channel MISFET Qn (in the case of tensile stress) or the p-channel MISFET Qp (in the case of compressive stress) can be improved accurately.

図26は、半導体ウエハSW上に成膜した窒化シリコン膜をXPS(X-ray Photoelectron spectroscopy:X線光電子分光)法で測定したスペクトルを示すグラフ(説明図)である。図26のグラフの横軸は結合エネルギー(Binding energy)に対応する。また、図26のグラフの縦軸は、スペクトルの強度(arbitrary unit:任意単位)に対応し、397.1〜397.2eV付近のピーク強度を1として規格化(ノーマライズ)してある。また、図26のグラフでは、プラズマCVD法で成膜した窒化シリコン膜(絶縁膜21に相当するもの)のスペクトルである第1スペクトルを点線で示し、後述する第2スペクトルおよび第3スペクトルを実線で示してあるが、第2スペクトルおよび第3スペクトルはほとんど同じスペクトルであるため、図26のグラフでは重なって同じ実線で示されている。なお、上記第2スペクトルは、ニッケルを含む金属シリサイド層(金属シリサイド層13に相当するもの)の表面をアンモニアプラズマで処理することにより形成された窒化シリコン膜(窒化膜73aに相当するもの)のスペクトルである。また、上記第3スペクトルは、ニッケルを含む金属シリサイド層(金属シリサイド層13に相当するもの)の表面を水素プラズマで処理した後に、プラズマ化していないシラン(SiH)ガスおよびアンモニア(NH)ガスにさらすことによって形成された窒化シリコン膜(窒化膜73bに相当するもの)のスペクトルである。 FIG. 26 is a graph (an explanatory diagram) showing a spectrum obtained by measuring a silicon nitride film formed on the semiconductor wafer SW by an XPS (X-ray Photoelectron spectroscopy) method. The horizontal axis of the graph of FIG. 26 corresponds to binding energy. The vertical axis of the graph of FIG. 26 corresponds to the intensity of the spectrum (arbitrary unit: arbitrary unit) and is normalized (normalized) with the peak intensity in the vicinity of 397.1 to 397.2 eV being 1. In the graph of FIG. 26, the first spectrum, which is the spectrum of a silicon nitride film (corresponding to the insulating film 21) formed by the plasma CVD method, is indicated by a dotted line, and the second spectrum and the third spectrum described later are solid lines. However, since the second spectrum and the third spectrum are almost the same spectrum, they are overlapped and shown by the same solid line in the graph of FIG. The second spectrum shows a silicon nitride film (corresponding to the nitride film 73a) formed by treating the surface of a nickel-containing metal silicide layer (corresponding to the metal silicide layer 13) with ammonia plasma. It is a spectrum. The third spectrum shows that after the surface of a metal silicide layer containing nickel (corresponding to the metal silicide layer 13) is treated with hydrogen plasma, silane (SiH 4 ) gas and ammonia (NH 3 ) that have not been converted to plasma. It is a spectrum of a silicon nitride film (corresponding to the nitride film 73b) formed by exposure to gas.

図26のグラフで397.1〜397.2eV付近に示されるピークは、窒化シリコンに対応するスペクトルである。図26のグラフで点線で示された第1スペクトルよりも、実線で示された第2スペクトルおよび第3スペクトルの方が、ピークの位置が高エネルギー側にシフトしている。これは、第1スペクトルが測定された窒化シリコン膜(絶縁膜21に相当するもの)よりも、第2スペクトルが測定された窒化シリコン膜(窒化膜73aに相当するもの)および第3スペクトルが測定された窒化シリコン膜(窒化膜73bに相当するもの)の方が、化学量論比(すなわちSi)に近い組成であることを示唆している。従って、窒化膜73a,73bおよび絶縁膜21はいずれも窒化シリコンよりなるが、絶縁膜21よりも窒化膜73a,73bの方が、化学量論比(Si)に近い組成であることが分かる。 In the graph of FIG. 26, the peak shown in the vicinity of 397.1 to 397.2 eV is a spectrum corresponding to silicon nitride. In the graph of FIG. 26, the peak positions of the second spectrum and the third spectrum indicated by the solid line are shifted to the higher energy side than the first spectrum indicated by the dotted line. This is because the silicon nitride film (corresponding to the nitride film 73a) in which the second spectrum was measured and the third spectrum were measured rather than the silicon nitride film (corresponding to the insulating film 21) in which the first spectrum was measured. This suggests that the formed silicon nitride film (corresponding to the nitride film 73b) has a composition close to the stoichiometric ratio (that is, Si 3 N 4 ). Therefore, the nitride films 73a and 73b and the insulating film 21 are both made of silicon nitride, but the nitride films 73a and 73b have a composition closer to the stoichiometric ratio (Si 3 N 4 ) than the insulating film 21. I understand.

本実施の形態のように、金属シリサイド層13の表面の自然酸化膜を除去し、直接または窒化膜73(窒化膜73aまたは窒化膜73b)が形成された状態でプラズマ窒化シリコン膜である絶縁膜21を堆積すれば、界面に自然酸化膜(72)が介在しないので、絶縁膜21と金属シリサイド層13との間の密着性を向上させることができる。その理由は、金属シリサイド層13と絶縁膜21との間に自然酸化膜が介在していると、自然酸化膜に絶縁膜21の応力がかかることにより、自然酸化膜と絶縁膜21との界面がはがれの発端となる可能性があるためである。   As in this embodiment, the natural oxide film on the surface of the metal silicide layer 13 is removed, and the insulating film which is a plasma silicon nitride film directly or in a state where the nitride film 73 (nitride film 73a or nitride film 73b) is formed. If 21 is deposited, the natural oxide film (72) does not intervene at the interface, so that the adhesion between the insulating film 21 and the metal silicide layer 13 can be improved. The reason is that if a natural oxide film is interposed between the metal silicide layer 13 and the insulating film 21, the stress of the insulating film 21 is applied to the natural oxide film, so that the interface between the natural oxide film and the insulating film 21 is applied. This is because there is a possibility of starting peeling.

また、窒化膜73aは、プラズマ反応により形成された化学量論比(Si)に近い組成の窒化シリコンからなり、半導体基板1に引張応力を生じさせる膜となる。また、窒化膜73bは、金属シリサイド表面の触媒作用による熱反応により形成された化学量論比(Si)に近い組成の窒化シリコンからなり、半導体基板1に引張応力を生じさせる膜となる。このため、図20や図21のように、金属シリサイド層13の表面に窒化膜73(窒化膜73aまたは窒化膜73b)が形成された状態で、絶縁膜21として半導体基板1に引張応力を生じさせる絶縁膜21aを形成すれば、絶縁膜21aの引張り応力に加えて、更に窒化膜73(窒化膜73aまたは窒化膜73b)の引張り応力が半導体基板1に作用することになる。これにより、半導体基板1に生じる引張応力をより大きくすることができ、nチャネル型MISFETQnは、移動度がより向上して駆動電流が更に増加するので、スイッチング特性をより向上させることができる。 The nitride film 73 a is made of silicon nitride having a composition close to the stoichiometric ratio (Si 3 N 4 ) formed by the plasma reaction, and becomes a film that generates a tensile stress in the semiconductor substrate 1. The nitride film 73b is made of silicon nitride having a composition close to the stoichiometric ratio (Si 3 N 4 ) formed by the thermal reaction by the catalytic action on the surface of the metal silicide, and is a film that generates tensile stress on the semiconductor substrate 1. Become. Therefore, as shown in FIGS. 20 and 21, tensile stress is generated in the semiconductor substrate 1 as the insulating film 21 in a state where the nitride film 73 (nitride film 73 a or nitride film 73 b) is formed on the surface of the metal silicide layer 13. If the insulating film 21a to be formed is formed, the tensile stress of the nitride film 73 (nitride film 73a or nitride film 73b) acts on the semiconductor substrate 1 in addition to the tensile stress of the insulating film 21a. Thereby, the tensile stress generated in the semiconductor substrate 1 can be further increased, and the n-channel type MISFET Qn can further improve the switching characteristics since the mobility is further improved and the drive current is further increased.

また、絶縁膜21として半導体基板1に圧縮応力を生じさせる絶縁膜21bを形成する場合には、半導体基板1に生じる圧縮応力をより向上させる上では、図24や図25のように、金属シリサイド層13の表面の酸化膜72を水素プラズマ処理で除去してから窒化膜73(窒化膜73b)を形成することなく絶縁膜21bを堆積することも有効である。このようにすれば、絶縁膜21bが半導体基板1に圧縮応力を生じさせ、かつ窒化膜73による引張応力が生じないので、半導体基板1に生じる圧縮応力をより大きくすることができる。これにより、pチャネル型MISFETQpは、移動度がより向上して駆動電流が更に増加するので、スイッチング特性をより向上させることができる。   Further, when the insulating film 21b that generates a compressive stress on the semiconductor substrate 1 is formed as the insulating film 21, in order to further improve the compressive stress generated on the semiconductor substrate 1, a metal silicide is used as shown in FIGS. It is also effective to deposit the insulating film 21b without forming the nitride film 73 (nitride film 73b) after removing the oxide film 72 on the surface of the layer 13 by hydrogen plasma treatment. In this way, the insulating film 21b generates a compressive stress in the semiconductor substrate 1 and no tensile stress is generated by the nitride film 73, so that the compressive stress generated in the semiconductor substrate 1 can be further increased. As a result, the p-channel type MISFET Qp further improves the mobility and further increases the drive current, so that the switching characteristics can be further improved.

また、金属シリサイド層13は低抵抗率であることが好ましいため、金属シリサイド層13は、MSi(ダイメタルシリサイド)相、MSi(メタルモノシリサイド)相およびMSi(メタルダイシリサイド)相のうち、抵抗率が最も低い相にする必要があるが、金属シリサイド層13を構成する金属元素の種類によって、MSi相が最も低抵抗率の場合と、MSi相が最も低抵抗率の場合とがある。一方、上述したように、上記図19のように金属シリサイド層13と絶縁膜21の界面に酸化膜72が形成(残存)されていると、絶縁膜21の成膜後の種々の加熱工程において、酸化膜72の酸素に起因して金属シリサイド層13が部分的に異常成長するが、この異常成長は、金属シリサイド層13がMSi相である場合に特に顕著になる。これは、MSi相はSi(シリコン)とこれ以上反応しづらい相であるのに対して、MSi相およびMSi相は更にSi(シリコン)と反応しやすい相であるためである。金属シリサイド層13がMSi相である場合、酸化膜72中の酸素(O)が拡散して酸素に起因した欠陥が増え、生じた欠陥を通してMSi相の金属シリサイド層13の金属元素が拡散して、
MSi+Si→MSi
の反応が生じ、MSiの部分が異常成長する。
Further, since the metal silicide layer 13 preferably has a low resistivity, the metal silicide layer 13 has an M 2 Si (dimetal silicide) phase, an MSi (metal monosilicide) phase, and an MSi 2 (metal disilicide) phase. Of these, it is necessary to make the phase with the lowest resistivity, but depending on the type of metal element constituting the metal silicide layer 13, the MSi phase has the lowest resistivity and the MSi 2 phase has the lowest resistivity. There is. On the other hand, as described above, when the oxide film 72 is formed (remaining) at the interface between the metal silicide layer 13 and the insulating film 21 as shown in FIG. 19, various heating processes after the formation of the insulating film 21 are performed. The metal silicide layer 13 partially grows abnormally due to oxygen in the oxide film 72. This abnormal growth becomes particularly noticeable when the metal silicide layer 13 is in the MSi phase. This is because the MSi 2 phase is a phase that is less likely to react with Si (silicon), whereas the M 2 Si phase and the MSi phase are phases that are more likely to react with Si (silicon). When the metal silicide layer 13 is in the MSi phase, oxygen (O) in the oxide film 72 is diffused and defects due to oxygen increase, and the metal elements in the MSi phase metal silicide layer 13 are diffused through the generated defects. ,
MSi + Si → MSi 2
This occurs, and the MSi 2 portion grows abnormally.

このため、MSi相およびMSi相よりもMSi相の方が低抵抗率の場合には、MSiの部分が異常成長しやすいMSi相を金属シリサイド層13に適用するために、金属シリサイド層13と絶縁膜21の界面の酸化膜72に起因した金属シリサイド層13の異常成長を防止することが、極めて重要となる。 Therefore, when the MSi phase has a lower resistivity than the M 2 Si phase and the MSi 2 phase, in order to apply the MSi phase in which the portion of MSi 2 tends to abnormally grow to the metal silicide layer 13, the metal silicide It is extremely important to prevent abnormal growth of the metal silicide layer 13 due to the oxide film 72 at the interface between the layer 13 and the insulating film 21.

本実施の形態では、ステップS2で酸化膜72を還元して除去してからステップS3で絶縁膜21を形成しているので、金属シリサイド層13と絶縁膜21の界面に酸化膜が形成されず、絶縁膜21の成膜後の種々の加熱工程において、金属シリサイド層13が部分的に異常成長するのを防止できる。このため、MSiの部分が異常成長しやすいMSi相を金属シリサイド層13に適用しても、MSiの部分が異常成長するのを防止できる。このため、本実施の形態は、第1の条件として、MSi(メタルダイシリサイド)相およびMSi(ダイメタルシリサイド)相よりも、MSi(メタルモノシリサイド)相の方が低抵抗率であるような金属シリサイドにより、金属シリサイド層13を形成する場合に適用すれば、効果が大きい。また、この場合、半導体装置の製造終了(例えば半導体基板1をダイシングなどにより個片化して半導体チップを形成した段階)まで、金属シリサイド層13は、MSi相のままとする。これは、製造された半導体装置において、金属シリサイド層13を、MSi相およびMSi相よりも低抵抗率のMSi相とすることで、金属シリサイド層13を低抵抗とし、コンタクト抵抗や、ソース・ドレインの拡散抵抗を低減でき、MISFETが形成された半導体装置の性能を向上できるためである。 In this embodiment, since the oxide film 72 is reduced and removed in step S2, and the insulating film 21 is formed in step S3, no oxide film is formed at the interface between the metal silicide layer 13 and the insulating film 21. In various heating steps after the formation of the insulating film 21, it is possible to prevent the metal silicide layer 13 from partially growing abnormally. For this reason, even if the MSi phase in which the MSi 2 portion is likely to grow abnormally is applied to the metal silicide layer 13, it is possible to prevent the MSi 2 portion from growing abnormally. Therefore, in the present embodiment, as a first condition, the MSi (metal monosilicide) phase has a lower resistivity than the MSi 2 (metal disilicide) phase and the M 2 Si (dimetal silicide) phase. If the present invention is applied to the case where the metal silicide layer 13 is formed by a certain metal silicide, the effect is great. Further, in this case, the metal silicide layer 13 remains in the MSi phase until the end of manufacturing the semiconductor device (for example, a stage in which the semiconductor substrate 1 is diced to form a semiconductor chip). This is because, in the manufactured semiconductor device, the metal silicide layer 13 is an MSi phase having a lower resistivity than the MSi 2 phase and the M 2 Si phase, so that the metal silicide layer 13 has a low resistance, contact resistance, This is because the diffusion resistance of the source / drain can be reduced and the performance of the semiconductor device in which the MISFET is formed can be improved.

また、本実施の形態は、MSi相の金属シリサイド層13を形成しても、MSiの異常成長を防止できるので、第2の条件として、MSi(メタルダイシリサイド)相が存在可能なシリサイドにより、金属シリサイド層13を形成する場合に適用すれば、効果が大きい。 Further, in the present embodiment, even if the MSi phase metal silicide layer 13 is formed, abnormal growth of MSi 2 can be prevented. Therefore, as a second condition, a silicide in which an MSi 2 (metal disilicide) phase can exist is present. Therefore, if applied to the formation of the metal silicide layer 13, the effect is great.

また、本実施の形態は、絶縁膜21の成膜後の種々の加熱工程で、金属シリサイド層13と絶縁膜21の界面の酸化膜72に起因して金属シリサイド層13の金属元素Mが拡散してMSiの部分が異常成長するのを防止できるので、第3の条件として、Si(シリコン)ではなく金属元素Mが拡散種となる場合に、本実施の形態を適用すれば、効果が大きい。 In the present embodiment, the metal element M of the metal silicide layer 13 is diffused due to the oxide film 72 at the interface between the metal silicide layer 13 and the insulating film 21 in various heating processes after the formation of the insulating film 21. Then, since the portion of MSi 2 can be prevented from growing abnormally, if the present embodiment is applied when the metal element M becomes a diffusion species instead of Si (silicon) as the third condition, the effect can be obtained. large.

これら第1〜第3の条件を勘案すると、上記金属膜11が、Ni(ニッケル)膜またはNi(ニッケル)合金膜である場合に本実施の形態を適用すれば、効果が大きい。すなわち、金属シリサイド層13が、ニッケルのシリサイド層(ニッケルシリサイド層)またはニッケル合金のシリサイド層(ニッケル合金シリサイド層)である場合に本実施の形態を適用すれば、効果が大きい。金属膜11に用いることができるNi(ニッケル)合金膜には、Ni−Pt(ニッケル−白金)合金膜、Ni−V(ニッケル−バナジウム)合金膜、Ni−Pd(ニッケル−パラジウム)合金膜、Ni−Yb(ニッケル−イッテルビウム)合金膜、またはNi−Er(ニッケル−エルビウム)合金膜がある。金属膜11が、Ni膜、Ni−Pt合金膜、Ni−V合金膜、Ni−Pd合金膜、Ni−Yb合金膜、またはNi−Er合金膜であれば、Si(シリコン)ではなく金属元素Mが拡散種となり、MSi相が存在し、MSi相およびMSi相よりもMSi相の方が低抵抗率となる。但し、金属シリサイド層13からチャネル部へのMSiの異常成長の問題や、金属シリサイド層中のMSi部分の形成による抵抗ばらつき増大の問題は、金属膜11がNi膜、Ni−Pt合金膜、Ni−V合金膜、Ni−Pd合金膜、Ni−Yb合金膜またはNi−Er合金膜のいずれの場合にも生じるが、特に金属膜11がNi(ニッケル)膜の場合に最も顕著に現れる。このため、金属膜11がNi(ニッケル)膜である場合に本実施の形態を適用すれば、最も効果が大きい。 Considering these first to third conditions, if this embodiment is applied when the metal film 11 is a Ni (nickel) film or a Ni (nickel) alloy film, the effect is great. That is, if the present embodiment is applied when the metal silicide layer 13 is a nickel silicide layer (nickel silicide layer) or a nickel alloy silicide layer (nickel alloy silicide layer), the effect is great. Examples of Ni (nickel) alloy films that can be used for the metal film 11 include Ni—Pt (nickel-platinum) alloy films, Ni—V (nickel-vanadium) alloy films, Ni—Pd (nickel-palladium) alloy films, There is a Ni—Yb (nickel-ytterbium) alloy film or a Ni—Er (nickel-erbium) alloy film. If the metal film 11 is a Ni film, a Ni—Pt alloy film, a Ni—V alloy film, a Ni—Pd alloy film, a Ni—Yb alloy film, or a Ni—Er alloy film, a metal element instead of Si (silicon) M becomes a diffusing species, an MSi 2 phase exists, and the MSi phase has a lower resistivity than the MSi 2 phase and the M 2 Si phase. However, the problem of abnormal growth of MSi 2 from the metal silicide layer 13 to the channel portion and the problem of increased resistance variation due to formation of the MSi 2 portion in the metal silicide layer are that the metal film 11 is a Ni film, a Ni-Pt alloy film. This occurs in any case of Ni-V alloy film, Ni-Pd alloy film, Ni-Yb alloy film or Ni-Er alloy film, but it appears most prominent particularly when the metal film 11 is a Ni (nickel) film. . For this reason, if this embodiment is applied when the metal film 11 is a Ni (nickel) film, the effect is the greatest.

また、本実施の形態では、ソースまたはドレイン用の半導体領域(7b,8b)上とゲート電極(6a,6b)上とに金属シリサイド層13を形成する場合について説明したが、他の形態として、ゲート電極6a,6b上には金属シリサイド層13を形成せずに、ソースまたはドレイン用の半導体領域(ここではn型半導体領域7b、p型半導体領域8b)上に金属シリサイド層13を形成することもできる。 In the present embodiment, the case where the metal silicide layer 13 is formed on the source or drain semiconductor regions (7b, 8b) and the gate electrodes (6a, 6b) has been described. Without forming the metal silicide layer 13 on the gate electrodes 6a and 6b, the metal silicide layer 13 is formed on the source or drain semiconductor region (here, the n + type semiconductor region 7b and the p + type semiconductor region 8b). You can also

また、本実施の形態では、最良の形態として、半導体基板1に形成したソースまたはドレイン用の半導体領域(ここではn型半導体領域7b、p型半導体領域8b)上に金属シリサイド層13を形成する場合について説明したが、他の形態として、半導体基板1に形成したソースまたはドレイン用以外の半導体領域上に、金属シリサイド層13を形成することもできる。その場合にも、本実施の形態のような絶縁膜21形成法を用いたことにより、金属シリサイド層13の異常成長を防止して、金属シリサイド層13の抵抗のばらつきを低減できる。但し、本実施の形態のように、半導体基板1に形成したソースまたはドレイン用の半導体領域(n型半導体領域7b、p型半導体領域8b)上に金属シリサイド層13を形成する場合であれば、金属シリサイド層13の抵抗のばらつきを低減する効果に加えて、金属シリサイド層13がチャネル部に異常成長して電界効果トランジスタのソース・ドレイン間のリーク電流が増大するのを防止できる効果を得られるので、効果が極めて大きい。 In the present embodiment, as the best mode, the metal silicide layer 13 is formed on the source or drain semiconductor region (here, the n + type semiconductor region 7 b and the p + type semiconductor region 8 b) formed in the semiconductor substrate 1. Although the case where it is formed has been described, as another embodiment, the metal silicide layer 13 can be formed on the semiconductor region other than the source or drain formed on the semiconductor substrate 1. Even in that case, by using the method of forming the insulating film 21 as in the present embodiment, abnormal growth of the metal silicide layer 13 can be prevented, and variation in resistance of the metal silicide layer 13 can be reduced. However, as in the present embodiment, the metal silicide layer 13 may be formed on the source or drain semiconductor region (n + type semiconductor region 7b, p + type semiconductor region 8b) formed on the semiconductor substrate 1. For example, in addition to the effect of reducing the variation in resistance of the metal silicide layer 13, the effect of preventing the metal silicide layer 13 from abnormally growing in the channel portion and increasing the leakage current between the source and drain of the field effect transistor can be prevented. Since it is obtained, the effect is extremely large.

(実施の形態2)
図27〜図32は、本発明の他の実施の形態の半導体装置の製造工程中の要部断面図である。
(Embodiment 2)
27 to 32 are main-portion cross-sectional views during the manufacturing process of the semiconductor device according to another embodiment of the present invention.

上記実施の形態1では、nチャネル型MISFETQnが形成された領域とpチャネル型MISFETQpが形成されて領域とに、共通の絶縁膜21が形成されていた。そして、その共通の絶縁膜21を、半導体基板1に引張応力を生じさせる絶縁膜21aまたは半導体基板1に圧縮応力を生じさせる絶縁膜21bのいずれかにしていた。   In the first embodiment, the common insulating film 21 is formed in the region where the n-channel type MISFET Qn is formed and the region where the p-channel type MISFET Qp is formed. The common insulating film 21 is either the insulating film 21 a that generates a tensile stress in the semiconductor substrate 1 or the insulating film 21 b that generates a compressive stress in the semiconductor substrate 1.

それに対して、本実施の形態では、nチャネル型MISFETQnが形成された領域では、半導体基板1に引張応力を生じさせる絶縁膜21aが形成され、pチャネル型MISFETQpが形成された領域では、半導体基板1に圧縮応力を生じさせる絶縁膜21bが形成される。   On the other hand, in the present embodiment, in the region where the n-channel type MISFET Qn is formed, the insulating film 21a that generates tensile stress is formed in the semiconductor substrate 1, and in the region where the p-channel type MISFET Qp is formed, the semiconductor substrate An insulating film 21b that generates compressive stress in 1 is formed.

本実施の形態の半導体装置の製造工程は、上記図7の工程(絶縁膜21の形成工程)までは、上記実施の形態1と同様である。但し、本実施の形態では、絶縁膜21が、半導体基板1に引張応力を生じさせる膜、すなわち絶縁膜21aとなるようにする。すなわち、上記図14の第1の成膜方法または図15の第2の成膜方法により絶縁膜21を形成することで、図7において絶縁膜21を絶縁膜21aとした構造に対応する図27の構造が得られる。   The manufacturing process of the semiconductor device of the present embodiment is the same as that of the first embodiment up to the process of FIG. 7 (process for forming the insulating film 21). However, in the present embodiment, the insulating film 21 is made to be a film that causes tensile stress in the semiconductor substrate 1, that is, the insulating film 21a. That is, by forming the insulating film 21 by the first film forming method of FIG. 14 or the second film forming method of FIG. 15, the structure corresponding to the structure in which the insulating film 21 is the insulating film 21a in FIG. The following structure is obtained.

図7に対応する図27の構造が得られた後、nチャネル型MISFETQnが形成された領域を覆いかつpチャネル型MISFETQpが形成された領域を露出するようなフォトレジスト膜(図示せず)を絶縁膜21a上に形成し、このフォトレジスト膜をエッチングマスクとして絶縁膜21aをドライエッチングする。これにより、pチャネル型MISFETQpが形成された領域の絶縁膜21aを除去し、nチャネル型MISFETQnが形成された領域の絶縁膜21aを残す。その後、フォトレジスト膜を除去する。このようにして、図28の構造が得られる。この段階では、pチャネル型MISFETQpが形成された領域の金属シリサイド層13の表面が露出される。   After the structure of FIG. 27 corresponding to FIG. 7 is obtained, a photoresist film (not shown) that covers the region where the n-channel MISFET Qn is formed and exposes the region where the p-channel MISFET Qp is formed is formed. The insulating film 21a is formed on the insulating film 21a, and the insulating film 21a is dry-etched using the photoresist film as an etching mask. Thus, the insulating film 21a in the region where the p-channel type MISFET Qp is formed is removed, and the insulating film 21a in the region where the n-channel type MISFET Qn is formed is left. Thereafter, the photoresist film is removed. In this way, the structure of FIG. 28 is obtained. At this stage, the surface of the metal silicide layer 13 in the region where the p-channel type MISFET Qp is formed is exposed.

次に、図29に示されるように、pチャネル型MISFETQpが形成された領域の金属シリサイド層13上を含む半導体基板1の主面の全面上に、絶縁膜21aおよびゲート電極6bを覆うように絶縁膜21bを形成する。   Next, as shown in FIG. 29, the insulating film 21a and the gate electrode 6b are covered over the entire main surface of the semiconductor substrate 1 including the metal silicide layer 13 in the region where the p-channel type MISFET Qp is formed. An insulating film 21b is formed.

この絶縁膜21bの形成工程は、上記実施の形態1における絶縁膜21の形成工程と同様に行うが、絶縁膜21bが半導体基板1に圧縮応力を生じさせる膜となるようにする。このため、絶縁膜21bは、上記図16の第3の成膜方法または上記図17の第4の成膜方法により形成する。従って、本実施の形態で絶縁膜21bを形成する際にも、上記実施の形態1で説明したように、ステップS2(ステップS32aまたはステップS32b)で金属シリサイド層13の表面を還元性ガス(好ましくはアンモニアガス、水素ガス、あるいはそれらの混合ガス)のプラズマで処理してから、ステップS3(ステップS37a〜S39aまたはステップS37b〜S39b)で絶縁膜21bがプラズマCVD法で堆積される。   The step of forming the insulating film 21b is performed in the same manner as the step of forming the insulating film 21 in the first embodiment, but the insulating film 21b is a film that generates compressive stress on the semiconductor substrate 1. Therefore, the insulating film 21b is formed by the third film formation method in FIG. 16 or the fourth film formation method in FIG. Therefore, when forming the insulating film 21b in the present embodiment, as described in the first embodiment, the surface of the metal silicide layer 13 is reduced to a reducing gas (preferably in step S2 (step S32a or step S32b)). Is treated with plasma of ammonia gas, hydrogen gas, or a mixed gas thereof, and then the insulating film 21b is deposited by plasma CVD in step S3 (steps S37a to S39a or steps S37b to S39b).

次に、pチャネル型MISFETQpが形成された領域を覆いかつnチャネル型MISFETQnが形成された領域を露出するようなフォトレジスト膜(図示せず)を絶縁膜21b上に形成し、このフォトレジスト膜をエッチングマスクとして絶縁膜21bをドライエッチングする。これにより、nチャネル型MISFETQnが形成された領域の絶縁膜21bを除去し、pチャネル型MISFETQpが形成された領域の絶縁膜21bを残す。また、このドライエッチング工程では、nチャネル型MISFETQnが形成された領域の絶縁膜21aを除去せずに残存させる。その後、フォトレジスト膜を除去する。このようにして、図30の構造が得られる。   Next, a photoresist film (not shown) is formed on the insulating film 21b so as to cover the region where the p-channel type MISFET Qp is formed and to expose the region where the n-channel type MISFET Qn is formed. The insulating film 21b is dry-etched using the etching mask. Thereby, the insulating film 21b in the region where the n-channel type MISFET Qn is formed is removed, and the insulating film 21b in the region where the p-channel type MISFET Qp is formed is left. In this dry etching process, the insulating film 21a in the region where the n-channel type MISFET Qn is formed is left without being removed. Thereafter, the photoresist film is removed. In this way, the structure of FIG. 30 is obtained.

その後の工程は、上記実施の形態1とほぼ同様である。すなわち、上記実施の形態1と同様にして、上記図31に示されるように、絶縁膜21a,21b上に絶縁膜22を形成する。それから、上記実施の形態1と同様にして、図32に示されるように、絶縁膜21a,21b,22にコンタクトホール23を形成し、コンタクトホール23内にプラグ24を形成する。その後、上記実施の形態1と同様にして、ストッパ絶縁膜31、絶縁膜32、配線溝33および配線35が形成されるが、ここではその図示は省略する。   Subsequent steps are substantially the same as those in the first embodiment. That is, as in the first embodiment, the insulating film 22 is formed on the insulating films 21a and 21b as shown in FIG. Then, as in the first embodiment, as shown in FIG. 32, a contact hole 23 is formed in the insulating films 21a, 21b, and 22, and a plug 24 is formed in the contact hole 23. Thereafter, the stopper insulating film 31, the insulating film 32, the wiring groove 33, and the wiring 35 are formed in the same manner as in the first embodiment, but the illustration thereof is omitted here.

上記実施の形態1で説明したように、絶縁膜21aは、プラズマCVD法により形成した窒化シリコン膜であり、半導体基板1に対して圧縮応力を与える膜である。また、絶縁膜21bは、プラズマCVD法により形成した窒化シリコン膜であり、半導体基板1に対して圧縮応力を与える膜である。   As described in the first embodiment, the insulating film 21 a is a silicon nitride film formed by a plasma CVD method, and is a film that applies compressive stress to the semiconductor substrate 1. In addition, the insulating film 21 b is a silicon nitride film formed by a plasma CVD method, and applies compressive stress to the semiconductor substrate 1.

上記のようにして形成された本実施の形態の半導体装置では、nチャネル型MISFETQnが形成された領域では、半導体基板1に引張応力を生じさせる膜である絶縁膜21aが半導体基板1上にnチャネル型MISFETQn(すなわちゲート電極6aおよびn型半導体領域7b)を覆うように形成されている。そして、pチャネル型MISFETQpが形成された領域では、半導体基板1に圧縮応力を生じさせる膜である絶縁膜21bが半導体基板1上にpチャネル型MISFETQp(すなわちゲート電極6bおよびp型半導体領域8b)を覆うように形成されている。 In the semiconductor device of the present embodiment formed as described above, in the region where the n-channel type MISFET Qn is formed, the insulating film 21a, which is a film that generates tensile stress on the semiconductor substrate 1, is formed on the semiconductor substrate 1. The channel type MISFET Qn (that is, the gate electrode 6a and the n + type semiconductor region 7b) is formed to be covered. In the region where the p-channel type MISFET Qp is formed, the insulating film 21b, which is a film that generates compressive stress on the semiconductor substrate 1, is formed on the semiconductor substrate 1 with the p-channel type MISFET Qp (that is, the gate electrode 6b and the p + -type semiconductor region 8b). ).

このため、nチャネル型MISFETQnが形成された領域では、半導体基板1に引張応力を生じさせる膜である絶縁膜21aが形成されているので、絶縁膜21aによる引張応力によってnチャネル型MISFETQnは、移動度が向上して駆動電流が増加し、スイッチング特性を向上させることができる。一方、pチャネル型MISFETQpが形成された領域では、半導体基板1に圧縮応力を生じさせる膜である絶縁膜21bが形成されているので、絶縁膜21bによる圧縮応力によってpチャネル型MISFETQpは、移動度が向上して駆動電流が増加し、スイッチング特性を向上させることができる。これにより、nチャネル型MISFETQnとpチャネル型MISFETQpを備えた半導体装置、すなわちCMISFETを備えた半導体装置において、nチャネル型MISFETQnとpチャネル型MISFETQpの両方で移動度を向上して駆動電流を増加させることができ、全体としての特性をより向上させることができる。   For this reason, in the region where the n-channel type MISFET Qn is formed, the insulating film 21a, which is a film that generates a tensile stress, is formed on the semiconductor substrate 1, so that the n-channel type MISFET Qn moves due to the tensile stress caused by the insulating film 21a. Thus, the driving current is increased and the switching characteristics can be improved. On the other hand, in the region where the p-channel type MISFET Qp is formed, the insulating film 21b, which is a film that generates compressive stress, is formed on the semiconductor substrate 1, so that the p-channel type MISFET Qp has a mobility due to the compressive stress caused by the insulating film 21b. As a result, the drive current increases and the switching characteristics can be improved. As a result, in a semiconductor device including the n-channel MISFET Qn and the p-channel MISFET Qp, that is, a semiconductor device including the CMISFET, the mobility is improved and the drive current is increased in both the n-channel MISFET Qn and the p-channel MISFET Qp. And the overall characteristics can be further improved.

また、本実施の形態においても、上記実施の形態1と同様にして絶縁膜21a,21bを形成する。このため、金属シリサイド層13の表面を還元性ガス(好ましくはアンモニアガス、水素ガス、あるいはそれらの混合ガス)のプラズマで処理してから、大気中(酸素含有雰囲気中)にさらすことなく、金属シリサイド層13上を含む半導体基板1上に絶縁膜21a(窒化シリコン膜)をプラズマCVD法で形成している。また、図28の工程でpチャネル型MISFETQpが形成された領域で金属シリサイド層13が露出したことによりその表面に酸化膜(上記酸化膜72に相当するもの)が生成されるが、その酸化膜をステップS2(ステップS32aまたはステップS32b)の還元性ガスのプラズマ処理で還元して除去してから、大気中(酸素含有雰囲気中)にさらすことなく、絶縁膜21b(窒化シリコン膜)をプラズマCVD法で堆積している。このため、nチャネル型MISFETQnが形成された領域では、上記図20、図21または図24の状態となり、pチャネル型MISFETQpが形成された領域では、上記図22、図23または図25の状態となる。これにより、上記実施の形態1と同様の効果を得ることができる。   Also in the present embodiment, the insulating films 21a and 21b are formed in the same manner as in the first embodiment. For this reason, the surface of the metal silicide layer 13 is treated with plasma of a reducing gas (preferably ammonia gas, hydrogen gas, or a mixed gas thereof) and then exposed to the atmosphere (in an oxygen-containing atmosphere) without being exposed to the metal. An insulating film 21a (silicon nitride film) is formed on the semiconductor substrate 1 including the silicide layer 13 by a plasma CVD method. Also, an oxide film (corresponding to the oxide film 72) is generated on the surface of the metal silicide layer 13 exposed in the region where the p-channel type MISFET Qp is formed in the step of FIG. Is reduced by plasma treatment of the reducing gas in step S2 (step S32a or step S32b), and then the insulating film 21b (silicon nitride film) is subjected to plasma CVD without being exposed to the atmosphere (in an oxygen-containing atmosphere). It is deposited by the method. For this reason, in the region where the n-channel type MISFET Qn is formed, the state of FIG. 20, FIG. 21 or FIG. 24 is obtained, and in the region where the p-channel type MISFET Qp is formed, the state of FIG. Become. Thereby, the same effect as the first embodiment can be obtained.

すなわち、本実施の形態においても、金属シリサイド層13と絶縁膜21a,21bとの間の界面には、酸化膜は形成されていない。このため、絶縁膜21a,21bの成膜後の種々の加熱工程(例えば種々の絶縁膜や導体膜の成膜工程のように半導体基板1の加熱を伴う工程)が行われても、金属シリサイド層13と絶縁膜21a,21bとの間の界面の酸化膜の酸素に起因して金属シリサイド層13が部分的に異常成長してしまうのを防止できる。従って、異常成長による金属シリサイド層13の抵抗の増加を防止できる。また、ソース・ドレイン領域上に形成した金属シリサイド層13がチャネル部に異常成長して電界効果トランジスタのソース・ドレイン間のリーク電流が増大するのを防止できる。このため、半導体装置の性能を向上させることができる。また、半導体装置の信頼性を向上させることができる。   That is, also in this embodiment, no oxide film is formed at the interface between the metal silicide layer 13 and the insulating films 21a and 21b. For this reason, even if various heating processes after the formation of the insulating films 21a and 21b (for example, processes involving heating of the semiconductor substrate 1 as in the process of forming various insulating films and conductor films) are performed, the metal silicide It is possible to prevent the metal silicide layer 13 from partially growing abnormally due to oxygen in the oxide film at the interface between the layer 13 and the insulating films 21a and 21b. Accordingly, an increase in resistance of the metal silicide layer 13 due to abnormal growth can be prevented. Further, it is possible to prevent the metal silicide layer 13 formed on the source / drain regions from abnormally growing in the channel portion and increasing the leakage current between the source and drain of the field effect transistor. For this reason, the performance of the semiconductor device can be improved. In addition, the reliability of the semiconductor device can be improved.

また、本実施の形態では、絶縁膜21aと絶縁膜21bとのうち、絶縁膜21aを先に形成する場合について説明したが、絶縁膜21bを先に形成することもできる。この場合、図27において絶縁膜21aの代わりに絶縁膜21bを形成し、その絶縁膜21bを、図28の段階でnチャネル型MISFETQnが形成された領域で除去しかつpチャネル型MISFETQpが形成された領域で残す。それから、図29の段階で絶縁膜21bの代わりに絶縁膜21aを形成し、その絶縁膜21aを、図30の段階でpチャネル型MISFETQpが形成された領域で除去しかつnチャネル型MISFETQnが形成された領域で残せばよい。   In this embodiment, the case where the insulating film 21a is formed first among the insulating films 21a and 21b has been described. However, the insulating film 21b may be formed first. In this case, in FIG. 27, an insulating film 21b is formed instead of the insulating film 21a, and the insulating film 21b is removed in the region where the n-channel type MISFET Qn is formed in the stage of FIG. 28 and the p-channel type MISFET Qp is formed. Leave in the area. Then, an insulating film 21a is formed in place of the insulating film 21b in the stage of FIG. 29, and the insulating film 21a is removed in the region where the p-channel type MISFET Qp is formed in the stage of FIG. 30 and an n-channel type MISFET Qn is formed. It is sufficient to leave it in the reserved area.

以上、本発明者によってなされた発明をその実施の形態に基づき具体的に説明したが、本発明は前記実施の形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能であることは言うまでもない。   As mentioned above, the invention made by the present inventor has been specifically described based on the embodiment. However, the invention is not limited to the embodiment, and various modifications can be made without departing from the scope of the invention. Needless to say.

本発明は、金属シリサイド層上に窒化シリコン膜を形成した半導体素子を備えた半導体装置の製造技術に適用して有効である。   The present invention is effective when applied to a manufacturing technique of a semiconductor device including a semiconductor element in which a silicon nitride film is formed on a metal silicide layer.

本発明の一実施の形態である半導体装置の製造工程中の要部断面図である。It is principal part sectional drawing in the manufacturing process of the semiconductor device which is one embodiment of this invention. 図1に続く半導体装置の製造工程中の要部断面図である。FIG. 2 is a fragmentary cross-sectional view of the semiconductor device during a manufacturing step following that of FIG. 1; 図2に続く半導体装置の製造工程中の要部断面図である。FIG. 3 is a fragmentary cross-sectional view of the semiconductor device during a manufacturing step following that of FIG. 2; 図3に続く半導体装置の製造工程中の要部断面図である。FIG. 4 is a fragmentary cross-sectional view of the semiconductor device during a manufacturing step following that of FIG. 3; 図4に続く半導体装置の製造工程中の要部断面図である。FIG. 5 is a fragmentary cross-sectional view of the semiconductor device during a manufacturing step following that of FIG. 4; 図5に続く半導体装置の製造工程中の要部断面図である。6 is a fragmentary cross-sectional view of the semiconductor device during a manufacturing step following that of FIG. 5; FIG. 図6に続く半導体装置の製造工程中の要部断面図である。FIG. 7 is an essential part cross sectional view of the semiconductor device during a manufacturing step following FIG. 6; 図7に続く半導体装置の製造工程中の要部断面図である。FIG. 8 is a fragmentary cross-sectional view of the semiconductor device during a manufacturing step following that of FIG. 7; 図8に続く半導体装置の製造工程中の要部断面図である。FIG. 9 is an essential part cross sectional view of the semiconductor device during a manufacturing step following FIG. 8; 図9に続く半導体装置の製造工程中の要部断面図である。FIG. 10 is an essential part cross sectional view of the semiconductor device during a manufacturing step following FIG. 9; 本発明の一実施の形態である半導体装置の製造工程で用いる窒化シリコン膜の成膜装置を示す概略平面図である。1 is a schematic plan view showing a silicon nitride film forming apparatus used in a semiconductor device manufacturing process according to an embodiment of the present invention; 図11の成膜装置に備わる成膜用のチャンバの概略断面図である。FIG. 12 is a schematic cross-sectional view of a film forming chamber provided in the film forming apparatus of FIG. 11. 本発明の一実施の形態である半導体装置の製造工程における窒化シリコン膜の形成工程を示す製造プロセスフロー図である。It is a manufacturing process flowchart which shows the formation process of the silicon nitride film in the manufacturing process of the semiconductor device which is one embodiment of this invention. 窒化シリコン膜の成膜工程における第1の成膜方法のプロセスステップを示す説明図である。It is explanatory drawing which shows the process step of the 1st film-forming method in the film-forming process of a silicon nitride film. 窒化シリコン膜の成膜工程における第2の成膜方法のプロセスステップを示す説明図である。It is explanatory drawing which shows the process step of the 2nd film-forming method in the film-forming process of a silicon nitride film. 窒化シリコン膜の成膜工程における第3の成膜方法のプロセスステップを示す説明図である。It is explanatory drawing which shows the process step of the 3rd film-forming method in the film-forming process of a silicon nitride film. 窒化シリコン膜の成膜工程における第4の成膜方法のプロセスステップを示す説明図である。It is explanatory drawing which shows the process step of the 4th film-forming method in the film-forming process of a silicon nitride film. サリサイドプロセスで金属シリサイド層を形成した後で、金属シリサイド層上に窒化シリコン膜を形成する前の状態を示す要部断面図である。It is principal part sectional drawing which shows the state before forming a silicon nitride film on a metal silicide layer after forming a metal silicide layer by a salicide process. 比較例の半導体装置の製造工程中の要部断面図である。It is principal part sectional drawing in the manufacturing process of the semiconductor device of a comparative example. 本発明の一実施の形態である半導体装置の製造工程中の要部断面図である。It is principal part sectional drawing in the manufacturing process of the semiconductor device which is one embodiment of this invention. 本発明の他の実施の形態である半導体装置の製造工程中の要部断面図である。It is principal part sectional drawing in the manufacturing process of the semiconductor device which is other embodiment of this invention. 本発明の他の実施の形態である半導体装置の製造工程中の要部断面図である。It is principal part sectional drawing in the manufacturing process of the semiconductor device which is other embodiment of this invention. 本発明の他の実施の形態である半導体装置の製造工程中の要部断面図である。It is principal part sectional drawing in the manufacturing process of the semiconductor device which is other embodiment of this invention. 本発明の他の実施の形態である半導体装置の製造工程中の要部断面図である。It is principal part sectional drawing in the manufacturing process of the semiconductor device which is other embodiment of this invention. 本発明の他の実施の形態である半導体装置の製造工程中の要部断面図である。It is principal part sectional drawing in the manufacturing process of the semiconductor device which is other embodiment of this invention. 半導体ウエハ上に成膜した窒化シリコン膜をXPS法で測定したスペクトルを示すグラフである。It is a graph which shows the spectrum which measured the silicon nitride film formed on the semiconductor wafer by XPS method. 本発明の他の実施の形態である半導体装置の製造工程中の要部断面図である。It is principal part sectional drawing in the manufacturing process of the semiconductor device which is other embodiment of this invention. 図27に続く半導体装置の製造工程中の要部断面図である。FIG. 28 is an essential part cross sectional view of the semiconductor device during a manufacturing step following FIG. 27; 図28に続く半導体装置の製造工程中の要部断面図である。FIG. 29 is an essential part cross sectional view of the semiconductor device during a manufacturing step following FIG. 28; 図29に続く半導体装置の製造工程中の要部断面図である。FIG. 30 is an essential part cross sectional view of the semiconductor device during a manufacturing step following FIG. 29; 図30に続く半導体装置の製造工程中の要部断面図である。FIG. 31 is an essential part cross sectional view of the semiconductor device during a manufacturing step following FIG. 30; 図31に続く半導体装置の製造工程中の要部断面図である。FIG. 32 is an essential part cross sectional view of the semiconductor device during a manufacturing step following FIG. 31;

符号の説明Explanation of symbols

1 半導体基板
2 素子分離領域
3 p型ウエル
4 n型ウエル
5 ゲート絶縁膜
6 シリコン膜
6a,6b ゲート電極
7a n型半導体領域
7b n型半導体領域
8a p型半導体領域
8b p型半導体領域
9 サイドウォール
11 金属膜
12 バリア膜
13 金属シリサイド層
21,21a,21b 絶縁膜
22 絶縁膜
23 コンタクトホール
24 プラグ
24a バリア導体膜
24b 主導体膜
31 ストッパ絶縁膜
32 絶縁膜
33 配線溝
34 バリアメタル膜
35 配線
41 成膜装置
42 搬送室
42a 搬送用ロボット
43 ゲートバルブ
44a,44b ロードロック室
46,46a,46b,47a,47b,48a,48b チャンバ
51 ウエハ搬入出室
51a,51b 搬送用ロボット
52a,52b フープ
53 ポート
54 ウエハ受け渡しステーション
61 下部電極
62 上部電極
62a ガス導入口
63 高周波電源
64 マスフローコントローラ
65 ガス排気口
Qn nチャネル型MISFET
Qp pチャネル型MISFET
SW 半導体ウエハ
DESCRIPTION OF SYMBOLS 1 Semiconductor substrate 2 Element isolation region 3 P-type well 4 N-type well 5 Gate insulating film 6 Silicon film 6a, 6b Gate electrode 7a n type semiconductor region 7b n + type semiconductor region 8a p type semiconductor region 8b p + type semiconductor Region 9 Side wall 11 Metal film 12 Barrier film 13 Metal silicide layers 21, 21a, 21b Insulating film 22 Insulating film 23 Contact hole 24 Plug 24a Barrier conductor film 24b Main conductor film 31 Stopper insulating film 32 Insulating film 33 Wiring groove 34 Barrier metal Film 35 Wiring 41 Film forming apparatus 42 Transfer chamber 42a Transfer robot 43 Gate valves 44a, 44b Load lock chambers 46, 46a, 46b, 47a, 47b, 48a, 48b Chamber 51 Wafer loading / unloading chambers 51a, 51b Transfer robot 52a, 52b Hoop 53 Port 54 Wafer holder Delivery station 61 Lower electrode 62 Upper electrode 62a Gas introduction port 63 High frequency power supply 64 Mass flow controller 65 Gas exhaust port Qn n channel type MISFET
Qp p-channel MISFET
SW semiconductor wafer

Claims (19)

(a)半導体基板を準備する工程、
(b)前記半導体基板に第1導電型の第1半導体領域を形成する工程、
(c)前記第1半導体領域の表面に金属シリサイド層を形成する工程、
(d)前記金属シリサイド層の表面を還元性ガスのプラズマで処理する工程、
(e)前記金属シリサイド層上を含む前記半導体基板上に、窒化シリコンからなる第1絶縁膜をプラズマCVD法で形成する工程、
を有し、
前記(d)工程の後、前記半導体基板を大気中にさらすことなく前記(e)工程が行われることを特徴とする半導体装置の製造方法。
(A) a step of preparing a semiconductor substrate;
(B) forming a first semiconductor region of a first conductivity type on the semiconductor substrate;
(C) forming a metal silicide layer on the surface of the first semiconductor region;
(D) treating the surface of the metal silicide layer with plasma of a reducing gas;
(E) forming a first insulating film made of silicon nitride on the semiconductor substrate including the metal silicide layer by a plasma CVD method;
Have
The method of manufacturing a semiconductor device, wherein the step (e) is performed after the step (d) without exposing the semiconductor substrate to the atmosphere.
請求項1記載の半導体装置の製造方法において、
前記還元性ガスのプラズマは、アンモニアガス、水素ガス、あるいはそれらの混合ガスのプラズマであることを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
The method of manufacturing a semiconductor device, wherein the reducing gas plasma is plasma of ammonia gas, hydrogen gas, or a mixed gas thereof.
請求項2記載の半導体装置の製造方法において、
前記(d)工程の前記還元性ガスのプラズマ処理により、前記金属シリサイド層の表面の自然酸化膜が除去されることを特徴とする半導体装置の製造方法。
The method of manufacturing a semiconductor device according to claim 2.
A method of manufacturing a semiconductor device, wherein a natural oxide film on a surface of the metal silicide layer is removed by plasma treatment of the reducing gas in the step (d).
請求項3記載の半導体装置の製造方法において、
前記第1半導体領域は、ソースまたはドレイン用の半導体領域であることを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 3,
The semiconductor device manufacturing method, wherein the first semiconductor region is a source or drain semiconductor region.
請求項4記載の半導体装置の製造方法において、
前記(c)工程は、
(c1)前記第1半導体領域上を含む前記半導体基板上に金属膜を形成する工程、
(c2)熱処理を行って前記金属膜と前記第1半導体領域とを反応させて前記第1半導体領域の表面に前記金属シリサイド層を形成する工程、
(c3)前記(c2)工程後に、未反応の前記金属膜を除去し、前記第1半導体領域上に前記金属シリサイド層を残す工程、
を有し、
前記(d)工程は、前記(c3)工程の後に行われることを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 4,
The step (c)
(C1) forming a metal film on the semiconductor substrate including the first semiconductor region;
(C2) performing a heat treatment to react the metal film and the first semiconductor region to form the metal silicide layer on the surface of the first semiconductor region;
(C3) removing the unreacted metal film after the step (c2), leaving the metal silicide layer on the first semiconductor region;
Have
The step (d) is performed after the step (c3).
請求項5記載の半導体装置の製造方法において、
前記金属膜は、ニッケル膜またはニッケル合金膜であることを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 5,
The method of manufacturing a semiconductor device, wherein the metal film is a nickel film or a nickel alloy film.
請求項6記載の半導体装置の製造方法において、
前記金属膜は、Ni膜、Ni−Pt合金膜、Ni−V合金膜、Ni−Pd合金膜、Ni−Yb合金膜またはNi−Er合金膜であることを特徴とする半導体装置の製造方法。
The method of manufacturing a semiconductor device according to claim 6.
The method of manufacturing a semiconductor device, wherein the metal film is a Ni film, a Ni-Pt alloy film, a Ni-V alloy film, a Ni-Pd alloy film, a Ni-Yb alloy film, or a Ni-Er alloy film.
請求項7記載の半導体装置の製造方法において、
前記(d)および(e)工程は、同じチャンバ内で行われることを特徴とする半導体装置の製造方法。
The method of manufacturing a semiconductor device according to claim 7.
The method of manufacturing a semiconductor device, wherein the steps (d) and (e) are performed in the same chamber.
請求項1記載の半導体装置の製造方法において、
前記(d)工程の後、前記半導体基板を酸素含有雰囲気中にさらすことなく前記(e)工程が行われることを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
After the step (d), the method (e) is performed without exposing the semiconductor substrate to an oxygen-containing atmosphere.
請求項1記載の半導体装置の製造方法において、
前記(e)工程で形成された前記第1絶縁膜と前記金属シリサイド層との間の界面に酸化膜が形成されていないことを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
A method of manufacturing a semiconductor device, wherein an oxide film is not formed at an interface between the first insulating film and the metal silicide layer formed in the step (e).
請求項1記載の半導体装置の製造方法において、
前記還元性ガスのプラズマはアンモニアガスのプラズマであり、
前記(d)工程の前記アンモニアガスのプラズマ処理により、前記金属シリサイド層の表面の自然酸化膜が除去され、第1窒化膜が前記金属シリサイド層の表面に形成されることを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
The reducing gas plasma is ammonia gas plasma,
The semiconductor device, wherein the natural oxide film on the surface of the metal silicide layer is removed by the plasma treatment of the ammonia gas in the step (d), and a first nitride film is formed on the surface of the metal silicide layer. Manufacturing method.
請求項11記載の半導体装置の製造方法において、
前記第1窒化膜は、前記(e)工程で形成される前記第1絶縁膜よりも化学量論比に近い窒化シリコン膜であることを特徴とする半導体装置の製造方法。
The method of manufacturing a semiconductor device according to claim 11.
The method of manufacturing a semiconductor device, wherein the first nitride film is a silicon nitride film having a stoichiometric ratio closer to that of the first insulating film formed in the step (e).
請求項1記載の半導体装置の製造方法において、
前記(d)工程は、
(d1)第1のチャンバ内に前記半導体基板を配置する工程、
(d2)前記第1のチャンバ内に前記還元性ガスのプラズマを生成して前記金属シリサイド層の表面を前記還元性ガスのプラズマで処理する工程、
を有し、
前記(e)工程では、前記第1のチャンバ内にシリコン元素を構成元素として含む第1のガスと窒素元素を構成元素として含む第2のガスのプラズマを生成して、前記金属シリサイド層上を含む前記半導体基板上に前記第1絶縁膜を堆積することを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
The step (d)
(D1) placing the semiconductor substrate in the first chamber;
(D2) generating a plasma of the reducing gas in the first chamber and treating the surface of the metal silicide layer with the plasma of the reducing gas;
Have
In the step (e), plasma of a first gas containing silicon element as a constituent element and a second gas containing nitrogen element as a constituent element is generated in the first chamber, and the plasma is formed on the metal silicide layer. A method of manufacturing a semiconductor device, comprising depositing the first insulating film on the semiconductor substrate.
請求項13記載の半導体装置の製造方法において、
前記第1のガスはシランガスであり、前記第2のガスはアンモニアガスであることを特徴とする半導体装置の製造方法。
14. The method of manufacturing a semiconductor device according to claim 13,
The method of manufacturing a semiconductor device, wherein the first gas is silane gas and the second gas is ammonia gas.
請求項13記載の半導体装置の製造方法において、
前記還元性ガスのプラズマは水素ガスのプラズマであり、
前記(d2)工程の前記水素ガスのプラズマ処理により、前記金属シリサイド層の表面の自然酸化膜が除去され、
前記(d2)工程の後で前記(e)工程の前に、
(d3)前記第1のチャンバ内に前記第1のガスと前記第2のガスとを導入し、プラズマ化されていない前記第1のガスおよび前記第2のガスに前記金属シリサイド層の表面をさらすことで、前記金属シリサイド層の表面に第2窒化膜を形成する工程、
を更に有することを特徴とする半導体装置の製造方法。
14. The method of manufacturing a semiconductor device according to claim 13,
The reducing gas plasma is a hydrogen gas plasma,
A natural oxide film on the surface of the metal silicide layer is removed by the plasma treatment of the hydrogen gas in the step (d2),
After the step (d2) and before the step (e),
(D3) The first gas and the second gas are introduced into the first chamber, and the surface of the metal silicide layer is formed on the first gas and the second gas that have not been converted to plasma. Forming a second nitride film on the surface of the metal silicide layer by exposing;
A method for manufacturing a semiconductor device, further comprising:
請求項15記載の半導体装置の製造方法において、
前記第2窒化膜は、前記(e)工程で形成される前記第1絶縁膜よりも化学量論比に近い窒化シリコン膜であることを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 15,
The method of manufacturing a semiconductor device, wherein the second nitride film is a silicon nitride film having a stoichiometric ratio closer to that of the first insulating film formed in the step (e).
請求項1記載の半導体装置の製造方法において、
前記(e)工程で形成された前記第1絶縁膜は、前記半導体基板に引張応力を生じさせる膜であることを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
The method of manufacturing a semiconductor device, wherein the first insulating film formed in the step (e) is a film that generates a tensile stress on the semiconductor substrate.
請求項17記載の半導体装置の製造方法において、
前記(e)工程は、
(e1)前記半導体基板上に窒化シリコン膜をプラズマCVD法で堆積する工程、
(e2)前記(e1)工程で堆積した前記窒化シリコン膜の表面を窒素プラズマで処理する工程、
を有し、
前記(e1)および(e2)工程を1回以上繰り返して、前記第1絶縁膜を形成することを特徴とする半導体装置の製造方法。
The method of manufacturing a semiconductor device according to claim 17.
The step (e)
(E1) depositing a silicon nitride film on the semiconductor substrate by a plasma CVD method;
(E2) treating the surface of the silicon nitride film deposited in the step (e1) with nitrogen plasma;
Have
The method of manufacturing a semiconductor device, wherein the steps (e1) and (e2) are repeated one or more times to form the first insulating film.
請求項1記載の半導体装置の製造方法において、
前記(e)工程で形成された前記第1絶縁膜は、前記半導体基板に圧縮応力を生じさせる膜であることを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
The method of manufacturing a semiconductor device, wherein the first insulating film formed in the step (e) is a film that generates compressive stress on the semiconductor substrate.
JP2007259355A 2007-10-03 2007-10-03 Semiconductor device manufacturing method Pending JP2009088421A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2007259355A JP2009088421A (en) 2007-10-03 2007-10-03 Semiconductor device manufacturing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007259355A JP2009088421A (en) 2007-10-03 2007-10-03 Semiconductor device manufacturing method

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2012266175A Division JP2013077828A (en) 2012-12-05 2012-12-05 Method for manufacturing semiconductor device

Publications (1)

Publication Number Publication Date
JP2009088421A true JP2009088421A (en) 2009-04-23

Family

ID=40661412

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007259355A Pending JP2009088421A (en) 2007-10-03 2007-10-03 Semiconductor device manufacturing method

Country Status (1)

Country Link
JP (1) JP2009088421A (en)

Cited By (302)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110007056A (en) * 2009-07-15 2011-01-21 에이에스엠 저펜 가부시기가이샤 Method of forming stress-tuned dielectric film having si-n bonds by modified peald
JP2011054878A (en) * 2009-09-04 2011-03-17 Panasonic Corp Semiconductor device and method of manufacturing the same
US7923319B2 (en) 2008-11-26 2011-04-12 Renesas Electronics Corporation Method for manufacturing a semiconductor integrated circuit device circuit device
US7964500B2 (en) 2009-03-05 2011-06-21 Renesas Electronics Corporation Method of manufacturing semiconductor integrated circuit device
WO2012043250A1 (en) * 2010-09-30 2012-04-05 三菱重工業株式会社 Method and device for forming insulation film
JP2012164869A (en) * 2011-02-08 2012-08-30 Renesas Electronics Corp Semiconductor device and manufacturing method of the same
JP2014075493A (en) * 2012-10-04 2014-04-24 Tokyo Electron Ltd Deposition method and deposition device
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10364493B2 (en) 2016-08-25 2019-07-30 Asm Ip Holding B.V. Exhaust apparatus and substrate processing apparatus having an exhaust line with a first ring having at least one hole on a lateral side thereof placed in the exhaust line
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
CN112233970A (en) * 2020-12-15 2021-01-15 度亘激光技术(苏州)有限公司 Method for manufacturing gallium arsenide-based semiconductor device
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11373876B2 (en) * 2018-07-25 2022-06-28 Tokyo Electron Limited Film forming method and film forming apparatus
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US12000042B2 (en) 2022-08-11 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000031092A (en) * 1998-07-16 2000-01-28 Sony Corp Fabrication of semiconductor device
WO2002043151A1 (en) * 2000-11-22 2002-05-30 Hitachi, Ltd Semiconductor device and method for fabricating the same
JP2004128501A (en) * 2002-09-30 2004-04-22 Texas Instruments Inc Improvement of adhesion between nickel-silicide and silicon nitride by protecting surface
JP2004327844A (en) * 2003-04-25 2004-11-18 Toppan Printing Co Ltd Silicon nitride film, its producing process, and functional device
JP2007173743A (en) * 2005-12-26 2007-07-05 Toshiba Corp Method of manufacturing semiconductor device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000031092A (en) * 1998-07-16 2000-01-28 Sony Corp Fabrication of semiconductor device
WO2002043151A1 (en) * 2000-11-22 2002-05-30 Hitachi, Ltd Semiconductor device and method for fabricating the same
JP2004128501A (en) * 2002-09-30 2004-04-22 Texas Instruments Inc Improvement of adhesion between nickel-silicide and silicon nitride by protecting surface
JP2004327844A (en) * 2003-04-25 2004-11-18 Toppan Printing Co Ltd Silicon nitride film, its producing process, and functional device
JP2007173743A (en) * 2005-12-26 2007-07-05 Toshiba Corp Method of manufacturing semiconductor device

Cited By (385)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8268682B2 (en) 2008-11-26 2012-09-18 Renesas Electronics Corporation Method for manufacturing a semiconductor integrated circuit device
US7923319B2 (en) 2008-11-26 2011-04-12 Renesas Electronics Corporation Method for manufacturing a semiconductor integrated circuit device circuit device
US7964500B2 (en) 2009-03-05 2011-06-21 Renesas Electronics Corporation Method of manufacturing semiconductor integrated circuit device
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
KR101708936B1 (en) * 2009-07-15 2017-02-21 에이에스엠 저펜 가부시기가이샤 METHOD OF FORMING STRESS-TUNED DIELECTRIC FILM HAVING Si-N BONDS BY MODIFIED PEALD
JP2011023718A (en) * 2009-07-15 2011-02-03 Asm Japan Kk METHOD FOR FORMING STRESS-TUNED DIELECTRIC FILM HAVING Si-N BOND BY PEALD
KR20110007056A (en) * 2009-07-15 2011-01-21 에이에스엠 저펜 가부시기가이샤 Method of forming stress-tuned dielectric film having si-n bonds by modified peald
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011054878A (en) * 2009-09-04 2011-03-17 Panasonic Corp Semiconductor device and method of manufacturing the same
WO2012043250A1 (en) * 2010-09-30 2012-04-05 三菱重工業株式会社 Method and device for forming insulation film
JP2012164869A (en) * 2011-02-08 2012-08-30 Renesas Electronics Corp Semiconductor device and manufacturing method of the same
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
TWI634600B (en) * 2012-10-04 2018-09-01 東京威力科創股份有限公司 Film forming method and film forming device
JP2014075493A (en) * 2012-10-04 2014-04-24 Tokyo Electron Ltd Deposition method and deposition device
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10364493B2 (en) 2016-08-25 2019-07-30 Asm Ip Holding B.V. Exhaust apparatus and substrate processing apparatus having an exhaust line with a first ring having at least one hole on a lateral side thereof placed in the exhaust line
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11373876B2 (en) * 2018-07-25 2022-06-28 Tokyo Electron Limited Film forming method and film forming apparatus
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11996304B2 (en) 2019-07-16 2024-05-28 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12006572B2 (en) 2020-10-01 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US12009241B2 (en) 2020-10-05 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
CN112233970A (en) * 2020-12-15 2021-01-15 度亘激光技术(苏州)有限公司 Method for manufacturing gallium arsenide-based semiconductor device
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US12009224B2 (en) 2021-09-24 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US12000042B2 (en) 2022-08-11 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure

Similar Documents

Publication Publication Date Title
JP2009088421A (en) Semiconductor device manufacturing method
JP5358165B2 (en) Manufacturing method of semiconductor integrated circuit device
JP6727359B2 (en) How to enable seamless cobalt void filling
US8222133B2 (en) Manufacturing method of semiconductor device
JP4863296B2 (en) Manufacturing method of semiconductor device
JP4109118B2 (en) Manufacturing method of semiconductor integrated circuit device
JP2007311540A (en) Method of manufacturing semiconductor device
US7687398B2 (en) Technique for forming nickel silicide by depositing nickel from a gaseous precursor
JP2008244059A (en) Manufacturing method of semiconductor device
US7964500B2 (en) Method of manufacturing semiconductor integrated circuit device
US20100084713A1 (en) Semiconductor device manufacturing method and semiconductor device
JP5507654B2 (en) Manufacturing method of semiconductor device
JP2009141096A (en) Method of manufacturing semiconductor device
TW202247469A (en) Mosfet gate engineerinng with dipole films
TW202021046A (en) Method of forming via with embedded barrier
JP2009016500A (en) Method of manufacturing semiconductor device
JP2013077828A (en) Method for manufacturing semiconductor device
JP2005032908A (en) Method for forming thin film
JP2006093242A (en) Method of manufacturing semiconductor device
CN109872949A (en) A kind of semiconductor devices and its manufacturing method and electronic device
JP2005277285A (en) Method of manufacturing semiconductor device
JP5195421B2 (en) Semiconductor device
TW202410164A (en) Silicidation process for semiconductor devices
KR100900224B1 (en) Gate of semiconductor device and method for fabricating the same
JP2009260004A (en) Method of manufacturing semiconductor device

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20100528

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100903

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121009

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121011

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130409