US20080118334A1 - Variable pitch storage shelves - Google Patents

Variable pitch storage shelves Download PDF

Info

Publication number
US20080118334A1
US20080118334A1 US11/603,714 US60371406A US2008118334A1 US 20080118334 A1 US20080118334 A1 US 20080118334A1 US 60371406 A US60371406 A US 60371406A US 2008118334 A1 US2008118334 A1 US 2008118334A1
Authority
US
United States
Prior art keywords
container
support
storage location
foup
flange
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/603,714
Inventor
Anthony C. Bonora
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Muratec Automation Co Ltd
Original Assignee
Asyst Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asyst Technologies Inc filed Critical Asyst Technologies Inc
Priority to US11/603,714 priority Critical patent/US20080118334A1/en
Assigned to ASYST TECHNOLOGIES, INC. reassignment ASYST TECHNOLOGIES, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BONORA, ANTHONY C.
Assigned to KEYBANK NATIONAL ASSOCIATION, AS ADMINISTRATIVE AGENT reassignment KEYBANK NATIONAL ASSOCIATION, AS ADMINISTRATIVE AGENT SECURITY AGREEMENT Assignors: ASYST TECHNOLOGIES, INC.
Priority to PCT/US2007/084976 priority patent/WO2008064121A2/en
Priority to TW096143954A priority patent/TW200835639A/en
Publication of US20080118334A1 publication Critical patent/US20080118334A1/en
Assigned to MURATEC AUTOMATION CO., LTD. reassignment MURATEC AUTOMATION CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ASYST TECHNOLOGIES, INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G1/00Storing articles, individually or in orderly arrangement, in warehouses or magazines
    • B65G1/02Storage devices

Definitions

  • the present invention generally comprises a container storage device for simultaneously storing multiple containers of different dimensions in an efficient manner. More specifically, the present invention comprises a stocker for efficiently storing a plurality of Front Opening Unified Pods (FOUPs), or other containers with a mechanically openable door, that have varying dimensions.
  • FOUPs Front Opening Unified Pods
  • FIGS. 1-2 each illustrate a conventional stocker 10 for storing containers in a fabrication facility.
  • FIG. 1 illustrates a conventional stocker 10 storing multiple FOUPs 2 .
  • a conventional FOUP 2 comprises (i) a pod shell 4 , with a front opening 3 , for isolating one or more wafers, (ii) a pod door 9 that mechanically couples to the front opening 3 , (iii) a support plate 12 secured to the bottom of the FOUP shell 4 (or an integral part of the FOUP shell), and includes for example, three corresponding kinematic grooves (not shown) for seating over three corresponding kinematic pins located on a support surface (e.g., stocker shelf, load port kinematic plate, etc.), (iv) a top handle 6 , and (v) a pair of side handles 8 .
  • Stockers 10 also store open cassettes, reticle containers and any other article storage container known within the art.
  • the stocker 10 shown in FIG. 1 includes, among other things, multiple storage shelves 12 .
  • a storage shelf 12 may comprise any support.
  • Each FOUP 2 is seated on a storage shelf 12 .
  • the FIG. 1 embodiment illustrates that the shelves 12 are spaced a distance d 1 apart.
  • the distance d 1 also allows for a clearance d 2 between a storage shelf 12 and the top of a FOUP 2 located directly beneath the shelf 12 .
  • the clearance d 2 provides space for a transfer mechanism (e.g., robotic arm mechanism) to, for example, grab a FOUP 2 by the FOUP's top handle 6 and lift the FOUP 2 off the shelf 12 .
  • a transfer mechanism e.g., robotic arm mechanism
  • Each shelf 12 may extend under any portion of the FOUP 2 as long as the shelf 12 adequately supports the FOUP 2 .
  • each shelf 12 may comprise an area substantially equal to the bottom surface of the FOUP 2 .
  • the shelf 12 may comprise an area less than the area of the FOUP's bottom surface, as long as the shelf 12 may adequately support the FOUP 2 (e.g., the FOUP 2 will not tip over, wobble, etc. on the shelf 12 ).
  • Each shelf 12 may also comprise a 3-point support fork or any other support structure known within the art.
  • FIG. 2 illustrates a conventional stocker 10 storing varying capacity FOUPs.
  • the stocker 10 in FIG. 2 is storing large-capacity FOUPs 2 (e.g., a FOUP that stores up to 25 wafers) and small-capacity FOUPs 20 (e.g., a FOUP that stores less than 25 wafers).
  • the stocker 10 may store any size FOUP or container.
  • FIG. 2 demonstrates the inefficient result of storing small-capacity FOUPs 20 in a conventional stocker 10 . As shown in FIG. 2 , a large gap or distance d 3 exists between the bottom of a shelf 12 and the top of a small capacity FOUP 20 stored on the next shelf 12 below.
  • a minimum gap (e.g., distance d 2 ) preferably exists between each shelf 12 and the top of a FOUP stored directly beneath on the next shelf 12 below so that a robotic arm, for example, may access the top handle 6 of a FOUP.
  • the difference between the distance d 3 and the distance d 2 is wasted space, and cannot be avoided when storing small-capacity FOUPs 20 in a conventional stocker 10 .
  • the shelves 12 must comprise an evenly spaced arrangement (e.g., shelves are spaced a vertical distance d 1 apart) to accommodate large-capacity FOUPs 2 .
  • an improved stocker for simultaneously storing both small-capacity FOUPs 20 and large-capacity FOUPs 2 is needed in the industry.
  • the present invention provides such a stocker.
  • a small-capacity container comprises a FOUP for storing less than twenty-five semiconductor wafers and a large-capacity container comprises a conventional FOUP for storing up to twenty-five semiconductor wafers or more.
  • the container storage system may store a small capacity or large capacity FOUP in any of the storage locations.
  • each storage location comprises a pair of supports.
  • Each pair of supports are preferably separated by a distance greater that the width of the large-capacity FOUP shell. Even though the vertical pitch between the supports of adjacent storage locations is less than the height of a large capacity FOUP shell, a large-capacity FOUP may be stored in any storage location.
  • the large capacity FOUP shell when the large capacity FOUP is seated on a pair of supports, extends between the pair of supports located directly above. The pair of supports do not have to adjust to accommodate the large capacity FOUP.
  • each support within the storage location includes at least one registration feature, such as a kinematic pin or other location element, that registers with a bottom plate or flange of the FOUP.
  • each support includes a side wall to prevent the large capacity FOUP from moving laterally while seated on the supports.
  • Still another aspect of the present invention is to provide a container storage system that provides safety features to ensure that containers do not contact each other during transport and handling within the storage system.
  • at least one support in each storage location includes a sensor to detect whether a FOUP is seated within the storage location. The sensor prevents a transport mechanism from attempting to deliver a container to an already occupied storage location.
  • FIG. 1 provides a schematic view of a conventional stocker, according to the prior art
  • FIG. 2 provides a schematic view of the conventional stocker shown in FIG. 1 , storing containers having different storage capacities;
  • FIG. 3 provides a schematic view of an embodiment of a stocker or storage device, according to the present invention
  • FIGS. 4A-4B provide plan and front views of an embodiment of a small capacity container stored in the stocker or storage device shown in FIG. 3 ;
  • FIGS. 5A-5B provide plan and front views of an embodiment of a large capacity container stored in the stocker or storage device shown in FIG. 3 ;
  • FIGS. 6A-6B provide plan and front views of another embodiment of a workpiece container seated in a storage location
  • SEMI Semiconductor Equipment and Materials International
  • Container is defined as any type of structure for supporting an article including, but not limited to, a semiconductor substrate.
  • a container includes a structure that comprises an open volume whereby the article can be accessed (e.g., FPD transport) or a container having a mechanically openable door (e.g., bottom opening SMIF pod and FOUP).
  • FOUP field opening SMIF pod and FOUP
  • FIGS. 3-5 describe various aspects if the present invention.
  • the container storage system is described herein in conjunction with a stocker.
  • the present invention also applies to other container storage systems such as, but not limited to, tool proximity buffers and other storage devices used within the semiconductor industry.
  • FIG. 3 illustrates a stocker 100 .
  • the stocker 100 includes multiple storage locations, each comprising a pair or set of support structures or bars 101 .
  • Each FOUP whether it is a large capacity FOUP 2 or a small capacity FOUP 20 , is supported by the pair or set of support structures 101 .
  • the stocker 100 is described throughout in operation with a small capacity FOUP 20 and a large capacity FOUP 2 each having a bottom plate 32 and 12 , respectively (see FIGS. 4-5 ).
  • the bottom plate may be integrally formed with the container shell or comprise a separate structure that is secured to the container shell.
  • the bottom plate may also be referred to as a flange. It is within the scope and spirit of the invention for a container to include other types of flanges.
  • a flange may comprise any structure or feature, secured to or extending from the container shell, that mates with the supports in the storage location for supporting the container while the container is seated in a storage location.
  • a flange may extend from the container shell at any elevation along the container shell (e.g., extend from the top of the container shell, extend from the middle of the container shell, comprise the bottom plate, etc.).
  • a container While a container is seated in a storage location, the flange(s) is seated on the supports 101 (e.g., the bottom plate 12 of the FOUP 2 is seated on the supports 101 ). If, for example, a container includes a flange extending from the top of the container, the container is hung or suspended from the supports 101 by the flange seated on the supports 101 .
  • a flange may comprise a horizontal, angled or stepped surface, a series of pins or rods extending from the container shell, and so on.
  • the supports 101 may comprise any structure for supporting the container's flange features.
  • the supports 101 and the FOUP flange preferably mate such that the workpieces stored in the FOUP are substantially horizontal while the FOUP is seated in the storage location.
  • the workpieces stored in a container may be oriented in any position in the container while the container is seated in a storage location (e.g., vertical, angled, etc.).
  • the stocker 100 will be described in operation with containers including a bottom plate and corresponding supports for supporting the container substantially horizontal.
  • the distance or pitch d 4 between supports 101 may be standardized for the entire stocker 100 .
  • support structures 102 and 104 which are supporting a large capacity FOUP 2 in FIG. 3 , are spaced apart by a distance d 4 .
  • the support structures 118 and 120 which are supporting a small capacity FOUP 20 in FIG. 3 , are separated apart by the same distance d 4 .
  • the stocker 100 may store either a large capacity FOUP 2 or a small capacity FOUP 20 in any of the storage locations.
  • the distance between each set of supports 101 does not have to be equal.
  • the stocker 100 shown in FIG. 3 comprises four columns, each column having eight storage locations: a first storage column C 1 , a second storage column C 2 , a third storage column C 3 and a fourth storage column C 4 .
  • FIG. 3 illustrates that the total storage capacity of the stocker 100 depends on the number of small and large capacity FOUPs stored in the stocker 100 .
  • the first column C 1 stores a small capacity FOUP 20 in each of the eight storage locations.
  • the second column C 2 stores one large capacity FOUP 2 and six small capacity FOUPs 20 .
  • the large capacity FOUP 2 essentially takes up two storage locations (the top two storage locations in columns C 2 ). Thus, column 2 only stores seven FOUPs.
  • the third column C 3 stores three large capacity FOUPs 2 and two small capacity FOUPs 20 .
  • the fourth column C 4 stores four large capacity FOUPs 2 .
  • the stocker 100 shown in FIG. 3 is storing twenty-four FOUPs. If the stocker 100 stored all small capacity FOUPs 20 , the stocker 100 would store thirty-two FOUPs. In contrast, the stocker 10 shown in FIG. 1 may only store a maximum of sixteen FOUPs, regardless of what combination of small capacity and large capacity FOUPs were stored in the stocker 10 .
  • the stocker 100 stores two different sizes of containers: large capacity FOUPs 2 and small capacity FOUPs 20 .
  • the stocker 100 may store more than two different sizes of FOUPs.
  • the vertical pitch d 5 between the supports 101 in each storage location is preferably set by the height of the smallest container size that will be stored in the stocker 100 (e.g., height h 2 of a small capacity FOUP 20 ).
  • the gap g is minimized.
  • the support structure 101 may comprise many different structures.
  • each support structure 101 consists of a support bar, and each pair of supports 101 are set apart at a horizontal pitch or distance d 4 .
  • the pitch d 4 between support structures 101 comprises a distance that provides maximum storage of the small capacity FOUPs 20 without wasted space within the stocker 100 .
  • the pitch d 4 is also determined, in part, by the width of the bottom plate or flange on each FOUP. By standardizing the width of the bottom plate or flange on each FOUP, the pitch d 4 may also be standardized.
  • FIG. 3 illustrates a stocker 100 with a standardized pitch d 4 .
  • the pitch d 4 between the support structure 102 and support structure 104 is the same as the pitch or distance between the support structure 110 and the support structure 112 , which is the same as the pitch d 4 between the support structure 118 and the support structure 120 and so on.
  • each set of supports 101 within a particular row are placed close to each other.
  • the support 118 of the set of supports 118 and 120 is placed close to the support 132 of the adjacent set of supports 134 and 132 .
  • Each support structure 101 is also separated or set apart vertically by a distance d 5 from another support 101 .
  • FIG. 3 illustrates that support structure 104 and support structure 108 are separated vertically by a distance d 5 .
  • Support structure 102 and support structure 106 are separated by distance d 5 .
  • Support structure 112 and support structure 116 are separated by distance d 5 .
  • Support structure 110 and support structure 114 are separated by distance d 5 .
  • the distance d 5 is preferably greater than the height h 2 of a container shell 24 of a small capacity FOUP 20 .
  • a gap g exists between the top of the small capacity FOUP's container shell 24 and, in this example, the bottom plate 32 of the FOUP 20 A located directly above the small capacity FOUP 20 B.
  • FIGS. 4A-4B illustrate one embodiment of storing a small capacity FOUP 20 within the stocker 100 .
  • the small capacity FOUP 20 shown in FIGS. 4A-4B shares many common characteristics with a conventional FOUP.
  • the small capacity FOUP 20 includes a container shell 24 , a mechanically openable container door 29 that couples with the front opening 23 , a top handle 26 , a pair of side handles 28 and a bottom plate or flange 32 . From the top view provided in FIG. 4A , the container shell 24 of the small capacity FOUP 20 has a tapered configuration.
  • the front opening 23 in this embodiment, is substantially the same width W 3 as the width of the bottom flange 32 .
  • the width W 4 of the container shell 24 is narrower than the width W 3 of the bottom flange 32 .
  • the container shell 24 similar to the bottom plate 32 , is also tapered.
  • FIG. 4A shows that the FOUP 20 comprises a length L 2 .
  • the bottom plate 32 of the small capacity FOUP 20 is not required to extend the entire length L 2 of the FOUP 20 .
  • the large capacity FOUP 2 has similar features as the small capacity FOUP 20 .
  • the large capacity FOUP 2 includes a container shell 4 , a mechanically openable door 9 that couples with the front opening 3 , a top handle 6 , a pair of side handles 8 and a bottom plate or flange 12 .
  • the container shell 4 and the bottom flange 32 each have a tapered configuration.
  • the front opening 3 in this embodiment, is substantially the same width W 1 as the bottom flange 32 . And the width W 2 of the front opening 3 is greater than the width W 2 of the container shell 4 .
  • FIG. 4A illustrates that the support structures 124 and 126 each comprise a length L 1 .
  • the support structures 124 and 126 do not extend the entire length L 2 of the FOUP. It is within the scope and spirit of the invention for the length of the support structures 124 and 126 to vary, and have other configurations (e.g., comprise a three-point fork structure).
  • the supports 124 and 126 are preferably long enough to adequately support the small capacity FOUP 20 . In this embodiment, the supports 124 and 126 support the FOUP by its bottom plate 32 , and therefore, are long enough to support the FOUP's bottom plate 32 .
  • the supports 124 and 126 may support the FOUP by the FOUP's flange (not shown) extending from the container shell (e.g., a flange extending from the top of the FOUP). If the FOUP includes a flange other than a bottom flange, the supports 124 and 126 must adequately support the FOUP by its flange. As will be discussed in more detail later, the length L 1 of each support is less than the length of the FOUP (large capacity or small capacity FOUP) so that, when the FOUP is seated on a pair of supports 101 , the FOUP door opening will not contact or strike the supports 101 in the storage location located above.
  • FIG. 4A also illustrates that, in this embodiment, the FOUP's bottom plate 32 is seated on the support surface 131 of each support 124 and 126 .
  • the width W 3 of the bottom plate 32 is greater than the width W 4 of the FOUP shell 24 .
  • the width W 3 of the bottom plate 32 may comprise any length as long as the distance d 4 between supports 101 is narrower than the width W 3 of the bottom plate 32 . Otherwise, the FOUP 20 could not be supported by a pair of support structures 101 .
  • Each support structure 101 may include pins (e.g., kinematic pins) or other registration or location features that would accurately engage mating features on both the large capacity FOUP's bottom plate 12 and the small capacity FOUP's bottom plate 32 . These registration features would allow a FOUP to be placed on a pair of support structure 101 or in a storage location in an accurate and repeatable location.
  • the storage location may include two rounded pins on one support structure 101 (e.g., support 102 ) and one rounded pin on the other support structure 101 (e.g., support 104 ).
  • the pair of support structures 102 and 104 would then include three corresponding registration features similar to the arrangement used for the kinematic pin alignment on 300 mm FOUPs, which are standardized by SEMI. Other alignment and/or registration features are also possible on each support structure 101 . These registration features would also engage a flange extending from the FOUP if the FOUP was, for example, seated in a storage location by its flange.
  • FIG. 4B illustrates two small capacity FOUPs 20 A and 20 B seated in two vertically adjacent storage locations.
  • Small capacity FOUP 20 A is seated in the stocker 100 in a first storage location having support structures 124 and 126 .
  • Small capacity FOUP 20 B is seated below the small capacity FOUP 20 A, in a second storage location, on support structures 120 and 122 . Both sets of support structures are horizontally spaced apart a distance d 4 and are spaced vertically apart by a distance d 5 .
  • the height h 2 of the small capacity FOUP 20 B is less than the distance d 5 , creating a gap g between the top handle 26 of the small capacity FOUP 20 B and the bottom plate 32 of the small capacity FOUP 20 A seated above.
  • the gap g provides an area whereby a robotic arm or other FOUP transfer device may operate within, for example, to grip the top handle 26 of the small capacity FOUP 20 B.
  • a robotic arm or other FOUP transfer device may operate within, for example, to grip the top handle 26 of the small capacity FOUP 20 B.
  • Such a mechanism is well known in the semiconductor art and does not require further disclosure herein.
  • One example of such a mechanism is disclosed in U.S. Pat. No. 6,579,052, which is assigned to Asyst Technologies, Inc., and is incorporated herein by reference.
  • Other types of mechanisms are within the scope and spirit of the present invention.
  • the gap g provides room to lift the FOUP 20 B off the supports 120 and 122 and not contact the bottom plate 32 of the FOUP 20 A stored above.
  • FIG. 4B illustrates that each support structure 101 may also include a feature to limit the lateral motion of the FOUP while the FOUP is seated in the storage location.
  • FIG. 4B illustrates that support structures 120 and 122 each contain an inclined inner wall 130 to prevent the FOUP 20 B from moving laterally within the storage location.
  • the same is true for the supports 124 and 126 .
  • Each support structure 101 may also include a similar feature or wall at the front and/or rear of the support structure 101 (not shown) to limit the forward and backwards motion of a FOUP seated on a pair of support structures 101 .
  • the support structures 101 did include front and rear walls, the front and rear vertical walls would preferably not be excessively tall because the FOUP must be lifted over one of the walls to be placed on the set of support structures 101 , increasing overhead clearance requirements (e.g., increasing the minimum required height for gap g).
  • FIGS. 4-5 illustrate that each FOUP contains a pair of side handles protruding from the side of the FOUP.
  • the large capacity FOUP 2 includes a pair of side handles 8 .
  • the small capacity FOUP 20 includes a pair of side handles 28 .
  • the side handles 8 on the large capacity FOUP 2 are preferably lower than the side handles on a conventional FOUP (e.g., located towards the bottom of the FOUP shell). This way, the side handles 8 of a large capacity FOUP 2 , when the FOUP is seated in a storage location, clears (does not contact) the set of supports 101 in the storage location located directly above.
  • the stocker 100 may store conventional 300 mm FOUPs. In that case, the vertical pitch d 5 between support structures 101 would be greater than shown in FIGS. 3-5 because the side handles of a conventional 300 mm FOUP are located higher along the FOUP's side wall (e.g., higher on the FOUP than shown in FIG. 3 ).
  • One or more support structures 101 in each storage location may include a sensor to determine if, for example, a FOUP is seated in the storage location. This feature may be useful to prevent any type of placement or collision errors even though the robot or transfer device that moves FOUPs between storage locations may be recording which storage locations are currently occupied.
  • a sensor on each support structure 101 could provide a cross check or confirm that the transfer device is accurately recording this information.
  • the transfer device may also include sensors that sense the bottom placement of the container and the containers height to cross check the stored placement information and assure that the container is gripped at the correct level or that a position (or positions) is unoccupied.
  • FIG. 5A illustrates that the large capacity FOUP 2 includes, among other things, a FOUP shell 4 , a top handle 6 , a pair of side handles 8 , a FOUP door 10 and a bottom flange or plate 12 .
  • the FOUP shell 4 comprises a width W 2 and a height h 1 .
  • the width W 1 of the bottom plate 12 is preferably greater than the width W 2 of the FOUP shell 4 .
  • the depth of the bottom plate 12 may be any length as long as the bottom plate 12 adequately supports the FOUP 2 (e.g., the FOUP 2 will not tip over when seated on the supports 102 and 104 ).
  • the FOUP may not include a bottom support plate and instead include a flange (not shown) extending from the container shell. If the FOUP has, for example, a top flange, the FOUP flange would be seated on the supports in a storage location.
  • FIG. 5B illustrates a large capacity FOUP 2 seated in a storage location of the stocker 100 on support structures 102 and 104 .
  • the height h 1 of the large capacity FOUP 2 is greater than the vertical pitch d 5 between the support structures 102 and 106 and the supports 104 and 108 .
  • the width W 2 of the FOUP shell 4 is preferably smaller than the horizontal pitch d 4 between support structures 101 .
  • FIG. 5B illustrates that container shell 4 of the large capacity FOUP 2 , when the FOUP 2 is seated on the supports 102 and 104 , extends between the supports 106 and 108 and does not contact either support 106 or 108 .
  • the container shell 4 extends between the supports 106 and 108 , leaving a small gap between the container shell 4 and each support.
  • a gap or empty space g is preferably located between the top of the FOUP shell 4 and the bottom plate 11 of the FOUP (small or large capacity) seated above.
  • the gap g allows a transfer mechanism to engage the FOUP handle 6 and lift the FOUP 2 off the support structures 102 and 104 .
  • the FOUP 2 must be lifted high enough so that the bottom plate 12 clears the top of the supports 102 and 104 .
  • the distance between the top of the side handles 8 of a seated FOUP and the supports 106 and 108 must be large enough to allow the FOUP to be lifted off the supports 102 and 104 and not strike the side handles 8 against supports 106 and 108 .
  • FIGS. 6A-6B illustrate another embodiment of a large capacity container 50 seated in a storage location.
  • the container 50 includes a container shell 52 , a flange 54 , a top handle 62 and a pair of side handles 62 .
  • the container shell 52 comprises a uniform width W 5 .
  • FIG. 6B shows the container 50 with both a front opening door 56 and a bottom opening door 58 to illustrate that the storage location is suitable for any type of container.
  • the container shell 52 comprises a height h 3 and a width W 5 .
  • the flange 54 bottom flange has a width W 4 .
  • the flange 54 may comprise any width as long as the width W 4 of the flange 54 is greater than the pitch d 4 between support 101 .
  • the container 50 may include a flange 54 extending from the container shell 52 at any elevation.
  • FIG. 6A illustrates that the width W 4 of the flange 54 is preferably greater than the width W 5 of the container shell 52 .
  • the depth of the flange 54 may be any length as long as the flange 54 adequately supports the container 50 (e.g., the container 50 will not tip over when seated on the supports 102 and 104 ).
  • FIG. 6B illustrates the container 50 seated in a storage location of the stocker 100 on supports 102 and 104 . Similar to the large capacity FOUP 2 , the height h 3 of the container 50 is greater than the vertical pitch d 5 between the support structures 102 and 106 and the supports 104 and 108 . The width W 5 of the container shell 52 is preferably smaller than the horizontal pitch d 4 between supports 106 and 108 . FIG. 6B illustrates that container shell 52 of the container 50 , when the container 50 is seated on the supports 102 and 104 , extends between the supports 106 and 108 and does not contact either support 106 or 108 .
  • the container shell 52 extends between the supports 106 and 108 , leaving a small gap between the container shell 52 and each support.
  • the pitch d 5 between supports 101 is greater than the height h 3 of the container shell 52 .
  • a gap or empty space (not shown) is accommodateted between the top of the container shell 52 and the flange 54 of the container seated directly above.
  • the gap allows a transfer mechanism to engage the container handle 60 and lift the container 50 off the supports 102 and 104 .
  • the container 50 must be lifted high enough so that the flange 54 clears the top of the supports 102 and 104 .
  • stocker 100 and methods for storing and transporting FOUPs within the stocker 100 are for explanatory purposes only and that the invention is not limited thereby. Having thus described a preferred embodiment of a method and system for storing FOUPs, it should be apparent to those skilled in the art that certain advantages of the within system have been achieved. It should also be appreciated that various modifications, adaptations, and alternative embodiments thereof may be made within the scope and spirit of the present invention.
  • the stocker 100 may also be used in connection with other equipment within in a semiconductor fabrication facility, and it should be apparent that many of the inventive concepts described above would be equally applicable to the use of other non-semiconductor manufacturing applications.

Abstract

The present invention generally comprises a container storage system for efficiently storing large capacity and small capacity containers or FOUPs. In one embodiment, each storage location within the stocker comprises a pair of spaced apart supports. A large capacity container or a small capacity container may be seated in any storage location. The storage locations are arranged within the stocker to minimize the amount of empty space between the supports of a storage location and a container seated in an adjacent storage location. In one embodiment, the vertical pitch between supports of adjacent storage locations is less than the height of a large capacity FOUP container shell. Thus, when a FOUP is seated in a storage location, its container shell extends between the supports of the storage location located directly above.

Description

    FIELD OF THE INVENTION
  • The present invention generally comprises a container storage device for simultaneously storing multiple containers of different dimensions in an efficient manner. More specifically, the present invention comprises a stocker for efficiently storing a plurality of Front Opening Unified Pods (FOUPs), or other containers with a mechanically openable door, that have varying dimensions.
  • BACKGROUND OF THE INVENTION
  • FIGS. 1-2 each illustrate a conventional stocker 10 for storing containers in a fabrication facility. FIG. 1 illustrates a conventional stocker 10 storing multiple FOUPs 2. A conventional FOUP 2 comprises (i) a pod shell 4, with a front opening 3, for isolating one or more wafers, (ii) a pod door 9 that mechanically couples to the front opening 3, (iii) a support plate 12 secured to the bottom of the FOUP shell 4 (or an integral part of the FOUP shell), and includes for example, three corresponding kinematic grooves (not shown) for seating over three corresponding kinematic pins located on a support surface (e.g., stocker shelf, load port kinematic plate, etc.), (iv) a top handle 6, and (v) a pair of side handles 8. Stockers 10 also store open cassettes, reticle containers and any other article storage container known within the art.
  • The stocker 10 shown in FIG. 1 includes, among other things, multiple storage shelves 12. A storage shelf 12 may comprise any support. Each FOUP 2 is seated on a storage shelf 12. The FIG. 1 embodiment illustrates that the shelves 12 are spaced a distance d1 apart. The distance d1 also allows for a clearance d2 between a storage shelf 12 and the top of a FOUP 2 located directly beneath the shelf 12. The clearance d2 provides space for a transfer mechanism (e.g., robotic arm mechanism) to, for example, grab a FOUP 2 by the FOUP's top handle 6 and lift the FOUP 2 off the shelf 12. It is also known within the semiconductor industry to engage a FOUP and lift the FOUP off a shelf by the FOUPs bottom plate.
  • Each shelf 12 may extend under any portion of the FOUP 2 as long as the shelf 12 adequately supports the FOUP 2. For example, each shelf 12 may comprise an area substantially equal to the bottom surface of the FOUP 2. Or the shelf 12 may comprise an area less than the area of the FOUP's bottom surface, as long as the shelf 12 may adequately support the FOUP 2 (e.g., the FOUP 2 will not tip over, wobble, etc. on the shelf 12). Each shelf 12 may also comprise a 3-point support fork or any other support structure known within the art.
  • FIG. 2 illustrates a conventional stocker 10 storing varying capacity FOUPs. The stocker 10 in FIG. 2 is storing large-capacity FOUPs 2 (e.g., a FOUP that stores up to 25 wafers) and small-capacity FOUPs 20 (e.g., a FOUP that stores less than 25 wafers). The stocker 10 may store any size FOUP or container. FIG. 2 demonstrates the inefficient result of storing small-capacity FOUPs 20 in a conventional stocker 10. As shown in FIG. 2, a large gap or distance d3 exists between the bottom of a shelf 12 and the top of a small capacity FOUP 20 stored on the next shelf 12 below. A minimum gap (e.g., distance d2) preferably exists between each shelf 12 and the top of a FOUP stored directly beneath on the next shelf 12 below so that a robotic arm, for example, may access the top handle 6 of a FOUP. The difference between the distance d3 and the distance d2 is wasted space, and cannot be avoided when storing small-capacity FOUPs 20 in a conventional stocker 10. The shelves 12 must comprise an evenly spaced arrangement (e.g., shelves are spaced a vertical distance d1 apart) to accommodate large-capacity FOUPs 2.
  • Thus, an improved stocker for simultaneously storing both small-capacity FOUPs 20 and large-capacity FOUPs 2 is needed in the industry. The present invention provides such a stocker.
  • SUMMARY OF THE INVENTION
  • One aspect of the present invention is to provide a container storage system that may simultaneously store both large-capacity containers and small-capacity containers in an efficient manner. In one embodiment, a small-capacity container comprises a FOUP for storing less than twenty-five semiconductor wafers and a large-capacity container comprises a conventional FOUP for storing up to twenty-five semiconductor wafers or more. The container storage system may store a small capacity or large capacity FOUP in any of the storage locations.
  • Another aspect of the present invention is to provide a container storage system having storage locations with standardized supports. In one embodiment, each storage location comprises a pair of supports. Each pair of supports are preferably separated by a distance greater that the width of the large-capacity FOUP shell. Even though the vertical pitch between the supports of adjacent storage locations is less than the height of a large capacity FOUP shell, a large-capacity FOUP may be stored in any storage location. The large capacity FOUP shell, when the large capacity FOUP is seated on a pair of supports, extends between the pair of supports located directly above. The pair of supports do not have to adjust to accommodate the large capacity FOUP.
  • Yet another aspect of the present invention is to provide a container storage system with storage locations that align and/or include registration features to ensure that each container is properly seated within the storage location. In one embodiment, each support within the storage location includes at least one registration feature, such as a kinematic pin or other location element, that registers with a bottom plate or flange of the FOUP. In another embodiment, each support includes a side wall to prevent the large capacity FOUP from moving laterally while seated on the supports.
  • Still another aspect of the present invention is to provide a container storage system that provides safety features to ensure that containers do not contact each other during transport and handling within the storage system. In one embodiment, at least one support in each storage location includes a sensor to detect whether a FOUP is seated within the storage location. The sensor prevents a transport mechanism from attempting to deliver a container to an already occupied storage location.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 provides a schematic view of a conventional stocker, according to the prior art;
  • FIG. 2 provides a schematic view of the conventional stocker shown in FIG. 1, storing containers having different storage capacities;
  • FIG. 3 provides a schematic view of an embodiment of a stocker or storage device, according to the present invention
  • FIGS. 4A-4B provide plan and front views of an embodiment of a small capacity container stored in the stocker or storage device shown in FIG. 3;
  • FIGS. 5A-5B provide plan and front views of an embodiment of a large capacity container stored in the stocker or storage device shown in FIG. 3; and
  • FIGS. 6A-6B provide plan and front views of another embodiment of a workpiece container seated in a storage location
  • DETAILED DESCRIPTION OF THE INVENTION
  • Semiconductor Equipment and Materials International (SEMI) has created standards for semiconductor wafer manufacturing equipment (see http://www.semi.org). The SEMI Standards govern acceptable tolerances and interfaces for semiconductor manufacturing equipment. The inventions described herein are not limited to semiconductor manufacturing equipment for handling FOUPs or other types of containers.
  • By way of example only, the various embodiments of the present invention may also be used and/or adapted for systems handling SMIF pods, reticle containers, flat panel display transport devices, or any other container or processing tool. Container is defined as any type of structure for supporting an article including, but not limited to, a semiconductor substrate. By way of example only, a container includes a structure that comprises an open volume whereby the article can be accessed (e.g., FPD transport) or a container having a mechanically openable door (e.g., bottom opening SMIF pod and FOUP). For purposes of describing this invention, however, only FOUPs will be referenced herein.
  • FIGS. 3-5 describe various aspects if the present invention. For purposes of describing various aspects of the present invention, the container storage system is described herein in conjunction with a stocker. However, it is understood that the present invention also applies to other container storage systems such as, but not limited to, tool proximity buffers and other storage devices used within the semiconductor industry.
  • FIG. 3 illustrates a stocker 100. The stocker 100 includes multiple storage locations, each comprising a pair or set of support structures or bars 101. Each FOUP, whether it is a large capacity FOUP 2 or a small capacity FOUP 20, is supported by the pair or set of support structures 101.
  • The stocker 100 is described throughout in operation with a small capacity FOUP 20 and a large capacity FOUP 2 each having a bottom plate 32 and 12, respectively (see FIGS. 4-5). The bottom plate may be integrally formed with the container shell or comprise a separate structure that is secured to the container shell. The bottom plate may also be referred to as a flange. It is within the scope and spirit of the invention for a container to include other types of flanges. A flange may comprise any structure or feature, secured to or extending from the container shell, that mates with the supports in the storage location for supporting the container while the container is seated in a storage location. A flange may extend from the container shell at any elevation along the container shell (e.g., extend from the top of the container shell, extend from the middle of the container shell, comprise the bottom plate, etc.).
  • While a container is seated in a storage location, the flange(s) is seated on the supports 101 (e.g., the bottom plate 12 of the FOUP 2 is seated on the supports 101). If, for example, a container includes a flange extending from the top of the container, the container is hung or suspended from the supports 101 by the flange seated on the supports 101. By way of example only, a flange may comprise a horizontal, angled or stepped surface, a series of pins or rods extending from the container shell, and so on. Similarly, the supports 101 may comprise any structure for supporting the container's flange features. If, for example, a conventional FOUP is seated in a storage location, the supports 101 and the FOUP flange preferably mate such that the workpieces stored in the FOUP are substantially horizontal while the FOUP is seated in the storage location. Of course, the workpieces stored in a container may be oriented in any position in the container while the container is seated in a storage location (e.g., vertical, angled, etc.). For purposes of describing this invention only, the stocker 100 will be described in operation with containers including a bottom plate and corresponding supports for supporting the container substantially horizontal.
  • In the case where the bottom support plate of a large capacity FOUP and a small capacity FOUP are the same width, the distance or pitch d4 between supports 101 may be standardized for the entire stocker 100. For example, support structures 102 and 104, which are supporting a large capacity FOUP 2 in FIG. 3, are spaced apart by a distance d4. And the support structures 118 and 120, which are supporting a small capacity FOUP 20 in FIG. 3, are separated apart by the same distance d4. By separating the support structures 101 of each storage location apart by a standard distance (e.g., distance d4), the stocker 100 may store either a large capacity FOUP 2 or a small capacity FOUP 20 in any of the storage locations. Of course, the distance between each set of supports 101 does not have to be equal.
  • The stocker 100 shown in FIG. 3 comprises four columns, each column having eight storage locations: a first storage column C1, a second storage column C2, a third storage column C3 and a fourth storage column C4. FIG. 3 illustrates that the total storage capacity of the stocker 100 depends on the number of small and large capacity FOUPs stored in the stocker 100. Here, the first column C1 stores a small capacity FOUP 20 in each of the eight storage locations. The second column C2 stores one large capacity FOUP 2 and six small capacity FOUPs 20. The large capacity FOUP 2 essentially takes up two storage locations (the top two storage locations in columns C2). Thus, column 2 only stores seven FOUPs. The third column C3 stores three large capacity FOUPs 2 and two small capacity FOUPs 20. The fourth column C4 stores four large capacity FOUPs 2.
  • The stocker 100 shown in FIG. 3 is storing twenty-four FOUPs. If the stocker 100 stored all small capacity FOUPs 20, the stocker 100 would store thirty-two FOUPs. In contrast, the stocker 10 shown in FIG. 1 may only store a maximum of sixteen FOUPs, regardless of what combination of small capacity and large capacity FOUPs were stored in the stocker 10.
  • The stocker 100, as shown in FIG. 3, stores two different sizes of containers: large capacity FOUPs 2 and small capacity FOUPs 20. However, the stocker 100 may store more than two different sizes of FOUPs. To maximize the flexibility of the stocker 100, the vertical pitch d5 between the supports 101 in each storage location is preferably set by the height of the smallest container size that will be stored in the stocker 100 (e.g., height h2 of a small capacity FOUP 20). Thus, if one column of the stocker 100 stores all small capacity FOUPs, the gap g (see FIG. 4B) is minimized.
  • The support structure 101 may comprise many different structures. In one embodiment, each support structure 101 consists of a support bar, and each pair of supports 101 are set apart at a horizontal pitch or distance d4. The pitch d4 between support structures 101 comprises a distance that provides maximum storage of the small capacity FOUPs 20 without wasted space within the stocker 100. The pitch d4 is also determined, in part, by the width of the bottom plate or flange on each FOUP. By standardizing the width of the bottom plate or flange on each FOUP, the pitch d4 may also be standardized.
  • FIG. 3 illustrates a stocker 100 with a standardized pitch d4. In the FIG. 3 embodiment, the pitch d4 between the support structure 102 and support structure 104 is the same as the pitch or distance between the support structure 110 and the support structure 112, which is the same as the pitch d4 between the support structure 118 and the support structure 120 and so on. To minimize the footprint of the stocker 100, each set of supports 101 within a particular row are placed close to each other. For example, the support 118 of the set of supports 118 and 120 is placed close to the support 132 of the adjacent set of supports 134 and 132.
  • Each support structure 101 is also separated or set apart vertically by a distance d5 from another support 101. FIG. 3 illustrates that support structure 104 and support structure 108 are separated vertically by a distance d5. Support structure 102 and support structure 106 are separated by distance d5. Support structure 112 and support structure 116 are separated by distance d5. Support structure 110 and support structure 114 are separated by distance d5. And so on. The distance d5 is preferably greater than the height h2 of a container shell 24 of a small capacity FOUP 20. Thus, when a small capacity FOUP 20 is seated on a set of supports 101 (e.g., supports 120 and 122 as shown in FIG. 4B), a gap g exists between the top of the small capacity FOUP's container shell 24 and, in this example, the bottom plate 32 of the FOUP 20A located directly above the small capacity FOUP 20B.
  • FIGS. 4A-4B illustrate one embodiment of storing a small capacity FOUP 20 within the stocker 100. The small capacity FOUP 20 shown in FIGS. 4A-4B shares many common characteristics with a conventional FOUP. The small capacity FOUP 20 includes a container shell 24, a mechanically openable container door 29 that couples with the front opening 23, a top handle 26, a pair of side handles 28 and a bottom plate or flange 32. From the top view provided in FIG. 4A, the container shell 24 of the small capacity FOUP 20 has a tapered configuration. The front opening 23, in this embodiment, is substantially the same width W3 as the width of the bottom flange 32. The width W4 of the container shell 24 is narrower than the width W3 of the bottom flange 32. The container shell 24, similar to the bottom plate 32, is also tapered. FIG. 4A shows that the FOUP 20 comprises a length L2. The bottom plate 32 of the small capacity FOUP 20 is not required to extend the entire length L2 of the FOUP 20.
  • The large capacity FOUP 2 has similar features as the small capacity FOUP 20. The large capacity FOUP 2 includes a container shell 4, a mechanically openable door 9 that couples with the front opening 3, a top handle 6, a pair of side handles 8 and a bottom plate or flange 12. The container shell 4 and the bottom flange 32 each have a tapered configuration. The front opening 3, in this embodiment, is substantially the same width W1 as the bottom flange 32. And the width W2 of the front opening 3 is greater than the width W2 of the container shell 4.
  • FIG. 4A illustrates that the support structures 124 and 126 each comprise a length L1. The support structures 124 and 126 do not extend the entire length L2 of the FOUP. It is within the scope and spirit of the invention for the length of the support structures 124 and 126 to vary, and have other configurations (e.g., comprise a three-point fork structure). The supports 124 and 126 are preferably long enough to adequately support the small capacity FOUP 20. In this embodiment, the supports 124 and 126 support the FOUP by its bottom plate 32, and therefore, are long enough to support the FOUP's bottom plate 32. In other embodiments, the supports 124 and 126 may support the FOUP by the FOUP's flange (not shown) extending from the container shell (e.g., a flange extending from the top of the FOUP). If the FOUP includes a flange other than a bottom flange, the supports 124 and 126 must adequately support the FOUP by its flange. As will be discussed in more detail later, the length L1 of each support is less than the length of the FOUP (large capacity or small capacity FOUP) so that, when the FOUP is seated on a pair of supports 101, the FOUP door opening will not contact or strike the supports 101 in the storage location located above.
  • FIG. 4A also illustrates that, in this embodiment, the FOUP's bottom plate 32 is seated on the support surface 131 of each support 124 and 126. As mentioned above, the width W3 of the bottom plate 32 is greater than the width W4 of the FOUP shell 24. The width W3 of the bottom plate 32 may comprise any length as long as the distance d4 between supports 101 is narrower than the width W3 of the bottom plate 32. Otherwise, the FOUP 20 could not be supported by a pair of support structures 101.
  • Each support structure 101 may include pins (e.g., kinematic pins) or other registration or location features that would accurately engage mating features on both the large capacity FOUP's bottom plate 12 and the small capacity FOUP's bottom plate 32. These registration features would allow a FOUP to be placed on a pair of support structure 101 or in a storage location in an accurate and repeatable location. In one embodiment, the storage location may include two rounded pins on one support structure 101 (e.g., support 102) and one rounded pin on the other support structure 101 (e.g., support 104). The pair of support structures 102 and 104 would then include three corresponding registration features similar to the arrangement used for the kinematic pin alignment on 300 mm FOUPs, which are standardized by SEMI. Other alignment and/or registration features are also possible on each support structure 101. These registration features would also engage a flange extending from the FOUP if the FOUP was, for example, seated in a storage location by its flange.
  • FIG. 4B illustrates two small capacity FOUPs 20A and 20B seated in two vertically adjacent storage locations. Small capacity FOUP 20A is seated in the stocker 100 in a first storage location having support structures 124 and 126. Small capacity FOUP 20B is seated below the small capacity FOUP 20A, in a second storage location, on support structures 120 and 122. Both sets of support structures are horizontally spaced apart a distance d4 and are spaced vertically apart by a distance d5. The height h2 of the small capacity FOUP 20B is less than the distance d5, creating a gap g between the top handle 26 of the small capacity FOUP 20B and the bottom plate 32 of the small capacity FOUP 20A seated above. The gap g provides an area whereby a robotic arm or other FOUP transfer device may operate within, for example, to grip the top handle 26 of the small capacity FOUP 20B. Such a mechanism is well known in the semiconductor art and does not require further disclosure herein. One example of such a mechanism is disclosed in U.S. Pat. No. 6,579,052, which is assigned to Asyst Technologies, Inc., and is incorporated herein by reference. Other types of mechanisms are within the scope and spirit of the present invention. If the robotic arm or FOUP transfer device engages and/or lifts the FOUP 20B by the FOUP's bottom plate 32 or side handles 28, the gap g provides room to lift the FOUP 20B off the supports 120 and 122 and not contact the bottom plate 32 of the FOUP 20A stored above.
  • FIG. 4B illustrates that each support structure 101 may also include a feature to limit the lateral motion of the FOUP while the FOUP is seated in the storage location. For example, FIG. 4B illustrates that support structures 120 and 122 each contain an inclined inner wall 130 to prevent the FOUP 20B from moving laterally within the storage location. The same is true for the supports 124 and 126. Each support structure 101 may also include a similar feature or wall at the front and/or rear of the support structure 101 (not shown) to limit the forward and backwards motion of a FOUP seated on a pair of support structures 101. If the support structures 101 did include front and rear walls, the front and rear vertical walls would preferably not be excessively tall because the FOUP must be lifted over one of the walls to be placed on the set of support structures 101, increasing overhead clearance requirements (e.g., increasing the minimum required height for gap g).
  • FIGS. 4-5 illustrate that each FOUP contains a pair of side handles protruding from the side of the FOUP. The large capacity FOUP 2 includes a pair of side handles 8. The small capacity FOUP 20 includes a pair of side handles 28. The side handles 8 on the large capacity FOUP 2 are preferably lower than the side handles on a conventional FOUP (e.g., located towards the bottom of the FOUP shell). This way, the side handles 8 of a large capacity FOUP 2, when the FOUP is seated in a storage location, clears (does not contact) the set of supports 101 in the storage location located directly above. The stocker 100 may store conventional 300 mm FOUPs. In that case, the vertical pitch d5 between support structures 101 would be greater than shown in FIGS. 3-5 because the side handles of a conventional 300 mm FOUP are located higher along the FOUP's side wall (e.g., higher on the FOUP than shown in FIG. 3).
  • One or more support structures 101 in each storage location may include a sensor to determine if, for example, a FOUP is seated in the storage location. This feature may be useful to prevent any type of placement or collision errors even though the robot or transfer device that moves FOUPs between storage locations may be recording which storage locations are currently occupied. A sensor on each support structure 101 could provide a cross check or confirm that the transfer device is accurately recording this information. The transfer device may also include sensors that sense the bottom placement of the container and the containers height to cross check the stored placement information and assure that the container is gripped at the correct level or that a position (or positions) is unoccupied.
  • FIG. 5A illustrates that the large capacity FOUP 2 includes, among other things, a FOUP shell 4, a top handle 6, a pair of side handles 8, a FOUP door 10 and a bottom flange or plate 12. The FOUP shell 4 comprises a width W2 and a height h1. The width W1 of the bottom plate 12 is preferably greater than the width W2 of the FOUP shell 4. The depth of the bottom plate 12 may be any length as long as the bottom plate 12 adequately supports the FOUP 2 (e.g., the FOUP 2 will not tip over when seated on the supports 102 and 104). As previously discussed above, it is also within the scope of the invention for the FOUP to not include a bottom support plate and instead include a flange (not shown) extending from the container shell. If the FOUP has, for example, a top flange, the FOUP flange would be seated on the supports in a storage location.
  • FIG. 5B illustrates a large capacity FOUP 2 seated in a storage location of the stocker 100 on support structures 102 and 104. The height h1 of the large capacity FOUP 2 is greater than the vertical pitch d5 between the support structures 102 and 106 and the supports 104 and 108. The width W2 of the FOUP shell 4 is preferably smaller than the horizontal pitch d4 between support structures 101. FIG. 5B illustrates that container shell 4 of the large capacity FOUP 2, when the FOUP 2 is seated on the supports 102 and 104, extends between the supports 106 and 108 and does not contact either support 106 or 108. Thus, the container shell 4 extends between the supports 106 and 108, leaving a small gap between the container shell 4 and each support. Similar to the small capacity FOUPs stored in the stocker 100, a gap or empty space g is preferably located between the top of the FOUP shell 4 and the bottom plate 11 of the FOUP (small or large capacity) seated above. The gap g allows a transfer mechanism to engage the FOUP handle 6 and lift the FOUP 2 off the support structures 102 and 104. The FOUP 2 must be lifted high enough so that the bottom plate 12 clears the top of the supports 102 and 104. At the same time, the distance between the top of the side handles 8 of a seated FOUP and the supports 106 and 108 must be large enough to allow the FOUP to be lifted off the supports 102 and 104 and not strike the side handles 8 against supports 106 and 108.
  • FIGS. 6A-6B illustrate another embodiment of a large capacity container 50 seated in a storage location. The container 50 includes a container shell 52, a flange 54, a top handle 62 and a pair of side handles 62. In this embodiment, the container shell 52 comprises a uniform width W5. FIG. 6B shows the container 50 with both a front opening door 56 and a bottom opening door 58 to illustrate that the storage location is suitable for any type of container. The container shell 52 comprises a height h3 and a width W5. The flange 54 bottom flange, has a width W4. The flange 54 may comprise any width as long as the width W4 of the flange 54 is greater than the pitch d4 between support 101. Similar to the small-capacity and large-capacity FOUPs described above, the container 50 may include a flange 54 extending from the container shell 52 at any elevation.
  • FIG. 6A illustrates that the width W4 of the flange 54 is preferably greater than the width W5 of the container shell 52. The depth of the flange 54 may be any length as long as the flange 54 adequately supports the container 50 (e.g., the container 50 will not tip over when seated on the supports 102 and 104).
  • FIG. 6B illustrates the container 50 seated in a storage location of the stocker 100 on supports 102 and 104. Similar to the large capacity FOUP 2, the height h3 of the container 50 is greater than the vertical pitch d5 between the support structures 102 and 106 and the supports 104 and 108. The width W5 of the container shell 52 is preferably smaller than the horizontal pitch d4 between supports 106 and 108. FIG. 6B illustrates that container shell 52 of the container 50, when the container 50 is seated on the supports 102 and 104, extends between the supports 106 and 108 and does not contact either support 106 or 108. Thus, the container shell 52 extends between the supports 106 and 108, leaving a small gap between the container shell 52 and each support. In a preferred embodiment, the pitch d5 between supports 101 is greater than the height h3 of the container shell 52. This way, a gap or empty space (not shown) is vreated between the top of the container shell 52 and the flange 54 of the container seated directly above. The gap allows a transfer mechanism to engage the container handle 60 and lift the container 50 off the supports 102 and 104. The container 50 must be lifted high enough so that the flange 54 clears the top of the supports 102 and 104.
  • It should be appreciated that the above-described stocker 100 and methods for storing and transporting FOUPs within the stocker 100 are for explanatory purposes only and that the invention is not limited thereby. Having thus described a preferred embodiment of a method and system for storing FOUPs, it should be apparent to those skilled in the art that certain advantages of the within system have been achieved. It should also be appreciated that various modifications, adaptations, and alternative embodiments thereof may be made within the scope and spirit of the present invention. For example, the stocker 100 may also be used in connection with other equipment within in a semiconductor fabrication facility, and it should be apparent that many of the inventive concepts described above would be equally applicable to the use of other non-semiconductor manufacturing applications.

Claims (14)

1. A container storage device for storing large capacity workpiece containers and small capacity workpiece containers, each small capacity workpiece container and large capacity workpiece container includes a container shell and a flange, the container storage device comprising:
a first storage location for supporting a workpiece container by its flange, said first storage location having a first support spaced apart from a second support by a predetermined distance that is greater than the width of a container shell of a large capacity workpiece container; and
a second storage location for supporting the flange of a workpiece container, located above said first storage location, including a first support spaced apart from a second support by a predetermined distance that is greater than the width of a container shell of a large capacity workpiece container;
wherein the vertical pitch between said first and second supports of said first storage location and said first and second supports of said second storage location is less than the height of the container shell of a large capacity workpiece container.
2. The container storage device as recited in claim 1, wherein said first support and said second support of said first storage location each include a registration feature for engaging the flange of either a large capacity workpiece container or the flange of a small capacity workpiece container.
3. The container storage device as recited in claim 1, wherein said first support and said second support of said second storage location each include a registration feature for engaging the flange of either a large capacity workpiece container or the flange of a small capacity workpiece container.
4. The container storage device as recited in claim 1, wherein said first support and said second support of said first storage location restrict the lateral movement of a workpiece container seated on said first and second supports of said first storage location.
5. The container storage device as recited in claim 1, wherein said first support and said second support of said second storage location restrict the lateral movement of a workpiece container seated on said first and second supports of said second storage location.
6. The container storage device as recited in claim 1, wherein said first storage location includes a sensor to determine whether a workpiece container is located in said first storage location.
7. The container storage device as recited in claim 1, wherein said second storage location includes a sensor to determine whether a workpiece container is located in said second storage location.
8. A container storage device for storing large capacity workpiece containers and small capacity workpiece containers, each small capacity and large capacity workpiece container including a container shell and a flange, the container storage device comprising:
a first storage location including a first support and a second support horizontally spaced apart from each other by a predetermined distance, said predetermined distance being greater than the width of a container shell of a large capacity workpiece container yet able to support a workpiece container by its flange;
a second storage location, located above said first storage location, including a first support and a second support horizontally spaced apart from each other by a predetermined distance, said predetermined distance being greater than the width of a container shell of a large capacity workpiece container yet able to support a workpiece container by its flange;
wherein when a large capacity workpiece container is located in said first storage location, the container shell of the large capacity workpiece container extends between said first support and said second support of said second storage location.
9. The container storage device as recited in claim 8, wherein said first support and said second support of said first storage location each include a registration feature for engaging the flange of either the large capacity workpiece container or the flange of the small capacity workpiece container.
10. The container storage device as recited in claim 8, wherein said first support and said second support of said second storage shelf each include a registration feature for engaging the flange of either the large capacity workpiece container or the flange of the small capacity workpiece container.
11. The container storage device as recited in claim 8, wherein said first support and said second support of said first storage location restrict the lateral movement of a workpiece container seated in said first storage location.
12. The container storage device as recited in claim 8, wherein said first support and said second support of said second storage location restrict the lateral movement of a workpiece container seated in said second storage location.
13. The container storage device as recited in claim 8, wherein said first storage location includes a sensor to determine whether a workpiece container is seated in said first storage location.
14. The container storage device as recited in claim 8, wherein said second storage location includes a sensor to determine whether a workpiece container is seated in said second storage location.
US11/603,714 2006-11-22 2006-11-22 Variable pitch storage shelves Abandoned US20080118334A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US11/603,714 US20080118334A1 (en) 2006-11-22 2006-11-22 Variable pitch storage shelves
PCT/US2007/084976 WO2008064121A2 (en) 2006-11-22 2007-11-16 Variable pitch storage shelves
TW096143954A TW200835639A (en) 2006-11-22 2007-11-20 Variable pitch storage shelves

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/603,714 US20080118334A1 (en) 2006-11-22 2006-11-22 Variable pitch storage shelves

Publications (1)

Publication Number Publication Date
US20080118334A1 true US20080118334A1 (en) 2008-05-22

Family

ID=39417116

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/603,714 Abandoned US20080118334A1 (en) 2006-11-22 2006-11-22 Variable pitch storage shelves

Country Status (3)

Country Link
US (1) US20080118334A1 (en)
TW (1) TW200835639A (en)
WO (1) WO2008064121A2 (en)

Cited By (287)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140308108A1 (en) * 2013-04-10 2014-10-16 Globalfoundries Inc. System for separately handling different size foups
US20150117988A1 (en) * 2013-10-29 2015-04-30 Nam-Su Yuk Cassette transfer apparatus and cassette transferring method using the same
US10217983B2 (en) 2013-07-26 2019-02-26 Lg Chem, Ltd. Cross-linked compound particle and secondary battery including the same
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10364493B2 (en) 2016-08-25 2019-07-30 Asm Ip Holding B.V. Exhaust apparatus and substrate processing apparatus having an exhaust line with a first ring having at least one hole on a lateral side thereof placed in the exhaust line
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11956977B2 (en) 2021-08-31 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices

Citations (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1959001A (en) * 1933-06-13 1934-05-15 Nat Biscuit Co Display fixture
US4544068A (en) * 1983-08-16 1985-10-01 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Laboratory glassware rack for seismic safety
US4775281A (en) * 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
US4867629A (en) * 1986-11-20 1989-09-19 Shimizu Construction Co., Ltd. Dusttight storage cabinet apparatus for use in clean rooms
US4986715A (en) * 1988-07-13 1991-01-22 Tokyo Electron Limited Stock unit for storing carriers
US5216618A (en) * 1989-12-02 1993-06-01 Sumitomo Rubber Industries, Ltd. Method and apparatus for taking out and storing articles
US5284412A (en) * 1990-08-17 1994-02-08 Tokyo Electron Sagami Limited Stock unit for storing carriers
US5570990A (en) * 1993-11-05 1996-11-05 Asyst Technologies, Inc. Human guided mobile loader stocker
US5713711A (en) * 1995-01-17 1998-02-03 Bye/Oasis Multiple interface door for wafer storage and handling container
US5909994A (en) * 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US5980183A (en) * 1997-04-14 1999-11-09 Asyst Technologies, Inc. Integrated intrabay buffer, delivery, and stocker system
US6042324A (en) * 1999-03-26 2000-03-28 Asm America, Inc. Multi-stage single-drive FOUP door system
US6123120A (en) * 1997-12-03 2000-09-26 Kabushiki Kaisha Toshiba Clean storage equipment for substrates and method of storing substrates
US6169935B1 (en) * 1997-08-08 2001-01-02 Mitsubishi Denki Kabushiki Kaisha Control method of stocker entry task and stocker exit task in semiconductor wafer cassette transportation apparatus
US6198273B1 (en) * 1996-11-12 2001-03-06 Advantest Corporation IC tester simultaneously testing plural ICS
US6275743B1 (en) * 1993-12-28 2001-08-14 Ricoh Company, Ltd. Parts supply system
US6357984B1 (en) * 1997-07-03 2002-03-19 Asm International N.V. Storage assembly for wafers
US6375403B1 (en) * 1995-03-28 2002-04-23 Brooks Automation, Gmbh Loading and unloading station for semiconductor processing installations
US20020095223A1 (en) * 2001-01-16 2002-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Stocker apparatus affording manual access
US20020094256A1 (en) * 2001-01-16 2002-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Stocker apparatus with increased input/output capacity
US6481945B1 (en) * 1998-06-05 2002-11-19 Asm International N.V. Method and device for transferring wafers
US6506009B1 (en) * 2000-03-16 2003-01-14 Applied Materials, Inc. Apparatus for storing and moving a cassette
US6579052B1 (en) * 1997-07-11 2003-06-17 Asyst Technologies, Inc. SMIF pod storage, delivery and retrieval system
US6592318B2 (en) * 2001-07-13 2003-07-15 Asm America, Inc. Docking cart with integrated load port
US6726429B2 (en) * 2002-02-19 2004-04-27 Vertical Solutions, Inc. Local store for a wafer processing station
US6773081B2 (en) * 2001-07-27 2004-08-10 Yuyama Mfg. Co., Ltd. Cabinet for conveying medicine
US20050122504A1 (en) * 2003-11-13 2005-06-09 Rice Michael R. Monitoring of smart pin transition timing
US7039499B1 (en) * 2002-08-02 2006-05-02 Seminet Inc. Robotic storage buffer system for substrate carrier pods
US7077173B2 (en) * 2001-07-13 2006-07-18 Renesas Technology Corp. Wafer carrier, wafer conveying system, stocker, and method of replacing gas
US7175034B2 (en) * 2002-06-14 2007-02-13 Harbor Industries, Inc. Modular, adjustable display rack
US20070134078A1 (en) * 2005-10-27 2007-06-14 Rogers Theodore W Horizontal array stocker
US20070264114A1 (en) * 2006-05-09 2007-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. High efficiency buffer stocker
US20080006559A1 (en) * 2006-07-07 2008-01-10 Entegris, Inc. Substrate carrier and handle
US20080260504A1 (en) * 2007-04-17 2008-10-23 International Business Machines Corporation Oht accessible high density stocker and method

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1994007776A1 (en) * 1992-10-02 1994-04-14 Bellheimer Metallwerk Gmbh Warehouse storage shelving

Patent Citations (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1959001A (en) * 1933-06-13 1934-05-15 Nat Biscuit Co Display fixture
US4544068A (en) * 1983-08-16 1985-10-01 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Laboratory glassware rack for seismic safety
US4867629A (en) * 1986-11-20 1989-09-19 Shimizu Construction Co., Ltd. Dusttight storage cabinet apparatus for use in clean rooms
US4775281A (en) * 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
US4986715A (en) * 1988-07-13 1991-01-22 Tokyo Electron Limited Stock unit for storing carriers
US5216618A (en) * 1989-12-02 1993-06-01 Sumitomo Rubber Industries, Ltd. Method and apparatus for taking out and storing articles
US5284412A (en) * 1990-08-17 1994-02-08 Tokyo Electron Sagami Limited Stock unit for storing carriers
US5570990A (en) * 1993-11-05 1996-11-05 Asyst Technologies, Inc. Human guided mobile loader stocker
US6275743B1 (en) * 1993-12-28 2001-08-14 Ricoh Company, Ltd. Parts supply system
US5713711A (en) * 1995-01-17 1998-02-03 Bye/Oasis Multiple interface door for wafer storage and handling container
US6609876B2 (en) * 1995-03-28 2003-08-26 Brooks Automation, Inc. Loading and unloading station for semiconductor processing installations
US6375403B1 (en) * 1995-03-28 2002-04-23 Brooks Automation, Gmbh Loading and unloading station for semiconductor processing installations
US6198273B1 (en) * 1996-11-12 2001-03-06 Advantest Corporation IC tester simultaneously testing plural ICS
US5909994A (en) * 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US5980183A (en) * 1997-04-14 1999-11-09 Asyst Technologies, Inc. Integrated intrabay buffer, delivery, and stocker system
US6357984B1 (en) * 1997-07-03 2002-03-19 Asm International N.V. Storage assembly for wafers
US6579052B1 (en) * 1997-07-11 2003-06-17 Asyst Technologies, Inc. SMIF pod storage, delivery and retrieval system
US6169935B1 (en) * 1997-08-08 2001-01-02 Mitsubishi Denki Kabushiki Kaisha Control method of stocker entry task and stocker exit task in semiconductor wafer cassette transportation apparatus
US6123120A (en) * 1997-12-03 2000-09-26 Kabushiki Kaisha Toshiba Clean storage equipment for substrates and method of storing substrates
US6481945B1 (en) * 1998-06-05 2002-11-19 Asm International N.V. Method and device for transferring wafers
US6042324A (en) * 1999-03-26 2000-03-28 Asm America, Inc. Multi-stage single-drive FOUP door system
US6506009B1 (en) * 2000-03-16 2003-01-14 Applied Materials, Inc. Apparatus for storing and moving a cassette
US20020095223A1 (en) * 2001-01-16 2002-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Stocker apparatus affording manual access
US20020094256A1 (en) * 2001-01-16 2002-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Stocker apparatus with increased input/output capacity
US6739820B2 (en) * 2001-01-16 2004-05-25 Taiwan Semiconductor Manufacturing Co., Ltd Stocker apparatus with increased input/output capacity
US6516243B2 (en) * 2001-01-16 2003-02-04 Taiwan Semiconductor Manufacturing Co., Ltd Stocker apparatus affording manual access
US7077173B2 (en) * 2001-07-13 2006-07-18 Renesas Technology Corp. Wafer carrier, wafer conveying system, stocker, and method of replacing gas
US6592318B2 (en) * 2001-07-13 2003-07-15 Asm America, Inc. Docking cart with integrated load port
US6773081B2 (en) * 2001-07-27 2004-08-10 Yuyama Mfg. Co., Ltd. Cabinet for conveying medicine
US6726429B2 (en) * 2002-02-19 2004-04-27 Vertical Solutions, Inc. Local store for a wafer processing station
US7175034B2 (en) * 2002-06-14 2007-02-13 Harbor Industries, Inc. Modular, adjustable display rack
US7039499B1 (en) * 2002-08-02 2006-05-02 Seminet Inc. Robotic storage buffer system for substrate carrier pods
US20050122504A1 (en) * 2003-11-13 2005-06-09 Rice Michael R. Monitoring of smart pin transition timing
US20070134078A1 (en) * 2005-10-27 2007-06-14 Rogers Theodore W Horizontal array stocker
US20070264114A1 (en) * 2006-05-09 2007-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. High efficiency buffer stocker
US20080006559A1 (en) * 2006-07-07 2008-01-10 Entegris, Inc. Substrate carrier and handle
US20080260504A1 (en) * 2007-04-17 2008-10-23 International Business Machines Corporation Oht accessible high density stocker and method

Cited By (363)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US20140308108A1 (en) * 2013-04-10 2014-10-16 Globalfoundries Inc. System for separately handling different size foups
US9142437B2 (en) * 2013-04-10 2015-09-22 Globalfoundries Inc. System for separately handling different size FOUPs
US10217983B2 (en) 2013-07-26 2019-02-26 Lg Chem, Ltd. Cross-linked compound particle and secondary battery including the same
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US9640417B2 (en) * 2013-10-29 2017-05-02 Samsung Electronics Co., Ltd. Cassette transfer apparatus and cassette transferring method using the same
US20150117988A1 (en) * 2013-10-29 2015-04-30 Nam-Su Yuk Cassette transfer apparatus and cassette transferring method using the same
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10364493B2 (en) 2016-08-25 2019-07-30 Asm Ip Holding B.V. Exhaust apparatus and substrate processing apparatus having an exhaust line with a first ring having at least one hole on a lateral side thereof placed in the exhaust line
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2021-04-26 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11956977B2 (en) 2021-08-31 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11952658B2 (en) 2022-10-24 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material

Also Published As

Publication number Publication date
WO2008064121A2 (en) 2008-05-29
TW200835639A (en) 2008-09-01
WO2008064121A3 (en) 2008-08-28

Similar Documents

Publication Publication Date Title
US20080118334A1 (en) Variable pitch storage shelves
US9834378B2 (en) Loader and buffer for reduced lot size
US7780392B2 (en) Horizontal array stocker
US7914248B2 (en) Methods and apparatus for repositioning support for a substrate carrier
TWI508217B (en) Substrate processing apparatus
US10957569B2 (en) Access to one or more levels of material storage shelves by an overhead hoist transport vehicle from a single track position
EP1028905B1 (en) Integrated intrabay buffer, delivery, and stocker system
US20210057255A1 (en) Automatic handling buffer for bare stocker
US8070410B2 (en) Scalable stocker with automatic handling buffer
US7704031B2 (en) Substrate processing apparatus
KR101702901B1 (en) Automatic handling buffer for bare stocker
US11261024B2 (en) High density stocker
KR100426810B1 (en) Wafer carrier
US20020153578A1 (en) Wafer buffering system
KR102648735B1 (en) high density stalker
EP1156515A1 (en) Arrangement for shipping and transporting disc-like objects

Legal Events

Date Code Title Description
AS Assignment

Owner name: ASYST TECHNOLOGIES, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:BONORA, ANTHONY C.;REEL/FRAME:018979/0890

Effective date: 20070215

AS Assignment

Owner name: KEYBANK NATIONAL ASSOCIATION, AS ADMINISTRATIVE AG

Free format text: SECURITY AGREEMENT;ASSIGNOR:ASYST TECHNOLOGIES, INC.;REEL/FRAME:019699/0165

Effective date: 20070727

AS Assignment

Owner name: MURATEC AUTOMATION CO., LTD., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:ASYST TECHNOLOGIES, INC.;REEL/FRAME:023079/0739

Effective date: 20090811

Owner name: MURATEC AUTOMATION CO., LTD.,JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:ASYST TECHNOLOGIES, INC.;REEL/FRAME:023079/0739

Effective date: 20090811

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION