US20190019670A1 - Apparatus and method for removal of oxide and carbon from semiconductor films in a single processing chamber - Google Patents

Apparatus and method for removal of oxide and carbon from semiconductor films in a single processing chamber Download PDF

Info

Publication number
US20190019670A1
US20190019670A1 US16/000,109 US201816000109A US2019019670A1 US 20190019670 A1 US20190019670 A1 US 20190019670A1 US 201816000109 A US201816000109 A US 201816000109A US 2019019670 A1 US2019019670 A1 US 2019019670A1
Authority
US
United States
Prior art keywords
gas
oxide
substrate
remote plasma
flowing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US16/000,109
Inventor
Xing Lin
Peipei Gao
Fei Wang
John Tolle
Bubesh Babu JOTHEESWARAN
Vish Ramanathan
Eric Hill
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Priority to US16/000,109 priority Critical patent/US20190019670A1/en
Publication of US20190019670A1 publication Critical patent/US20190019670A1/en
Assigned to ASM IP HOLDING B.V. reassignment ASM IP HOLDING B.V. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: JOTHEESWARAN, Bubesh Babu, TOLLE, JOHN, Gao, Peipei, HILL, ERIC, LIN, Xing, Ramanathan, Vish, WANG, FEI
Priority to US17/875,907 priority patent/US20220367175A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B5/00Cleaning by methods involving the use of air flow or gas flow
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Definitions

  • the present disclosure generally relates to an apparatus and a method for manufacturing electronic devices. More particularly, the disclosure relates to removal of oxide and carbon within semiconductor films formed in a processing chamber.
  • a clean surface of a wafer or substrate Prior to the fabrication of semiconductor device, a clean surface of a wafer or substrate is desired. Contaminates on the substrate may adversely affect mechanical and electrical properties of the semiconductor devices formed. It is desired that these contaminates be removed before particular films are deposited onto the substrate.
  • Contaminants that exist on a silicon or silicon germanium substrate may include carbon-based contaminants, such as carbonaceous contaminants and hydrocarbon contaminates. Other contaminants may include oxygen-based contaminants, such as native oxides, for example. It may be imperative to remove these contaminants before epitaxial processes can take place.
  • Prior approaches to contaminant removal focus on removing one of the contaminants, either carbon-based or oxygen-based, but not both. This may be in part due to equipment limitations of the prior approaches. As a result, a system and method to remove both carbon-based and oxygen-based contaminants is desired.
  • FIG. 1 is a cross-sectional illustration of a system in accordance with at least one embodiment of the invention.
  • FIG. 2 is a cross-sectional illustration of a system in accordance with at least one embodiment of the invention.
  • FIGS. 3A, 3B and 3C are flowcharts of methods in accordance with at least one embodiment of the invention.
  • FIG. 4 is a flowchart of a step in accordance with at least one embodiment of the invention.
  • FIG. 5 is a flowchart of a step in accordance with at least one embodiment of the invention.
  • FIG. 6 is a flowchart of a step in accordance with at least one embodiment of the invention.
  • Embodiments of the invention are directed to a system with a single process chamber having a capability to remove both carbon-based contaminants and oxygen-based contaminants.
  • the embodiments have several advantages over prior approaches including: (1) incorporation of at least one remote plasma unit (RPU) with the ability to generate both hydrogen radicals and fluorine radicals; and (2) compatibility of the process chamber with both hydrogen radicals and fluorine radicals.
  • RPU remote plasma unit
  • Embodiments of the invention may be used to clean semiconductor substrates made of at least one of the following materials: silicon; silicon germanium; or germanium, for example. In one embodiment, the percentage of germanium in silicon germanium may vary from 10% to 90%. Also, embodiments of the invention may be used to etch carbon layers, such as an advanced patterning film (APF); photoresists; or other carbon contaminations including CHF x , SiC, or SiOC. In addition, embodiments of the invention may be used to clean a surface of dielectric materials, such as silicon oxide, silicon nitride, silicon oxynitride, fluorinated silicon oxide, silicon carboxide, and silicon carboxynitride. Furthermore, embodiments of the invention may be applied to patterned wafer surfaces.
  • APF advanced patterning film
  • FIG. 1 illustrates a system 100 in accordance with at least one embodiment of the invention.
  • the system 100 may comprise a reaction chamber 110 , a susceptor 120 , a showerhead 130 , a remote plasma unit 140 , and a transport path 145 between the remote plasma unit 140 and the reaction chamber 110 .
  • a substrate 150 is placed on the susceptor 120 for processing.
  • the reaction chamber 110 defines a space in which the substrate 150 is processed.
  • the reaction chamber 110 , the susceptor 120 , the showerhead 130 , and the transport path 145 may be coated with materials or bulk ceramic material in order to allow for compatibility with different radicals.
  • the materials for coating may include at least one of: anodized aluminum oxide (Al 2 O 3 ); atomic layer deposition (ALD)-formed aluminum oxide; plasma sprayed Al 2 O 3 ; bare aluminum parts with native aluminum oxide, yttrium oxide (Y 2 O 3 ); yttrium oxide stabilized zirconium oxide (YSZ); zirconium oxide (ZrO 2 ); lanthanum zirconium oxide (LZO); yttrium aluminum garnet (YAG); yttrium oxyfluoride (YOF); combination of the above materials; or the above substrate doped with other glass phase materials.
  • Al 2 O 3 anodized aluminum oxide
  • ALD atomic layer deposition
  • Al 2 O 3
  • the coating materials can be made with two layers.
  • the first layer may be coated with anodized Al 2 O 3 and the second layer may be coated with ALD-formed Al 2 O 3 .
  • the coating may be amorphous phase, crystalline phase, or mixed.
  • the bulk ceramic material may include: aluminum oxide (Al 2 O 3 ); zirconium oxide (ZrO 2 ); yttrium oxide (Y 2 O 3 ); or yttrium oxide stabilized zirconium oxide (YSZ).
  • the system 100 also may comprise a first gas source 160 , a second gas source 170 , a third gas source 180 , and a fourth gas source 190 , which all may provide gas to the remote plasma unit 140 .
  • the remote plasma unit 140 may comprise a Paragon H* remote plasma unit from MKS Instruments, for example.
  • the third gas source 180 may also be configured to provide gas directly into the reaction chamber 110 without going through the remote plasma unit 140 .
  • the first gas source 160 may comprise a source of a precursor gas that produces fluorine radicals, such as NF 3 , CF 4 , C 2 F 6 , C 4 F 6 , C 4 F 8 , COF 2 , SF 6 , or WF 6 , for example.
  • the second gas source 170 may comprise a source of a gas that produces hydrogen radicals, such as H 2 , NH 3 , or H 2 O, for example.
  • the second gas source 170 may comprise a gas that produces oxygen radicals, such as oxygen or ozone, for example.
  • the third gas source 180 may be a source of NH 3 .
  • the fourth gas source 190 may be a source of an inert gas, such as argon, helium, nitrogen, or neon, for example.
  • the remote plasma unit 140 generates radicals provided from the gas sources.
  • the generated radicals then enter the reaction chamber 110 through the showerhead 130 and then flow onto the substrate 150 .
  • the remote plasma source may include: a toroidal style ICP source or a coil style ICP source driven by different RF frequencies, such as a 400 kHz, 2 MHz, 60 MHz and 2.56 GHz microwave source.
  • FIG. 2 illustrates a system 200 in accordance with at least one embodiment of the invention.
  • the system 200 may comprise a reaction chamber 210 , a susceptor 220 , a showerhead 230 , a first remote plasma unit 240 dedicating for oxide removal with F*, a second remote plasma unit 245 dedicating for carbon removal with H*, a transport path 246 below the first remote plasma unit, and a transport path 247 below the second remote plasma unit.
  • a substrate 250 is placed on the susceptor 220 for processing.
  • the system 200 may also comprise a first gate vale 248 and a second gate valve 249 .
  • the reaction chamber 210 defines a space in which the substrate 250 is processed.
  • the reaction chamber 210 , the susceptor 220 , and the showerhead 230 may be coated with materials or bulk ceramic material in order to allow for compatibility with different radicals, such as: anodized aluminum oxide (Al 2 O 3 ); atomic layer deposition (ALD)-formed aluminum oxide; plasma sprayed Al 2 O 3 ; bare aluminum parts with native aluminum oxide; yttrium oxide (Y 2 O 3 ); yttrium oxide stabilized zirconium oxide (YSZ); zirconium oxide (ZrO 2 ); lanthanum zirconium oxide (LZO); yttrium aluminum garnet (YAG); yttrium oxyfluoride (YOF); combination of the above materials; or the above substrate doped with other glass phase materials.
  • ALD atomic layer deposition
  • the coating materials may be made with two layers.
  • the first layer may be coated with anodized Al 2 O 3 and the second layer may be coated with ALD-formed Al 2 O 3 .
  • the coating may be amorphous phase, crystalline phase, or mixed.
  • the bulk ceramic material may include: aluminum oxide (Al 2 O 3 ); zirconium oxide (ZrO 2 ); yttrium oxide (Y 2 O 3 ); or yttrium oxide-stabilized zirconium oxide (YSZ).
  • materials for the transport path 247 below the second remote plasma unit may also comprise bulk quartz material.
  • the system 200 also may comprise a first gas source 260 , a second gas source 270 , a third gas source 280 , and a fourth gas source 290 , which all may provide gas to the first remote plasma unit 240 and the second remote plasma unit 245 .
  • the first remote plasma unit 240 and the second remote plasma unit 245 may comprise a toroidal style ICP source or a coil style ICP source driven by different RF frequencies, such as a 400 kHz, 2 MHz, 60 MHz and 2.56 GHz microwave source, for example.
  • the third gas source 280 may also be configured to provide gas directly into the reaction chamber 210 without going through the first remote plasma unit 240 or the second remote plasma unit 245 .
  • the first gas source 260 may comprise a source of a precursor gas that produces fluorine radicals, such as NF 3 , CF 4 , C 2 F 6 , C 4 F 6 , C 4 F 8 , COF 2 , SF 6 , or WF 6 , for example.
  • the second gas source 270 may comprise a source of gas that produces hydrogen radicals, such as H 2 , NH 3 , or H 2 O, for example.
  • the second gas source 270 may comprise a gas that produces oxygen radicals, such as oxygen or ozone, for example.
  • the third gas source 280 may be a source of NH 3 .
  • the fourth gas source 290 may be a source of an inert gas, such as argon, helium, nitrogen, or neon, for example.
  • the first remote plasma unit 240 (which may be dedicated for F* radicals) and the second remote plasma unit 245 (which may be dedicated for H* radicals) generate radicals provided from the gas sources.
  • the generated radicals then enter the reaction chamber 210 through the showerhead 230 and then flow onto the substrate 250 .
  • the gate valves 248 and 249 may be located at the outlet of RPU.
  • FIG. 3A illustrates a method in accordance with at least one embodiment of the invention.
  • the method comprises an oxide conversion step 300 , an oxide sublimation step 400 , and a carbon removal step 500 . Any of these steps or any combination of these steps may be repeated as needed.
  • the entire method may be repeated through a repeat cycle 600 .
  • FIG. 3B illustrates a method in accordance with at least one embodiment of the invention.
  • the method comprises a carbon removal step 500 , an oxide conversion step 300 , and an oxide sublimation step 400 . Any of these steps or any combination of these steps may be repeated as needed.
  • the entire method may be repeated through a repeat cycle 600 .
  • the method of FIG. 3B differs from that of FIG. 3A in that the carbon removal step 500 comes before the oxide conversion step 300 .
  • FIG. 3C illustrates a method in accordance with at least one embodiment of the invention.
  • the method comprises a carbon removal step 500 , an oxide conversion step 300 , an oxide sublimation step 400 , and a carbon removal step 500 . Any of these steps or any combination of these steps may be repeated as needed.
  • the entire method may be repeated through a repeat cycle 600 .
  • the method of FIG. 3C differs from that of FIG. 3B in that an additional carbon removal step 500 comes after the oxide sublimation step 400 .
  • the oxide conversion step 300 is illustrated in FIG. 4 .
  • the oxide conversion step 300 may comprises a step 310 of flowing gaseous precursors into a remote plasma unit and a step 320 of flowing generated radicals and an additional precursor onto a substrate.
  • the step 310 may comprise flow of argon, hydrogen, and NF 3 into the remote plasma unit.
  • a flow of argon may range between 0.01 and 20 slm, between 0.1 and 10 slm, or between 1 and 8 slm.
  • a flow of hydrogen may range between 10 sccm and 1500 slm, between 25 and 1200 slm, or between 50 sccm and 1000 slm.
  • a flow of NF 3 may occur for a particular amount of time while the plasma is on in the remote plasma unit, ranging between 0.1 and 120 seconds, between 1 and 100 seconds, or between 5 and 80 seconds.
  • the step 310 may comprise heating the reaction chamber 210 to a temperature between than 5 to 120° C., between than 5 to 80° C., or between than 5 to 60° C.
  • a gas of fluorine radicals is generated in the remote plasma unit.
  • the fluorine radicals leave the remote plasma unit and may combine with an optional additional precursor gas in step 320 onto the substrate disposed in a reaction chamber.
  • the optional additional precursor gas may comprise ammonia flowed at a rate ranging between 10 sccm and 1500 slm, between 25 and 1200 slm, or between 50 sccm and 1000 slm.
  • the step 320 may comprise heating the reaction chamber 210 to a temperature between than 5 to 120 ° C., between than 5 to 80° C., or between than 5 to 60° C.
  • the oxide conversion step 300 may result in a chemical reaction with oxides on a silicon germanium substrate having an oxide as follows:
  • the oxide may be converted into a solid ammonium-hexafluorosilicate compound and a solid ammonium-hexafluorogermanate compound on the substrate.
  • the oxide sublimation step 400 is illustrated in FIG. 5 .
  • the oxide sublimation step 400 comprises a first heating step 410 , or a second heating step 420 , or both.
  • the first heating step 410 may comprise heating the substrate to a temperature greater than 125° C., greater than 100° C., or greater than 90° C.
  • the result of the first step 410 may be sublimation of the solid ammonium-hexafluorosilicate compound according to the following reaction:
  • the gaseous products may then be removed from the reaction chamber.
  • the second heating step 420 may comprise heating the substrate to a higher temperature than that of the first heating step 410 .
  • the temperature may be greater than 275° C., greater than 250° C., or greater than 225° C.
  • a high temperature showerhead may be designed to heat up to 250° C.-300° C. without heating up the reaction chamber.
  • the result of the second step 420 may be sublimation of the solid ammonium-hexafluorogermanate compound according to the following reaction:
  • the gaseous products may then be removed from the reaction chamber.
  • the carbon removal step 500 is illustrated in FIG. 6 .
  • the carbon removal step 500 comprises a step 510 of flowing hydrogen precursors and other gaseous precursors into a remote plasma unit and a step 520 of flowing generated radicals and an optional additional precursor onto a substrate.
  • the first heating step 510 may comprise flowing argon, hydrogen, and ammonia into the remote plasma unit.
  • the gases may be flowed for a duration ranging between 0.1 and 180 seconds, between 1 and 120 seconds, or between 10 and 90 seconds. As a result, hydrogen radicals are generated in the remote plasma unit.
  • the step 520 takes the generated hydrogen radicals to react with carbon-based contaminants in the substrate. This step may happen at temperatures between 25° C. and 500° C., between 75° C. and 400° C., or between 150° C. and 300° C. A higher temperature showerhead may allow to heat up substrate and leading to effective removal of carbon.
  • the result of the step 520 may be removal of the carbon according to the following reaction:
  • Other reactions may include carbon with oxygen radicals.
  • the gaseous products may then be removed from the reaction chamber.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Optics & Photonics (AREA)
  • Drying Of Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

A system and method for removing both carbon-based contaminants and oxygen-based contaminants from a semiconductor substrate within a single process chamber is disclosed. The invention may comprise utilization of remote plasma units and multiple gas sources to perform the process within the single process chamber.

Description

    CROSS-REFERENCE TO RELATED PATENT APPLICATION
  • The present disclosure claims the benefit of U.S. Provisional Patent Application No. 62/532,248, filed on Jul. 13, 2017 and entitled “APPARATUS AND METHOD FOR REMOVAL OF OXIDE AND CARBON FROM SEMICONDUCTOR FILMS IN A SINGLE PROCESSING CHAMBER,” which is incorporated herein by reference.
  • FIELD OF INVENTION
  • The present disclosure generally relates to an apparatus and a method for manufacturing electronic devices. More particularly, the disclosure relates to removal of oxide and carbon within semiconductor films formed in a processing chamber.
  • BACKGROUND OF THE DISCLOSURE
  • Prior to the fabrication of semiconductor device, a clean surface of a wafer or substrate is desired. Contaminates on the substrate may adversely affect mechanical and electrical properties of the semiconductor devices formed. It is desired that these contaminates be removed before particular films are deposited onto the substrate.
  • Contaminants that exist on a silicon or silicon germanium substrate may include carbon-based contaminants, such as carbonaceous contaminants and hydrocarbon contaminates. Other contaminants may include oxygen-based contaminants, such as native oxides, for example. It may be imperative to remove these contaminants before epitaxial processes can take place.
  • Prior approaches to contaminant removal focus on removing one of the contaminants, either carbon-based or oxygen-based, but not both. This may be in part due to equipment limitations of the prior approaches. As a result, a system and method to remove both carbon-based and oxygen-based contaminants is desired.
  • BRIEF DESCRIPTION OF THE DRAWING FIGURES
  • These and other features, aspects, and advantages of the invention disclosed herein are described below with reference to the drawings of certain embodiments, which are intended to illustrate and not to limit the invention.
  • FIG. 1 is a cross-sectional illustration of a system in accordance with at least one embodiment of the invention.
  • FIG. 2 is a cross-sectional illustration of a system in accordance with at least one embodiment of the invention.
  • FIGS. 3A, 3B and 3C are flowcharts of methods in accordance with at least one embodiment of the invention.
  • FIG. 4 is a flowchart of a step in accordance with at least one embodiment of the invention.
  • FIG. 5 is a flowchart of a step in accordance with at least one embodiment of the invention.
  • FIG. 6 is a flowchart of a step in accordance with at least one embodiment of the invention.
  • DETAILED DESCRIPTION OF EXEMPLARY EMBODIMENTS
  • Although certain embodiments and examples are disclosed below, it will be understood by those in the art that the invention extends beyond the specifically disclosed embodiments and/or uses of the invention and obvious modifications and equivalents thereof. Thus, it is intended that the scope of the invention disclosed should not be limited by the particular disclosed embodiments described below.
  • Embodiments of the invention are directed to a system with a single process chamber having a capability to remove both carbon-based contaminants and oxygen-based contaminants. The embodiments have several advantages over prior approaches including: (1) incorporation of at least one remote plasma unit (RPU) with the ability to generate both hydrogen radicals and fluorine radicals; and (2) compatibility of the process chamber with both hydrogen radicals and fluorine radicals.
  • Embodiments of the invention may be used to clean semiconductor substrates made of at least one of the following materials: silicon; silicon germanium; or germanium, for example. In one embodiment, the percentage of germanium in silicon germanium may vary from 10% to 90%. Also, embodiments of the invention may be used to etch carbon layers, such as an advanced patterning film (APF); photoresists; or other carbon contaminations including CHFx, SiC, or SiOC. In addition, embodiments of the invention may be used to clean a surface of dielectric materials, such as silicon oxide, silicon nitride, silicon oxynitride, fluorinated silicon oxide, silicon carboxide, and silicon carboxynitride. Furthermore, embodiments of the invention may be applied to patterned wafer surfaces.
  • FIG. 1 illustrates a system 100 in accordance with at least one embodiment of the invention. The system 100 may comprise a reaction chamber 110, a susceptor 120, a showerhead 130, a remote plasma unit 140, and a transport path 145 between the remote plasma unit 140 and the reaction chamber 110. A substrate 150 is placed on the susceptor 120 for processing.
  • The reaction chamber 110 defines a space in which the substrate 150 is processed. The reaction chamber 110, the susceptor 120, the showerhead 130, and the transport path 145 may be coated with materials or bulk ceramic material in order to allow for compatibility with different radicals. The materials for coating may include at least one of: anodized aluminum oxide (Al2O3); atomic layer deposition (ALD)-formed aluminum oxide; plasma sprayed Al2O3; bare aluminum parts with native aluminum oxide, yttrium oxide (Y2O3); yttrium oxide stabilized zirconium oxide (YSZ); zirconium oxide (ZrO2); lanthanum zirconium oxide (LZO); yttrium aluminum garnet (YAG); yttrium oxyfluoride (YOF); combination of the above materials; or the above substrate doped with other glass phase materials. In some cases, the coating materials can be made with two layers. For example, the first layer may be coated with anodized Al2O3 and the second layer may be coated with ALD-formed Al2O3. The coating may be amorphous phase, crystalline phase, or mixed. The bulk ceramic material may include: aluminum oxide (Al2O3); zirconium oxide (ZrO2); yttrium oxide (Y2O3); or yttrium oxide stabilized zirconium oxide (YSZ).
  • The system 100 also may comprise a first gas source 160, a second gas source 170, a third gas source 180, and a fourth gas source 190, which all may provide gas to the remote plasma unit 140. The remote plasma unit 140 may comprise a Paragon H* remote plasma unit from MKS Instruments, for example. The third gas source 180 may also be configured to provide gas directly into the reaction chamber 110 without going through the remote plasma unit 140. The first gas source 160 may comprise a source of a precursor gas that produces fluorine radicals, such as NF3, CF4, C2F6, C4F6, C4F8, COF2, SF6, or WF6, for example. The second gas source 170 may comprise a source of a gas that produces hydrogen radicals, such as H2, NH3, or H2O, for example. The second gas source 170 may comprise a gas that produces oxygen radicals, such as oxygen or ozone, for example. The third gas source 180 may be a source of NH3. The fourth gas source 190 may be a source of an inert gas, such as argon, helium, nitrogen, or neon, for example.
  • The remote plasma unit 140 generates radicals provided from the gas sources. The generated radicals then enter the reaction chamber 110 through the showerhead 130 and then flow onto the substrate 150. The remote plasma source may include: a toroidal style ICP source or a coil style ICP source driven by different RF frequencies, such as a 400 kHz, 2 MHz, 60 MHz and 2.56 GHz microwave source.
  • FIG. 2 illustrates a system 200 in accordance with at least one embodiment of the invention. The system 200 may comprise a reaction chamber 210, a susceptor 220, a showerhead 230, a first remote plasma unit 240 dedicating for oxide removal with F*, a second remote plasma unit 245 dedicating for carbon removal with H*, a transport path 246 below the first remote plasma unit, and a transport path 247 below the second remote plasma unit. A substrate 250 is placed on the susceptor 220 for processing. The system 200 may also comprise a first gate vale 248 and a second gate valve 249.
  • The reaction chamber 210 defines a space in which the substrate 250 is processed. The reaction chamber 210, the susceptor 220, and the showerhead 230 may be coated with materials or bulk ceramic material in order to allow for compatibility with different radicals, such as: anodized aluminum oxide (Al2O3); atomic layer deposition (ALD)-formed aluminum oxide; plasma sprayed Al2O3; bare aluminum parts with native aluminum oxide; yttrium oxide (Y2O3); yttrium oxide stabilized zirconium oxide (YSZ); zirconium oxide (ZrO2); lanthanum zirconium oxide (LZO); yttrium aluminum garnet (YAG); yttrium oxyfluoride (YOF); combination of the above materials; or the above substrate doped with other glass phase materials. In some cases, the coating materials may be made with two layers. For example, the first layer may be coated with anodized Al2O3 and the second layer may be coated with ALD-formed Al2O3. The coating may be amorphous phase, crystalline phase, or mixed. The bulk ceramic material may include: aluminum oxide (Al2O3); zirconium oxide (ZrO2); yttrium oxide (Y2O3); or yttrium oxide-stabilized zirconium oxide (YSZ). Besides the above coatings and bulk materials for different radicals, materials for the transport path 247 below the second remote plasma unit may also comprise bulk quartz material.
  • The system 200 also may comprise a first gas source 260, a second gas source 270, a third gas source 280, and a fourth gas source 290, which all may provide gas to the first remote plasma unit 240 and the second remote plasma unit 245. The first remote plasma unit 240 and the second remote plasma unit 245 may comprise a toroidal style ICP source or a coil style ICP source driven by different RF frequencies, such as a 400 kHz, 2 MHz, 60 MHz and 2.56 GHz microwave source, for example. The third gas source 280 may also be configured to provide gas directly into the reaction chamber 210 without going through the first remote plasma unit 240 or the second remote plasma unit 245. The first gas source 260 may comprise a source of a precursor gas that produces fluorine radicals, such as NF3, CF4, C2F6, C4F6, C4F8, COF2, SF6, or WF6, for example. The second gas source 270 may comprise a source of gas that produces hydrogen radicals, such as H2, NH3, or H2O, for example. The second gas source 270 may comprise a gas that produces oxygen radicals, such as oxygen or ozone, for example. The third gas source 280 may be a source of NH3. The fourth gas source 290 may be a source of an inert gas, such as argon, helium, nitrogen, or neon, for example.
  • The first remote plasma unit 240 (which may be dedicated for F* radicals) and the second remote plasma unit 245 (which may be dedicated for H* radicals) generate radicals provided from the gas sources. The generated radicals then enter the reaction chamber 210 through the showerhead 230 and then flow onto the substrate 250. To prevent radicals generated by one remote plasma unit back streaming into the second remote plasma, the gate valves 248 and 249 may be located at the outlet of RPU.
  • FIG. 3A illustrates a method in accordance with at least one embodiment of the invention. The method comprises an oxide conversion step 300, an oxide sublimation step 400, and a carbon removal step 500. Any of these steps or any combination of these steps may be repeated as needed. The entire method may be repeated through a repeat cycle 600.
  • FIG. 3B illustrates a method in accordance with at least one embodiment of the invention. The method comprises a carbon removal step 500, an oxide conversion step 300, and an oxide sublimation step 400. Any of these steps or any combination of these steps may be repeated as needed. The entire method may be repeated through a repeat cycle 600. The method of FIG. 3B differs from that of FIG. 3A in that the carbon removal step 500 comes before the oxide conversion step 300.
  • FIG. 3C illustrates a method in accordance with at least one embodiment of the invention. The method comprises a carbon removal step 500, an oxide conversion step 300, an oxide sublimation step 400, and a carbon removal step 500. Any of these steps or any combination of these steps may be repeated as needed. The entire method may be repeated through a repeat cycle 600. The method of FIG. 3C differs from that of FIG. 3B in that an additional carbon removal step 500 comes after the oxide sublimation step 400.
  • In accordance with at least one embodiment of the invention, the oxide conversion step 300 is illustrated in FIG. 4. The oxide conversion step 300 may comprises a step 310 of flowing gaseous precursors into a remote plasma unit and a step 320 of flowing generated radicals and an additional precursor onto a substrate. In accordance with at least one embodiment of the invention, the step 310 may comprise flow of argon, hydrogen, and NF3 into the remote plasma unit. A flow of argon may range between 0.01 and 20 slm, between 0.1 and 10 slm, or between 1 and 8 slm. A flow of hydrogen may range between 10 sccm and 1500 slm, between 25 and 1200 slm, or between 50 sccm and 1000 slm. A flow of NF3 may occur for a particular amount of time while the plasma is on in the remote plasma unit, ranging between 0.1 and 120 seconds, between 1 and 100 seconds, or between 5 and 80 seconds. The step 310 may comprise heating the reaction chamber 210 to a temperature between than 5 to 120° C., between than 5 to 80° C., or between than 5 to 60° C.
  • As a result of step 310, a gas of fluorine radicals is generated in the remote plasma unit. The fluorine radicals leave the remote plasma unit and may combine with an optional additional precursor gas in step 320 onto the substrate disposed in a reaction chamber. The optional additional precursor gas may comprise ammonia flowed at a rate ranging between 10 sccm and 1500 slm, between 25 and 1200 slm, or between 50 sccm and 1000 slm. The step 320 may comprise heating the reaction chamber 210 to a temperature between than 5 to 120 ° C., between than 5 to 80° C., or between than 5 to 60° C. The oxide conversion step 300 may result in a chemical reaction with oxides on a silicon germanium substrate having an oxide as follows:

  • NH4F(g)+SiGeOx(s)→(NH4)2SiF6(s)+(NH4)2GeF6(s)+H2O(g)
  • As a result of the oxide conversion step 300, the oxide may be converted into a solid ammonium-hexafluorosilicate compound and a solid ammonium-hexafluorogermanate compound on the substrate.
  • In accordance with at least one embodiment of the invention, the oxide sublimation step 400 is illustrated in FIG. 5. The oxide sublimation step 400 comprises a first heating step 410, or a second heating step 420, or both. The first heating step 410 may comprise heating the substrate to a temperature greater than 125° C., greater than 100° C., or greater than 90° C. The result of the first step 410 may be sublimation of the solid ammonium-hexafluorosilicate compound according to the following reaction:

  • (NH4)2SiF6(s)→NH3(g)+HF(g)+SiF4(g)
  • The gaseous products may then be removed from the reaction chamber.
  • The second heating step 420 may comprise heating the substrate to a higher temperature than that of the first heating step 410. The temperature may be greater than 275° C., greater than 250° C., or greater than 225° C. To reach the high operation temperature, a high temperature showerhead may be designed to heat up to 250° C.-300° C. without heating up the reaction chamber. The result of the second step 420 may be sublimation of the solid ammonium-hexafluorogermanate compound according to the following reaction:

  • (NH4)2GeF6(s)→NH3(g)+HF(g)+GeF4(g)
  • The gaseous products may then be removed from the reaction chamber.
  • In accordance with at least one embodiment of the invention, the carbon removal step 500 is illustrated in FIG. 6. The carbon removal step 500 comprises a step 510 of flowing hydrogen precursors and other gaseous precursors into a remote plasma unit and a step 520 of flowing generated radicals and an optional additional precursor onto a substrate. The first heating step 510 may comprise flowing argon, hydrogen, and ammonia into the remote plasma unit. The gases may be flowed for a duration ranging between 0.1 and 180 seconds, between 1 and 120 seconds, or between 10 and 90 seconds. As a result, hydrogen radicals are generated in the remote plasma unit.
  • The step 520 takes the generated hydrogen radicals to react with carbon-based contaminants in the substrate. This step may happen at temperatures between 25° C. and 500° C., between 75° C. and 400° C., or between 150° C. and 300° C. A higher temperature showerhead may allow to heat up substrate and leading to effective removal of carbon. The result of the step 520 may be removal of the carbon according to the following reaction:

  • C(s)+H*(g)→CxHy(g)
  • Other reactions may include carbon with oxygen radicals. The gaseous products may then be removed from the reaction chamber.
  • The particular implementations shown and described are illustrative of the invention and its best mode and are not intended to otherwise limit the scope of the aspects and implementations in any way. Indeed, for the sake of brevity, conventional manufacturing, connection, preparation, and other functional aspects of the system may not be described in detail. Furthermore, the connecting lines shown in the various figures are intended to represent exemplary functional relationships and/or physical couplings between the various elements. Many alternative or additional functional relationship or physical connections may be present in the practical system, and/or may be absent in some embodiments.
  • It is to be understood that the configurations and/or approaches described herein are exemplary in nature, and that these specific embodiments or examples are not to be considered in a limiting sense, because numerous variations are possible. The specific routines or methods described herein may represent one or more of any number of processing strategies. Thus, the various acts illustrated may be performed in the sequence illustrated, in other sequences, or omitted in some cases.
  • The subject matter of the present disclosure includes all novel and nonobvious combinations and subcombinations of the various processes, systems, and configurations, and other features, functions, acts, and/or properties disclosed herein, as well as any and all equivalents thereof.

Claims (21)

What is claimed is:
1. An apparatus for processing a semiconductor substrate comprising:
a reaction chamber;
a susceptor configured to hold a substrate;
a first gas source for providing a first gas;
a second gas source for providing a second gas;
a first remote plasma unit configured to receive the first gas and produce a first radical gas;
a gas distribution device configured to flow the first radical gas and the second gas onto the substrate; and
a transport path connecting the remote plasma unit to the gas distribution device, wherein the first radical gas passes through the gas distribution device onto the substrate;
wherein the gas distribution device, the reaction chamber, the transport path, and the susceptor are coated with at least one of: anodized aluminum oxide (Al2O3); atomic layer deposition (ALD)-formed aluminum oxide; plasma sprayed Al2O3; bare aluminum parts with native aluminum oxide; yttrium oxide (Y2O3); yttrium oxide stabilized zirconium oxide (YSZ); zirconium oxide (ZrO2); lanthanum zirconium oxide (LZO); yttrium aluminum garnet (YAG); yttrium oxyfluoride (YOF); aluminum oxide (Al2O3); zirconium oxide (ZrO2); yttrium oxide (Y2O3); or yttrium oxide stabilized zirconium oxide (YSZ).
2. The apparatus of claim 1, wherein the first gas comprises at least one of: NF3, CF4, C2F6, C4F6, C4F8, COF2, SF6, or WF6.
3. The apparatus of claim 1, wherein the second gas comprises at least one of: H2, NH3, H2O, O2, or O3.
4. The apparatus of claim 1, further comprising:
a third gas source for providing a third gas; and
a fourth gas source for providing a fourth gas.
5. The apparatus of claim 4, wherein the third gas comprises NH3.
6. The apparatus of claim 4, wherein the fourth gas comprises at least one of: argon, helium, nitrogen, or neon.
7. The apparatus of claim 1, wherein the first gas is used to remove an oxide from the substrate.
8. The apparatus of claim 1, wherein the second gas is used to remove carbon from the substrate.
9. The apparatus of claim 1, wherein the second gas passes through the first remote plasma unit and converts into a second radical gas.
10. The apparatus of claim 1, further comprising a second remote plasma unit configured to receive the second gas and produce a second radical gas.
11. The apparatus of claim 1, wherein the transport path comprises bulk quartz material.
12. A method for processing a semiconductor substrate comprising:
providing a reaction chamber and a susceptor configured to hold a substrate;
performing an oxide conversion step on the substrate, the oxide conversion step comprising: (1) flowing a first gas into a first remote plasma unit to form a first radical gas; and (2) flowing the first radical gas onto the substrate;
performing an oxide sublimation step on the substrate, the oxide sublimation step comprising: (1) a first heating step; and (2) a second heating step; and
performing a carbon removal step on the substrate;
wherein the oxide conversion step, the oxide sublimation step, and the carbon removal step are each performed in the reaction chamber; and
wherein any of the oxide conversion step, the oxide sublimation step, and the carbon removal step are repeated as needed.
13. The method of claim 12, wherein the carbon removal step comprises:
flowing a second gas into the first remote plasma unit to form a second radical gas; and
flowing the second radical gas onto the substrate.
14. The method of claim 12, wherein the carbon removal step comprises:
flowing a second gas into a second remote plasma unit to form a second radical gas; and
flowing the second radical gas onto the substrate.
15. The method of claim 12, wherein the first gas comprises at least one of: NF3, CF4, C2F6, C4F6, C4F8, COF2, SF6, or WF6.
16. The method of claim 13, wherein the second gas comprises at least one of: H2, NH3, H2O, O2, or O3.
17. A method for processing a semiconductor substrate comprising:
providing a reaction chamber and a susceptor configured to hold a substrate;
performing a carbon removal step on the substrate;
performing an oxide conversion step on the substrate, the oxide conversion step comprising: (1) flowing a first gas into a first remote plasma unit to form a first radical gas; and (2) flowing the first radical gas onto the substrate;
performing an oxide sublimation step on the substrate, the oxide sublimation step comprising: (1) a first heating step; and (2) a second heating step; and
wherein the carbon removal step, the oxide conversion step, and the oxide sublimation step are each performed in the reaction chamber; and
wherein any of the carbon removal step, the oxide conversion step, and the oxide sublimation step are repeated as needed.
18. The method of claim 17, wherein the carbon removal step comprises:
flowing a second gas into the first remote plasma unit to form a second radical gas; and
flowing the second radical gas onto the substrate.
19. The method of claim 17, wherein the carbon removal step comprises:
flowing a second gas into a second remote plasma unit to form a second radical gas; and
flowing the second radical gas onto the substrate.
20. The method of claim 17, wherein the first gas comprises at least one of: NF3, CF4, C2F6, C4F6, C4F8, COF2, SF6, or WF6.
21. The method of claim 18, wherein the second gas comprises at least one of: H2, NH3, H2O, O2, or O3.
US16/000,109 2017-07-13 2018-06-05 Apparatus and method for removal of oxide and carbon from semiconductor films in a single processing chamber Abandoned US20190019670A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US16/000,109 US20190019670A1 (en) 2017-07-13 2018-06-05 Apparatus and method for removal of oxide and carbon from semiconductor films in a single processing chamber
US17/875,907 US20220367175A1 (en) 2017-07-13 2022-07-28 Apparatus and method for removal of oxide and carbon from semiconductor films in a single processing chamber

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762532248P 2017-07-13 2017-07-13
US16/000,109 US20190019670A1 (en) 2017-07-13 2018-06-05 Apparatus and method for removal of oxide and carbon from semiconductor films in a single processing chamber

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US17/875,907 Division US20220367175A1 (en) 2017-07-13 2022-07-28 Apparatus and method for removal of oxide and carbon from semiconductor films in a single processing chamber

Publications (1)

Publication Number Publication Date
US20190019670A1 true US20190019670A1 (en) 2019-01-17

Family

ID=64999670

Family Applications (2)

Application Number Title Priority Date Filing Date
US16/000,109 Abandoned US20190019670A1 (en) 2017-07-13 2018-06-05 Apparatus and method for removal of oxide and carbon from semiconductor films in a single processing chamber
US17/875,907 Pending US20220367175A1 (en) 2017-07-13 2022-07-28 Apparatus and method for removal of oxide and carbon from semiconductor films in a single processing chamber

Family Applications After (1)

Application Number Title Priority Date Filing Date
US17/875,907 Pending US20220367175A1 (en) 2017-07-13 2022-07-28 Apparatus and method for removal of oxide and carbon from semiconductor films in a single processing chamber

Country Status (5)

Country Link
US (2) US20190019670A1 (en)
JP (2) JP2019033249A (en)
KR (2) KR20190008096A (en)
CN (1) CN109256315A (en)
TW (1) TWI794238B (en)

Cited By (272)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
CN112626493A (en) * 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 Reactor system and method of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227747B2 (en) * 2017-11-15 2022-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Etch process with rotatable shower head
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US20220076925A1 (en) * 2020-09-08 2022-03-10 Semes Co., Ltd. Apparatus and method for processing substrate using plasma
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
JP2022520191A (en) * 2019-02-12 2022-03-29 アプライド マテリアルズ インコーポレイテッド Methods for manufacturing chamber parts
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US20220349051A1 (en) * 2021-04-29 2022-11-03 Asm Ip Holding B.V. Reactor systems and methods for cleaning reactor systems
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898254B2 (en) 2021-12-30 2024-02-13 Wonik Qnc Corporation Processing method for fluorination of fluorination-target component for semiconductor fabrication equipment, which minimizes generation of contaminant particles, and fluorinated component obtained thereby
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US12027365B2 (en) 2021-11-19 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7393376B2 (en) * 2021-03-19 2023-12-06 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing method, program and substrate processing device
JP7494244B2 (en) 2021-06-02 2024-06-03 東京エレクトロン株式会社 Shower head, electrode unit, gas supply unit, substrate processing apparatus and substrate processing system
KR20230119105A (en) * 2022-02-01 2023-08-16 주식회사 히타치하이테크 etching method
CN115662924B (en) * 2022-12-12 2023-03-31 广州湾区半导体产业集团有限公司 Cleaning control system and method for semiconductor substrate and cleaning equipment

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070190266A1 (en) * 2006-02-10 2007-08-16 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS54162969A (en) * 1978-06-14 1979-12-25 Mitsubishi Electric Corp Plasma etching device
JP3393399B2 (en) * 1996-09-24 2003-04-07 アクセリス テクノロジーズ インコーポレーテッド Ashing method
JP2000323467A (en) * 1999-05-11 2000-11-24 Nippon Asm Kk Semiconductor processing device equipped with remote plasma discharge chamber
US7028696B2 (en) * 2001-05-04 2006-04-18 Lam Research Corporation Plasma cleaning of deposition chamber residues using duo-step wafer-less auto clean method
KR100724181B1 (en) * 2003-06-16 2007-05-31 동경 엘렉트론 주식회사 Process for depositing film, process for fabricating semiconductor device, semiconductor device and system for depositing film
CN100405549C (en) * 2003-06-16 2008-07-23 东京毅力科创株式会社 Process for depositing film, process for fabricating semiconductor device, semiconductor device and system for depositing film
CN101010448B (en) * 2005-06-23 2010-09-29 东京毅力科创株式会社 Constitutional member for semiconductor processing apparatus and method for producing same
JP5028755B2 (en) * 2005-06-23 2012-09-19 東京エレクトロン株式会社 Surface treatment method for semiconductor processing equipment
KR20080050403A (en) * 2005-08-02 2008-06-05 매사추세츠 인스티튜트 오브 테크놀로지 Method of removing surface deposits and passivating interior surfaces of the interior of a chemical vapour deposition (cvd) chamber
US8034176B2 (en) * 2006-03-28 2011-10-11 Tokyo Electron Limited Gas distribution system for a post-etch treatment system
US8715455B2 (en) * 2007-02-06 2014-05-06 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
KR101198243B1 (en) * 2007-04-03 2012-11-07 주식회사 원익아이피에스 Cleaning method of apparatus for depositing carbon containing film
JP2010016233A (en) * 2008-07-04 2010-01-21 Nec Electronics Corp Method of manufacturing semiconductor device
JPWO2011024777A1 (en) * 2009-08-27 2013-01-31 株式会社アルバック Vacuum processing apparatus and vacuum processing method
KR20110037282A (en) * 2009-10-06 2011-04-13 (주)티티에스 Member for substrate processing apparatus and mehtod for manufactruing the same and substrate processing apparatus
JP2012216582A (en) * 2011-03-31 2012-11-08 Sekisui Chem Co Ltd Etching method for silicon-containing material
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US10256079B2 (en) * 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
TW201502966A (en) 2013-07-01 2015-01-16 Wistron Corp Electronic apparatus and method for controlling the same
US9018111B2 (en) * 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
CN107574476A (en) * 2013-08-09 2018-01-12 应用材料公司 The method and apparatus of precleaning substrate surface before epitaxial growth
JP6232680B2 (en) * 2013-09-06 2017-11-22 大陽日酸株式会社 How to clean the susceptor
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
US9474163B2 (en) * 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
WO2016148739A1 (en) * 2015-03-18 2016-09-22 Entegris, Inc. Articles coated with fluoro-annealed films
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070190266A1 (en) * 2006-02-10 2007-08-16 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma

Cited By (340)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US12000042B2 (en) 2016-12-15 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11227747B2 (en) * 2017-11-15 2022-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Etch process with rotatable shower head
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US12020938B2 (en) 2018-03-27 2024-06-25 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
JP7268177B2 (en) 2019-02-12 2023-05-02 アプライド マテリアルズ インコーポレイテッド Method for manufacturing chamber parts
JP2022520191A (en) * 2019-02-12 2022-03-29 アプライド マテリアルズ インコーポレイテッド Methods for manufacturing chamber parts
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US12025484B2 (en) 2019-04-29 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11996304B2 (en) 2019-07-16 2024-05-28 Asm Ip Holding B.V. Substrate processing device
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
CN112626493A (en) * 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 Reactor system and method of using the same
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US20220246436A1 (en) * 2019-10-21 2022-08-04 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US20220076925A1 (en) * 2020-09-08 2022-03-10 Semes Co., Ltd. Apparatus and method for processing substrate using plasma
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US12033885B2 (en) 2021-01-04 2024-07-09 Asm Ip Holding B.V. Channeled lift pin
US20220349051A1 (en) * 2021-04-29 2022-11-03 Asm Ip Holding B.V. Reactor systems and methods for cleaning reactor systems
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US12033861B2 (en) 2021-06-07 2024-07-09 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US12027365B2 (en) 2021-11-19 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices
US11898254B2 (en) 2021-12-30 2024-02-13 Wonik Qnc Corporation Processing method for fluorination of fluorination-target component for semiconductor fabrication equipment, which minimizes generation of contaminant particles, and fluorinated component obtained thereby
US12033849B2 (en) 2022-12-08 2024-07-09 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by PEALD using bis(diethylamino)silane

Also Published As

Publication number Publication date
KR20190008096A (en) 2019-01-23
TW201908027A (en) 2019-03-01
JP2019033249A (en) 2019-02-28
JP2023085394A (en) 2023-06-20
KR20240035416A (en) 2024-03-15
CN109256315A (en) 2019-01-22
KR102651766B1 (en) 2024-03-28
TWI794238B (en) 2023-03-01
US20220367175A1 (en) 2022-11-17

Similar Documents

Publication Publication Date Title
US20220367175A1 (en) Apparatus and method for removal of oxide and carbon from semiconductor films in a single processing chamber
JP7440215B2 (en) Equipment for using hydrogen radicals and how to use them
US10916407B2 (en) Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
US7902018B2 (en) Fluorine plasma treatment of high-k gate stack for defect passivation
JP4439860B2 (en) Method for forming film on semiconductor substrate
US9551070B2 (en) In-situ corrosion resistant substrate support coating
JP2007533848A (en) Method for improving wafer-to-wafer uniformity and defect rate of deposited dielectric films
US20050155625A1 (en) Chamber cleaning method
US10892143B2 (en) Technique to prevent aluminum fluoride build up on the heater
US10688538B2 (en) Aluminum fluoride mitigation by plasma treatment
US20130025624A1 (en) Method of cleaning a semiconductor device manufacturing apparatus
US20110114114A1 (en) Cleaning method of apparatus for depositing carbon containing film
WO2020167607A1 (en) Method for cleaning process chamber
WO2020096720A1 (en) Process chamber component cleaning method
KR20210117344A (en) Selective etching using a sacrificial mask (SACRIFICIAL MASK)
JP3820212B2 (en) Method for conditioning a CVD chamber after CVD chamber cleaning
CN117646188A (en) Chemical vapor deposition equipment and manufacturing method thereof
TWI462162B (en) Cleaning method of apparatus for depositing carbon containing film

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

AS Assignment

Owner name: ASM IP HOLDING B.V., NETHERLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LIN, XING;GAO, PEIPEI;WANG, FEI;AND OTHERS;SIGNING DATES FROM 20180525 TO 20180604;REEL/FRAME:054363/0590

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION