US20100140221A1 - Plasma etching apparatus and plasma cleaning method - Google Patents

Plasma etching apparatus and plasma cleaning method Download PDF

Info

Publication number
US20100140221A1
US20100140221A1 US12/630,155 US63015509A US2010140221A1 US 20100140221 A1 US20100140221 A1 US 20100140221A1 US 63015509 A US63015509 A US 63015509A US 2010140221 A1 US2010140221 A1 US 2010140221A1
Authority
US
United States
Prior art keywords
high frequency
plasma
frequency power
cleaning
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/630,155
Inventor
Takamichi Kikuchi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KIKUCHI, TAKAMICHI
Publication of US20100140221A1 publication Critical patent/US20100140221A1/en
Priority to US14/035,023 priority Critical patent/US9659756B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Definitions

  • the present invention relates to a capacitively coupled plasma etching apparatus for performing a dry etching process on a target object by using a plasma and a plasma cleaning method for cleaning the inside of a processing chamber the plasma etching apparatus.
  • a plasma is widely used in a process such as etching, deposit, oxidation, sputtering or the like since it has a good reactivity with a processing gas in a relatively low temperature.
  • a capacitively coupled type is mainly used to generate a plasma.
  • An upper and a lower electrode are arranged in parallel with each other in a vacuum processing chamber included in a capacitively coupled plasma etching apparatus. Then, a target substrate (e.g., a semiconductor wafer or a glass substrate) is mounted on the lower electrode and a high frequency voltage is applied between the upper and the lower electrode. Accordingly, an electric field is generated between the electrodes by the high frequency voltage to accelerate electrons and, thus, the impact ionization occurs between the accelerated electrons and a processing gas, thereby generating a plasma. Then, a surface of the substrate is subjected to a desired etching process by radicals and ions in the plasma.
  • a target substrate e.g., a semiconductor wafer or a glass substrate
  • the electrode to which the high frequency voltage is applied is connected to a high frequency power supply via a blocking capacitor included in a matching unit, the electrode serves as a cathode.
  • a cathode coupling type in which a high frequency voltage is applied to a lower electrode as a cathode on which a substrate is mounted, ions in a plasma are attracted to the substrate in a substantial vertical direction, thereby performing an anisotropic etching with the outstanding directivity (see, e.g., Japanese Patent Application Publication No. 2000-260595).
  • the capacitively coupled plasma etching apparatus it is required to control the temperature of a substrate to be uniform by suppressing the increase of the temperature of the substrate caused by the heat transferred from the plasma during the plasma etching.
  • the substrate is indirectly cooled by supplying a coolant having an adjusted temperature from a chiller unit to a coolant path provided inside the lower electrode to be circulated and a heat transfer gas such as He gas to a backside of the substrate through the lower electrode.
  • a substrate holding unit is required to fixedly hold the substrate on the lower electrode against the supplying pressure of the heat transfer gas and, thus, an electrostatic chuck is mainly used as the substrate holding unit (see, e.g., Japanese Patent Laid-open Publication No. 2001-210705).
  • the electrostatic chuck includes a dielectric layer having a DC electrode therein which is provided on an upper surface (mounting surface) of the lower electrode and a preset DC voltage is applied to the DC electrode to attract a substrate by a Coulomb force generated between the substrate and the dielectric layer.
  • the dielectric layer has recently been made of alumina ceramic (Al 2 O 3 ) having high plasma resistance and high heat resistance.
  • some of gaseous reaction products or by-products produced during the plasma etching are attached to members inside the chamber, especially, an upper electrode, a focus ring, a sidewall of the chamber and the like, which face a plasma generation space or a processing space, to be solidified into deposits.
  • a cleaning process is regularly performed to remove the deposits from the members in the chamber.
  • Such kinds of cleaning processes are classified into two groups, i.e., a gas cleaning performed by a thermal decomposition of gas and a plasma cleaning performed by decomposing a cleaning gas by a plasma.
  • a high frequency voltage for plasma generation is applied to the lower electrode as in the dry etching process.
  • the second high frequency voltage for ion attraction is not applied and only the first high frequency for plasma generation is applied to the lower electrode.
  • the cycle of the regular plasma cleaning is may be carried out lot by lot. However, it is preferable that the cycle is carried sheet by sheet to reliably prevent the influence of deposits on the process.
  • a (dielectric) top surface portion of the electrostatic chuck is slightly eroded by an ion sputtering effect. Accordingly, as the plasma cleaning is repeatedly performed, such erosion is progressed, shortening the lifespan of the electrostatic chuck.
  • the dielectric portion of the electrostatic chuck is made of a metal, especially, e.g., alumina ceramic (Al 2 O 3 )
  • the aluminum is scattered as particles or compounds (e.g., Al fluoride or Al chloride) in the chamber due to the erosion and some of the particles or the compounds is remain without being not exhausted. Such particles or compounds are attached to a substrate subjected to the etching process, thereby causing the metal contamination.
  • a deposition creating process is performed by supplying a film formation gas, e.g., SiCl 4 gas, to the chamber after the plasma cleaning to coat the surface of the electrostatic chuck with a thin film made of SiCl x O y or the like in the conventional capacitively coupled plasma etching apparatus.
  • a film formation gas e.g., SiCl 4 gas
  • the present invention provides a plasma etching apparatus and a plasma cleaning method capable of preventing erosion of an electrostatic chuck provided at an electrode to which a high frequency voltage is applied and on which a target object is mounted while ensuring a sufficient performance of a cleaning process performed in a processing chamber, to thereby increase a lifespan of the electrostatic chuck and suppress or prevent metal contamination.
  • a plasma etching apparatus including an evacuable processing chamber; a first electrode for mounting a target object in the processing chamber; an electrostatic chuck provided on a mounting surface of the first electrode to hold the target object by an electrostatic force, a dielectric material of a surface layer portion of the electrostatic chuck including a metal; a second electrode disposed to face the first electrode in parallel in the processing chamber; an etching gas supply unit for supplying an etching gas to a processing space between the first and the second electrode to perform a dry etching process on the target object; a cleaning gas supply unit for supplying a cleaning gas to the processing space to perform a plasma cleaning in the processing chamber without the target object; a first high frequency power supply unit for supplying a first high frequency power to the first electrode, the first high frequency power contributing to plasma generation of the etching gas or the cleaning gas; and a controller for controlling the first high frequency power supply unit such that a first period during which the first high frequency power has a
  • a plasma cleaning method for performing a plasma cleaning in an evacuable processing chamber without a target object in a plasma etching apparatus.
  • the plasma etching apparatus includes the evacuable processing chamber; a first electrode for mounting a target object in the processing chamber; an electrostatic chuck provided on a mounting surface of the first electrode to hold the target object by an electrostatic force, a dielectric material of a surface layer portion of the electrostatic chuck including a metal; a second electrode disposed to face the first electrode in parallel in the processing chamber; an etching gas supply unit configured to supply an etching gas to a processing space between the first and the second electrode to perform a dry etching process on the target object; a cleaning gas supply unit for supplying a cleaning gas to the processing space to perform a plasma cleaning in the processing chamber without the target object; and a first high frequency power supply unit for supplying a first high frequency power to the first electrode, the first high frequency power contributing to plasma generation of the etching gas or
  • FIG. 1 shows a schematic configuration of a plasma etching apparatus in accordance with an embodiment of the present invention
  • FIG. 2 shows characteristics of time/high frequency power magnitude of a pulse plasma
  • FIG. 3 shows a waveform of a first high frequency power of the pulse plasma shown in FIG. 2 ;
  • FIGS. 4A to 4C are cross sectional views showing a process order of a trimming process
  • FIG. 5 is a table in which trimming characteristics obtained through test examples of a trimming process for the effects of the embodiment of the present invention.
  • FIGS. 6A and 6B show waveforms of a sequence of plasma cleaning depending on sequence types in accordance with the embodiment of the present invention.
  • FIG. 1 shows a schematic configuration of a plasma etching apparatus in accordance with an embodiment of the present invention.
  • the plasma etching apparatus is of a capacitively coupled type where dual high frequency powers are applied to a lower electrode, and includes a cylindrical chamber (processing chamber) 10 made of a metal, e.g., aluminum, stainless steel or the like.
  • the chamber 10 is frame-grounded.
  • a cylindrical susceptor 12 serving as a lower electrode is provided to mount a target object (target substrate) thereon.
  • the susceptor 12 which is made of, e.g., aluminum, is supported by an insulating tubular support 14 , which is in turn supported by a cylindrical support portion 16 vertically upwardly extending from a bottom portion of the chamber 10 .
  • a focus ring 18 made of, e.g., quartz or silicon is arranged on an upper surface of the tubular support 14 to annularly surround a top surface of the susceptor 12 .
  • An exhaust path 20 is formed between a sidewall of the chamber 10 and the cylindrical support portion 16 .
  • An annular baffle plate 22 is attached to the entrance or the inside of the exhaust path 20 , and an exhaust port 24 is provided at a bottom portion of the chamber 10 .
  • An exhaust device 28 is connected to the exhaust port 24 via an exhaust pipe 26 .
  • the exhaust device 28 includes a vacuum pump to evacuate a processing space in the chamber 10 to a predetermined vacuum level.
  • Attached to the sidewall of the chamber 10 is a gate valve 30 for opening and closing a gateway through which a semiconductor wafer W is loaded and unloaded.
  • a first high frequency power supply 32 for plasma generation is electrically connected to the susceptor 12 via a first matching unit (MU) 34 and a power feed rod 36 .
  • a first high frequency power HF is supplied from the first high frequency power supply 32 to the susceptor 12 .
  • the first high frequency power HF has a frequency (e.g., about 100 MHz) adequate to gas discharge.
  • a shower head 38 serving as an upper electrode of ground potential. The first high frequency power HF from the first high frequency power supply 32 is capacitively applied between the susceptor 12 and the shower head 38 .
  • a second high frequency power supply 80 for ion attraction is electrically connected to the susceptor 12 via a second matching unit (MU) 82 and the power feed rod 36 .
  • a second high frequency power LF is supplied from the second high frequency power supply 80 to the susceptor 12 .
  • the second high frequency power LF has a frequency (e.g., about 13.56 MHz) adequate to attract ions or control an ion energy.
  • An electrostatic chuck 40 is provided on an upper surface of the susceptor 12 to hold the silicon wafer W by an electrostatic attraction force.
  • the electrostatic chuck 40 includes a DC electrode 40 a made of a conductive film and an upper and a lower dielectric layer 40 b and 40 c .
  • the DC electrode 40 a is interposed between the dielectric layers 40 b and 40 c .
  • a DC power supply 42 is electrically connected to the electrode 40 a via a switch 43 . By applying a DC voltage from the DC power supply 42 to the DC electrode 40 a , a semiconductor wafer W can be attracted to and held on the electrostatic chuck 40 by the Coulomb force.
  • Each of the dielectric layers 40 b and 40 c of the electrostatic chuck 40 is made of, e.g., alumina ceramic (Al 2 O 3 )
  • a heater 84 for controlling a wafer temperature is provided inside the lower dielectric layer 40 c .
  • the heater 84 includes a resistance heating wire having, e.g., a spiral shape and is electrically connected to a heater power supply 88 arranged outside the chamber 10 through an electrical cable 86 .
  • the dielectric layers 40 b and 40 c of the electrostatic chuck 40 made of alumina ceramic (Al 2 O 3 ) having a high heat resistance, are endurable for the heat emitted from the heater 84 .
  • a coolant path 44 which extends in, e.g., a circumferential direction, is provided inside the susceptor 12 .
  • a coolant e.g., a cooling water
  • a heat transfer gas e.g., He gas
  • a heat transfer gas supply unit 52 is supplied from a heat transfer gas supply unit 52 to a space between a top surface of the electrostatic chuck 40 and a bottom surface of the semiconductor wafer W through a gas supply line 54 .
  • the shower head 38 provided at the ceiling portion of the chamber 10 includes a lower electrode plate 56 having a plurality of gas injection holes 56 a and an electrode holder 58 that detachably holds the electrode plate 56 .
  • a buffer chamber 60 for radically diffusing a gas to make the pressure uniform.
  • a gas inlet opening 60 a of the buffer chamber 60 is connected to an etching gas supply unit 66 and a cleaning gas supply unit 68 through gas supply lines 62 and 64 , respectively.
  • On-off valves 70 and 72 are provided in the gas supply lines 62 and 64 , respectively.
  • Mass flow controllers (not shown) are provided in the etching gas and cleaning gas supply unit 66 and 68 , respectively.
  • a magnet unit 74 Provided along a circumference of the chamber 10 is a magnet unit 74 extending annularly or concentrically around the chamber 10 .
  • an RF electric field is vertically produced in the processing space between the shower head 38 and the susceptor 12 .
  • a high density plasma is generated near the surface of the susceptor 12 by gas discharge generated by applying the first high frequency power HF to the susceptor 12 .
  • a controller 76 controls operations of various parts of the plasma etching apparatus, e.g., the exhaust device 28 , the first high frequency power supply 32 , the second high frequency power supply 80 , the first matching unit 34 , the second matching unit 82 , the switch 43 for the electrostatic chuck 40 , the chiller unit 46 , the heat transfer gas supply unit 52 , the etching gas supply unit 66 , the cleaning gas supply unit 68 , the on-off valves 70 and 72 , and the like.
  • the controller 76 is connected to a host computer (not shown) and the like.
  • the gate valve 30 is opened first, and a target object, i.e., a semiconductor wafer W, is loaded in the chamber 10 and mounted on the electrostatic chuck 40 . Then, the on-off valve 70 of the gas supply line 62 is opened and an etching gas (e.g., a gaseous mixture) is supplied from the etching gas supply unit 66 to the chamber at a predetermined flow rate and flow rate ratio. Moreover, the pressure inside the chamber 10 is adjusted by the exhaust device 28 at a preset level.
  • a target object i.e., a semiconductor wafer W
  • the first high frequency power HF having a preset level is supplied from the first high frequency power supply 32 to the susceptor 12 and the second high frequency power LH having a preset level is supplied from the second high frequency power supply 80 to the susceptor 12 .
  • He gas as a heat transfer gas is supplied from heat transfer supply unit 52 to a gap between the surface of the electrostatic chuck 40 and the bottom surface of the semiconductor wafer W.
  • a DC voltage is applied to the DC power supply 42 to the DC electrode 40 a of the electrostatic chuck 40 and the heat transfer gas is kept in a contact interface between the semiconductor wafer W and the electrostatic chuck 40 by an electrostatic attraction force.
  • the heater power supply 88 is turned on to supply a power (e.g., an AC power) to the heater 84 of the electrostatic chuck 40 .
  • a power e.g., an AC power
  • the etching gas injected from the shower head 38 is converted to a plasma by a high frequency discharge generated between the shower head 38 serving as the upper electrode and the susceptor 12 serving as the lower electrode by the first high frequency power HF.
  • a main surface of the semiconductor W is etched in a desired pattern by radicals and/or ions produced from the plasma.
  • a high density plasma in a desirable dissociation state can be obtained by supplying to the susceptor 12 the first high frequency power HF having a relatively high frequency of about 100 MHz adequate for plasma generation. That is, a high-density plasma can be generated in a lower-pressure condition.
  • an anisotropic etching with high selectivity can be performed on the semiconductor wafer W mounted on the susceptor 12 by supplying to the susceptor the second high frequency power LF having a relatively lower frequency of about 13.56 MHz adequate for ion attraction.
  • the temperature of the semiconductor wafer W is controlled by providing the susceptor 12 or the electrostatic chuck 40 a with a cool heat from the chiller unit 46 and a heat from the heater 84 simultaneously. Accordingly, it is possible to perform temperature conversion or increase and decrease of the temperature at a high speed and also optionally or variously control the profile of temperature distribution.
  • polymers e.g., fluorocarbon based polymers
  • polymers produced by the radicals and/or ions in the plasma of the etching gas reacting with a material of an etching mask or a film to be etched, and/or particles, sputtered from the surface of the semiconductor wafer W, are not completely exhausted through the exhaust device 28 and some of the polymers or particles remain in the chamber 10 , thereby being attached to members included in the chamber 10 , e.g., the sidewall of the chamber 10 , the electrode plate 56 of the shower head 38 , the focus ring 18 , the baffle plate 22 of the exhaust path 20 and the like, which face the processing space.
  • members included in the chamber 10 e.g., the sidewall of the chamber 10 , the electrode plate 56 of the shower head 38 , the focus ring 18 , the baffle plate 22 of the exhaust path 20 and the like, which face the processing space.
  • the semiconductor wafer W is mounted on the electrostatic chuck 40 and, thus, the electrostatic chuck 40 is not exposed to the plasma. Accordingly, no deposits are attached to the surface of the electrostatic chuck 40 .
  • the cleaning process is regularly performed lot by lot preferably or sheet by sheet more preferably. Specifically, in the sheet-by-sheet cleaning process, immediately after the dry etching process is completed for one sheet, the processed semiconductor wafer W is unloaded from the chamber 10 . Then, the plasma cleaning is performed in the chamber 10 in which no semiconductor wafer W is present.
  • the plasma cleaning is performed in the chamber 10 in which no semiconductor wafer W is present.
  • the controller 76 controls various parts of the plasma etching apparatus to perform the plasma cleaning process of the present embodiment. Specifically, the gate valve 30 is closed and, thus, the chamber 10 is sealed off. Then, the on-off valve 72 of the gas supply line 64 is opened and a cleaning gas (e.g., a gaseous mixture) is supplied from the cleaning gas supply unit 68 to the chamber 10 at a predetermined flow rate and flow rate ratio and the pressure inside the chamber 10 is adjusted by the exhaust device 28 at a preset level. Further, the first high frequency power HF that is pulse-modulated as will be described later is supplied from the first high frequency power supply 32 to the susceptor 12 .
  • a cleaning gas e.g., a gaseous mixture
  • a gaseous mixture in which a fluorine based gas, e.g., SF 6 gas, and O 2 gas are mixed can be adequately employed as the cleaning gas.
  • the number of the F atoms generated in the plasma of the SF 6 gas is several times more than those of other fluorine based gases. Accordingly, it is possible to etch deposits (especially, Si compounds) at a high speed.
  • another fluorine based gas e.g., NF 3 gas may be adequately employed.
  • the O 2 gas serves as an additive gas to suppress a polymerization reaction and accelerate the cleaning process.
  • a ratio of the O 2 gas to the fluorine based gas (e.g., the SF 6 gas and the NF 3 gas) is preferably about 1:1.
  • the second high frequency power supply 80 for ion attraction is turned off. Moreover, since no semiconductor wafer W is provided on the electrostatic chuck 40 , it is unnecessary to control the wafer temperature and the switch 43 for the DC voltage application and the heat transfer gas supply unit 52 are tuned off. However, it is necessary to control the temperatures of the electrostatic chuck 40 and the susceptor 12 , so that the chiller unit 46 and the heater power supply 88 may be turned on.
  • the controller 76 controls the first high frequency power supply and the first matching unit 34 such that a plasma generation state and a plasma non-generation state can be alternately repeated by alternately repeating at a specific cycle a first period during which the first high frequency power HF has a first amplitude or a first crest value (i.e., an effective power) that generates the plasma and during which the first high frequency power HF has a second period having a second amplitude or a second crest value (i.e., no effective power) that generates substantially no plasma.
  • the second amplitude is set as about 0 (i.e., no first high frequency power is supplied).
  • the first high frequency power HF supplied from the first high frequency power supply 32 to the susceptor 12 is modulated.
  • FIG. 2 shows the modulation of pulse as a typical example of a modulated magnitude of a high frequency power.
  • periods A are in the plasma generation state and periods B are in the plasma non-generation state.
  • the first high frequency power HF having the first amplitude of, e.g., about 750 W by power conversion is supplied to the susceptor 12 .
  • the first high frequency power HF having the second amplitude of, e.g., about 0 W by power conversion is supplied to the susceptor 12 . That is, a so-called pulse plasma is generated in the chamber 10 by alternately repeating on and off of the first high frequency power HF.
  • a percentage (%) of on period to one cycle of on and off period is referred to as a duty
  • the waveform of the first high frequency power HF is shown in FIG. 3 .
  • the second amplitude of the plasma non-generation state be about 0 W.
  • the first high frequency power HF can have as the second amplitude value any amplitude value that generates substantially no plasma.
  • the present embodiment is not limited to 750 W.
  • the first amplitude value can be set in a range of 400 W to 4000 W by the power conversion depending on the conditions of the cleaning process.
  • a frequency at which the first amplitude (on period) and the second amplitude (off period) are alternately repeated is preferably sufficiently lower than that (e.g., about 27 MHz or more) of the first high frequency power HF.
  • the modulation frequency is 1 kHz to 100 kHz and preferably 1 kHz to 60 kHz. If the modulation frequency is lower than 1 kHz, the ion-sputter suppressing effect of the present invention is significantly lowered.
  • the modulation frequency is higher than about 60 kHz, it becomes difficult to allow the pulse plasma to follow on and off of the first high frequency power HF, thereby significantly lowering the ion-sputter suppressing effect of the present invention.
  • the duty of the first amplitude (on period) is not limited to 50% and it is preferable to adequately set the duty in a range of 10% to 60%. If the duty is smaller than 10%, no plasma is generated, thereby failing to obtain an effective plasma cleaning. On the other hand, if the duty is higher than 60%, the ion-sputter suppressing effect of the present invention is significantly lowered.
  • the duty and the cleaning time are in an inverse proportional relationship to each other. Accordingly, as the duty is greater, a needed time for the cleaning is shortened. As the duty is smaller, the needed time is lengthened.
  • main conditions of the plasma cleaning are as follows.
  • the cleaning rate of 100 ⁇ /min to 250 ⁇ /min was obtained at each position in the radical direction on a surface of the electrode plate 56 of the shower head 38 .
  • the cleaning rate of 100 ⁇ /min to 150 ⁇ /min was obtained at each position in the radical direction.
  • the cleaning rate of 25 ⁇ /min to 50 ⁇ /min was obtained at each position in the vertical direction.
  • the cleaning rate is rarely changed when the magnitude of the first amplitude of the first high frequency power HF is increased to two times, i.e., 1500 W.
  • the cleaning rate is lowered substantially in proportion to the duty as compared with the plasma cleaning without the pulse modulation.
  • the pulse-modulated plasma cleaning in accordance with the present embodiment can obtain the same cleaning result or the same cleaning performance as the plasma cleaning without the pulse modulation by setting the cleaning time slightly longer depending on the duty of pulse modulation (in the inverse proportional relationship).
  • the pulse-modulated plasma cleaning in accordance with the present embodiment has a main feature that it is possible to sufficiently suppress the erosion of a surface layer portion, i.e., the upper dielectric layer 40 b of the electrostatic chuck 40 .
  • the cleaning gas is discharged to generate a plasma in the processing space between the susceptor (lower electrode) 12 and the shower head (upper electrode) 38 . Further, a negative self-bias voltage is generated in the susceptor 12 , so that an ion sheath is formed between the susceptor 12 and the plasma. Then, and positive ions in the plasma are accelerated by an electric field of the ion sheath to be incident on the upper dielectric layer 40 b of the electrostatic chuck 40 .
  • the alumina ceramic (Al 2 O 3 ) as the material of the upper dielectric layer 40 b has a sufficiently strong etching resistance against radicals of fluorine, oxygen and the like, but the aluminum or the ceramic (Al 2 O 3 ) has a relatively weaker etching resistance to physical etching (ion sputtering) caused by the ion incidence. As a result, the upper dielectric layer 40 b made of the alumina ceramic (Al 2 O 3 ) is inevitably eroded.
  • the ion sputtering can be suppressed.
  • Such action of suppressing the ion sputtering by the pulse modulation has the same effect as in the case of applying the above pulse modulation in the dry etching process for the semiconductor wafer W. This can be proved in a trimming process of resist pattern, for example.
  • a sidewall of the resist pattern 100 is formed by the photolithography.
  • a trimming process forms the sidewall of the resist pattern 100 into a finer pattern as shown in FIG. 4B .
  • a target etching film 104 is etched by using the thinly formed resist pattern 100 as a mask, a hole or recess 108 having a desired size can be obtained as shown in FIG. 4C .
  • a reference number 102 is an antireflection coating and a reference number 106 is a base film or a base substrate.
  • the attempt to form a resist pattern having a desired thin size without the trimming process may cause resist collapse during the photolithography process (especially, development).
  • the trimming process is performed to make the resist pattern to have a desired thin size.
  • the sidewall of the resist pattern 100 is horizontally etched and an upper surface of the resist pattern 100 is vertically etched.
  • a radical based etching mainly dominantly contributes to the horizontal etching (trimming) of the resist pattern 100 and an ion based etching mainly dominantly contributes to the vertical etching (resist loss).
  • Diameter of semiconductor wafer 300 mm
  • Modulation Frequency 10 kHz and 100 kHz
  • FIG. 5 is a table where trimming characteristics obtained in test examples 1 to 3 and SEM pictures are illustrated.
  • the non-modulation (CW) trimming process was carried out for 20 seconds by using a first high frequency power HF of 70 W.
  • a vertical etching rate i.e., a resist loss rate (PR loss) was 40.2 nm and a horizontal etching rate, i.e., a trimming amount (Trim. amount) was 45.1 nm.
  • a trimming ratio (Trim. Ratio) was 45.1 nm/40.2 nm, i.e., 1.12.
  • the resist loss rate (PR loss) was 35.6 nm and the trimming amount (Trim. amount) was 39.8 nm.
  • the trimming ratio (Trim. ratio) was 1.12.
  • the same pulse-modulation trimming process as the plasma cleaning of the present embodiment was carried out for 26 seconds by using the first high frequency power HF having the magnitude (magnitude of on period or first amplitude) of 70 W.
  • the resist loss rate (PR loss) was 37.5 nm and the trimming amount (Trim. amount) was 53.7 nm.
  • the trimming ratio (Trim. ratio) was 1.43.
  • the resist loss rate (PR loss) was 32.9 nm and the trimming amount (Trim. amount) was 48.4 nm.
  • the trimming ratio (Trim. ratio) was 1.47.
  • the same pulse-modulation trimming process as the plasma cleaning of the present embodiment was carried out for 26 seconds by using the first high frequency power HF having the magnitude (magnitude of on period or first amplitude) of 85 W.
  • the resist loss rate (PR loss) was 38.2 nm and the trimming amount (Trim. amount) was 47.7 nm.
  • the trimming ratio (Trim. ratio) was 1.24.
  • the resist loss rate (PR loss) was 32.2 nm and the trimming amount (Trim. amount) was 47.7 nm.
  • the trimming ratio (Trim. ratio) was 1.48.
  • the non-modulation (CW) test example 1 is compared with the pulse-modulation test examples 2 and 3, it can be seen that the radical based etching rate (trimming amount) of the non-modulation (CW) method is not significantly different from that of the pulse-modulation method. However, the ion based etching rate (resist loss rate) of the pulse-modulation method is much lower than that of the non-modulation (CW) method.
  • the pulse-modulation method yields little effect on the radical based etching but suppress an etching rate of the ion based etching.
  • the pulse-modulation method is not limited to the trimming process and is adequate for a plasma etching in which the radical etching and the ion based etching are carried out together.
  • the alumina ceramic (Al 2 O 3 ) as the material of the upper dielectric layer 40 b has a sufficiently strong etching resistance against radicals of fluorine, oxygen and the like, but has a relatively weaker etching resistance to physical etching (ion sputtering) caused by the ion incidence.
  • the erosion of the upper dielectric layer 40 b of the electrostatic chuck 40 is inevitably reduced.
  • the amount of the aluminum attached to each semiconductor wafer W as the target object can be controlled within an allowable range, thereby preventing the metal contamination.
  • the plasma cleaning method of the present embodiment it is possible to generate a pulse plasma for cleaning in the chamber 10 in which no semiconductor wafer W is provided by pulse-modulating a high frequency power HF for plasma generation supplied to the susceptor 12 at a predetermined modulation frequency or duty, thereby maintaining the cleaning performance, and effectively efficiently suppress the erosion of a surface layer portion of the electrostatic chuck 40 . Accordingly, it is possible to maintain the inside of the chamber 10 as a non-deposit state and increase the lifespan of the electrostatic chuck 40 . As a result, the metal contamination can be suppressed or prevented.
  • a first type shown in FIG. 6A or a second type shown in FIG. 6B can be employed as a sequence of the plasma cleaning.
  • the first period A and the second period B are alternately repeated at a desired cycle C between a start point of time t s and an end point of time t e of the cleaning.
  • the first amplitude of the first high frequency power HF is maintained during a period of time T s between a start point of time t s when the first high frequency power HF is started to be supplied to the susceptor 12 and a point of time t c ; and the first period A and the second period B are alternately repeated in the first high frequency power HF at the cycle C after the point of time t c .
  • the period of time T s or the point of time t c may be determined depending on various conditions. For example, when the increase in the plasma generation is not sufficient because the duty of pulse modulation is relatively small, a point of time when the plasma is initially ignited after the cleaning is started may be monitored by a plasma monitor to be set the monitored point of time as the point of time t c ; or the period of time T s may be determined as an empirically obtained period of time required until the plasma becomes stable.
  • the cleaning time may be divided into a first cleaning time for performing a rough cleaning and a second cleaning time for performing a finishing cleaning to determine the period of time T s (between t s and t c ) as the first cleaning time and a remaining period of time (between t c and t e ) as the second cleaning time.
  • the plasma etching apparatus and the plasma cleaning method of the present embodiment it is possible to obtain a sufficient performance of the cleaning process in the processing chamber and prevent erosion of the electrostatic chuck provided at the electrode to which a high frequency voltage is applied and on which a target object is mounted. Accordingly, a lifespan of the electrostatic chuck is increased, and the metal contamination is suppressed or prevented.
  • the present embodiment is adequately applicable to a capacitively coupled plasma etching apparatus of lower side single frequency application type in which a high frequency power for plasma generation and ion attraction is supplied to a susceptor (lower electrode).
  • the material of the surface layer portion of the electrostatic chuck is not limited to alumina ceramic (Al 2 O 3 )
  • the surface layer portion of the electrostatic chuck may be made of a dielectric material including a metal.
  • the target substrate is not limited to the semiconductor wafer.
  • the present invention can be applied to various substrates for plat panel display, photomasks, CD substrates, print substrates, and the like.

Abstract

A plasma etching apparatus includes an electrostatic chuck and an etching gas supply unit for supplying an etching gas to a processing space between a first and a second electrode to perform a dry etching process on the target object. The apparatus further includes a cleaning gas supply unit for supplying a cleaning gas to a processing space; a first high frequency power supply unit for supplying a first high frequency power to the first electrode; and a controller for controlling the first high frequency power supply unit such that a first period during which the first high frequency power has a first amplitude that generates the plasma and a second period during which the first high frequency power has a second amplitude that generates substantially no plasma are alternately repeated at a specific cycle when the plasma cleaning is performed in the processing chamber without the target object.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims priority to Japanese Patent Application No. 2008-313100 filed on Dec. 9, 2008, the entire contents of which are incorporated herein by reference.
  • FIELD OF THE INVENTION
  • The present invention relates to a capacitively coupled plasma etching apparatus for performing a dry etching process on a target object by using a plasma and a plasma cleaning method for cleaning the inside of a processing chamber the plasma etching apparatus.
  • BACKGROUND OF THE INVENTION
  • In the manufacturing process of a semiconductor device or a flat panel display (FPD), a plasma is widely used in a process such as etching, deposit, oxidation, sputtering or the like since it has a good reactivity with a processing gas in a relatively low temperature. In a single wafer plasma etching apparatus, a capacitively coupled type is mainly used to generate a plasma.
  • An upper and a lower electrode are arranged in parallel with each other in a vacuum processing chamber included in a capacitively coupled plasma etching apparatus. Then, a target substrate (e.g., a semiconductor wafer or a glass substrate) is mounted on the lower electrode and a high frequency voltage is applied between the upper and the lower electrode. Accordingly, an electric field is generated between the electrodes by the high frequency voltage to accelerate electrons and, thus, the impact ionization occurs between the accelerated electrons and a processing gas, thereby generating a plasma. Then, a surface of the substrate is subjected to a desired etching process by radicals and ions in the plasma.
  • Here, since the electrode to which the high frequency voltage is applied is connected to a high frequency power supply via a blocking capacitor included in a matching unit, the electrode serves as a cathode. In a cathode coupling type in which a high frequency voltage is applied to a lower electrode as a cathode on which a substrate is mounted, ions in a plasma are attracted to the substrate in a substantial vertical direction, thereby performing an anisotropic etching with the outstanding directivity (see, e.g., Japanese Patent Application Publication No. 2000-260595).
  • Recently, in order to individually optimize the density of plasma and the selectivity of anisotropic etching, there has widely been employed a lower side dual frequency application type in which a first high frequency voltage having a relatively high frequency (e.g., 27 MHz or more) adequate for plasma generation and a second high frequency voltage having a relatively low frequency (e.g., 13.56 MHz or less) adequate for ion attraction are overlappingly applied to a lower electrode on which a substrate is mounted (see, e.g., Japanese Patent Application Publication No. 2000-156370 and corresponding U.S. Pat. No. 6,642,149 B2).
  • Moreover, in the capacitively coupled plasma etching apparatus, it is required to control the temperature of a substrate to be uniform by suppressing the increase of the temperature of the substrate caused by the heat transferred from the plasma during the plasma etching. To that end, the substrate is indirectly cooled by supplying a coolant having an adjusted temperature from a chiller unit to a coolant path provided inside the lower electrode to be circulated and a heat transfer gas such as He gas to a backside of the substrate through the lower electrode.
  • According to such a cooling method, a substrate holding unit is required to fixedly hold the substrate on the lower electrode against the supplying pressure of the heat transfer gas and, thus, an electrostatic chuck is mainly used as the substrate holding unit (see, e.g., Japanese Patent Laid-open Publication No. 2001-210705).
  • Typically, the electrostatic chuck includes a dielectric layer having a DC electrode therein which is provided on an upper surface (mounting surface) of the lower electrode and a preset DC voltage is applied to the DC electrode to attract a substrate by a Coulomb force generated between the substrate and the dielectric layer. For the electrostatic chuck, the dielectric layer has recently been made of alumina ceramic (Al2O3) having high plasma resistance and high heat resistance.
  • Meanwhile, in the capacitively coupled plasma etching apparatus, some of gaseous reaction products or by-products produced during the plasma etching are attached to members inside the chamber, especially, an upper electrode, a focus ring, a sidewall of the chamber and the like, which face a plasma generation space or a processing space, to be solidified into deposits.
  • As such, if the deposits attached to the members in the chamber are detached therefrom by being, e.g., peeled off, particles are generated, thereby decreasing the yield of devices. Accordingly, a cleaning process is regularly performed to remove the deposits from the members in the chamber. Such kinds of cleaning processes are classified into two groups, i.e., a gas cleaning performed by a thermal decomposition of gas and a plasma cleaning performed by decomposing a cleaning gas by a plasma.
  • When the plasma cleaning is performed, in the cathode coupling type, a high frequency voltage for plasma generation is applied to the lower electrode as in the dry etching process. In the lower side dual frequency application type, the second high frequency voltage for ion attraction is not applied and only the first high frequency for plasma generation is applied to the lower electrode. The cycle of the regular plasma cleaning is may be carried out lot by lot. However, it is preferable that the cycle is carried sheet by sheet to reliably prevent the influence of deposits on the process.
  • However, in the conventional capacitively coupled plasma etching apparatus, whenever the plasma cleaning is performed, a (dielectric) top surface portion of the electrostatic chuck is slightly eroded by an ion sputtering effect. Accordingly, as the plasma cleaning is repeatedly performed, such erosion is progressed, shortening the lifespan of the electrostatic chuck. Furthermore, when the dielectric portion of the electrostatic chuck is made of a metal, especially, e.g., alumina ceramic (Al2O3), the aluminum is scattered as particles or compounds (e.g., Al fluoride or Al chloride) in the chamber due to the erosion and some of the particles or the compounds is remain without being not exhausted. Such particles or compounds are attached to a substrate subjected to the etching process, thereby causing the metal contamination.
  • In addition, when the plasma cleaning is performed, only the high frequency voltage for the plasma generation is applied to the lower electrode. Nevertheless, a self bias voltage is unavoidably generated and an ion sheath is formed between the plasma and the lower electrode. Accordingly, ions in the plasma are accelerated by an electric field inside the ion sheath to be incident on the (dielectric) top surface portion of the electrostatic chuck, causing the dielectric material to sputter.
  • To prevent the metal contamination caused by the electrostatic chuck, a deposition creating process is performed by supplying a film formation gas, e.g., SiCl4 gas, to the chamber after the plasma cleaning to coat the surface of the electrostatic chuck with a thin film made of SiClxOy or the like in the conventional capacitively coupled plasma etching apparatus. However, such a method requires expensive equipment such as gas structure for the depositing process and a prolonged post-processing, so that it is difficult to use the method.
  • SUMMARY OF THE INVENTION
  • In view of the above, the present invention provides a plasma etching apparatus and a plasma cleaning method capable of preventing erosion of an electrostatic chuck provided at an electrode to which a high frequency voltage is applied and on which a target object is mounted while ensuring a sufficient performance of a cleaning process performed in a processing chamber, to thereby increase a lifespan of the electrostatic chuck and suppress or prevent metal contamination.
  • In accordance with an aspect of the present invention, there is provided a plasma etching apparatus including an evacuable processing chamber; a first electrode for mounting a target object in the processing chamber; an electrostatic chuck provided on a mounting surface of the first electrode to hold the target object by an electrostatic force, a dielectric material of a surface layer portion of the electrostatic chuck including a metal; a second electrode disposed to face the first electrode in parallel in the processing chamber; an etching gas supply unit for supplying an etching gas to a processing space between the first and the second electrode to perform a dry etching process on the target object; a cleaning gas supply unit for supplying a cleaning gas to the processing space to perform a plasma cleaning in the processing chamber without the target object; a first high frequency power supply unit for supplying a first high frequency power to the first electrode, the first high frequency power contributing to plasma generation of the etching gas or the cleaning gas; and a controller for controlling the first high frequency power supply unit such that a first period during which the first high frequency power has a first amplitude that generates the plasma and a second period during which the first high frequency power has a second amplitude that generates substantially no plasma are alternately repeated at a specific cycle when the plasma cleaning is performed in the processing chamber without the target object.
  • In accordance with another aspect of the present invention, there is provided a plasma cleaning method for performing a plasma cleaning in an evacuable processing chamber without a target object in a plasma etching apparatus. The plasma etching apparatus includes the evacuable processing chamber; a first electrode for mounting a target object in the processing chamber; an electrostatic chuck provided on a mounting surface of the first electrode to hold the target object by an electrostatic force, a dielectric material of a surface layer portion of the electrostatic chuck including a metal; a second electrode disposed to face the first electrode in parallel in the processing chamber; an etching gas supply unit configured to supply an etching gas to a processing space between the first and the second electrode to perform a dry etching process on the target object; a cleaning gas supply unit for supplying a cleaning gas to the processing space to perform a plasma cleaning in the processing chamber without the target object; and a first high frequency power supply unit for supplying a first high frequency power to the first electrode, the first high frequency power contributing to plasma generation of the etching gas or the cleaning gas. A first period during which the first high frequency power has a first amplitude that generates the plasma and a second period during which the first high frequency power has a second amplitude that generates substantially no plasma are alternately repeated at a specific cycle.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The objects and features of the present invention will become apparent from the following description of embodiments, given in conjunction with the accompanying drawings, in which:
  • FIG. 1 shows a schematic configuration of a plasma etching apparatus in accordance with an embodiment of the present invention;
  • FIG. 2 shows characteristics of time/high frequency power magnitude of a pulse plasma;
  • FIG. 3 shows a waveform of a first high frequency power of the pulse plasma shown in FIG. 2;
  • FIGS. 4A to 4C are cross sectional views showing a process order of a trimming process;
  • FIG. 5 is a table in which trimming characteristics obtained through test examples of a trimming process for the effects of the embodiment of the present invention; and
  • FIGS. 6A and 6B show waveforms of a sequence of plasma cleaning depending on sequence types in accordance with the embodiment of the present invention.
  • DETAILED DESCRIPTION OF THE EMBODIMENTS
  • Embodiments of the present invention will now be described with reference to the accompanying drawings which form a part hereof.
  • FIG. 1 shows a schematic configuration of a plasma etching apparatus in accordance with an embodiment of the present invention. The plasma etching apparatus is of a capacitively coupled type where dual high frequency powers are applied to a lower electrode, and includes a cylindrical chamber (processing chamber) 10 made of a metal, e.g., aluminum, stainless steel or the like. The chamber 10 is frame-grounded.
  • In the chamber 10, a cylindrical susceptor 12 serving as a lower electrode is provided to mount a target object (target substrate) thereon. The susceptor 12, which is made of, e.g., aluminum, is supported by an insulating tubular support 14, which is in turn supported by a cylindrical support portion 16 vertically upwardly extending from a bottom portion of the chamber 10. A focus ring 18 made of, e.g., quartz or silicon is arranged on an upper surface of the tubular support 14 to annularly surround a top surface of the susceptor 12.
  • An exhaust path 20 is formed between a sidewall of the chamber 10 and the cylindrical support portion 16. An annular baffle plate 22 is attached to the entrance or the inside of the exhaust path 20, and an exhaust port 24 is provided at a bottom portion of the chamber 10. An exhaust device 28 is connected to the exhaust port 24 via an exhaust pipe 26. The exhaust device 28 includes a vacuum pump to evacuate a processing space in the chamber 10 to a predetermined vacuum level. Attached to the sidewall of the chamber 10 is a gate valve 30 for opening and closing a gateway through which a semiconductor wafer W is loaded and unloaded.
  • A first high frequency power supply 32 for plasma generation is electrically connected to the susceptor 12 via a first matching unit (MU) 34 and a power feed rod 36. A first high frequency power HF is supplied from the first high frequency power supply 32 to the susceptor 12. The first high frequency power HF has a frequency (e.g., about 100 MHz) adequate to gas discharge. Moreover, provided at a ceiling portion of the chamber 10 is a shower head 38 serving as an upper electrode of ground potential. The first high frequency power HF from the first high frequency power supply 32 is capacitively applied between the susceptor 12 and the shower head 38.
  • Similarly, a second high frequency power supply 80 for ion attraction is electrically connected to the susceptor 12 via a second matching unit (MU) 82 and the power feed rod 36. A second high frequency power LF is supplied from the second high frequency power supply 80 to the susceptor 12. The second high frequency power LF has a frequency (e.g., about 13.56 MHz) adequate to attract ions or control an ion energy.
  • An electrostatic chuck 40 is provided on an upper surface of the susceptor 12 to hold the silicon wafer W by an electrostatic attraction force. The electrostatic chuck 40 includes a DC electrode 40 a made of a conductive film and an upper and a lower dielectric layer 40 b and 40 c. The DC electrode 40 a is interposed between the dielectric layers 40 b and 40 c. A DC power supply 42 is electrically connected to the electrode 40 a via a switch 43. By applying a DC voltage from the DC power supply 42 to the DC electrode 40 a, a semiconductor wafer W can be attracted to and held on the electrostatic chuck 40 by the Coulomb force.
  • Each of the dielectric layers 40 b and 40 c of the electrostatic chuck 40 is made of, e.g., alumina ceramic (Al2O3) In this embodiment, a heater 84 for controlling a wafer temperature is provided inside the lower dielectric layer 40 c. The heater 84 includes a resistance heating wire having, e.g., a spiral shape and is electrically connected to a heater power supply 88 arranged outside the chamber 10 through an electrical cable 86. The dielectric layers 40 b and 40 c of the electrostatic chuck 40 made of alumina ceramic (Al2O3) having a high heat resistance, are endurable for the heat emitted from the heater 84.
  • A coolant path 44, which extends in, e.g., a circumferential direction, is provided inside the susceptor 12. A coolant, e.g., a cooling water, of a predetermined temperature is supplied from a chiller unit 46 to the coolant path 44 via pipelines 48 and 50 to be circulated. It is possible to control a process temperature of the semiconductor wafer W held on the electrostatic chuck 40 by adjusting the temperature of the coolant. Moreover, a heat transfer gas, e.g., He gas, is supplied from a heat transfer gas supply unit 52 to a space between a top surface of the electrostatic chuck 40 and a bottom surface of the semiconductor wafer W through a gas supply line 54.
  • The shower head 38 provided at the ceiling portion of the chamber 10 includes a lower electrode plate 56 having a plurality of gas injection holes 56 a and an electrode holder 58 that detachably holds the electrode plate 56. Provided inside the electrode holder 58 is a buffer chamber 60 for radically diffusing a gas to make the pressure uniform.
  • A gas inlet opening 60 a of the buffer chamber 60 is connected to an etching gas supply unit 66 and a cleaning gas supply unit 68 through gas supply lines 62 and 64, respectively. On-off valves 70 and 72 are provided in the gas supply lines 62 and 64, respectively. Mass flow controllers (not shown) are provided in the etching gas and cleaning gas supply unit 66 and 68, respectively.
  • Provided along a circumference of the chamber 10 is a magnet unit 74 extending annularly or concentrically around the chamber 10. When a plasma process is performed in the chamber 10, an RF electric field is vertically produced in the processing space between the shower head 38 and the susceptor 12. A high density plasma is generated near the surface of the susceptor 12 by gas discharge generated by applying the first high frequency power HF to the susceptor 12.
  • A controller 76 controls operations of various parts of the plasma etching apparatus, e.g., the exhaust device 28, the first high frequency power supply 32, the second high frequency power supply 80, the first matching unit 34, the second matching unit 82, the switch 43 for the electrostatic chuck 40, the chiller unit 46, the heat transfer gas supply unit 52, the etching gas supply unit 66, the cleaning gas supply unit 68, the on-off valves 70 and 72, and the like. The controller 76 is connected to a host computer (not shown) and the like.
  • In the plasma etching apparatus, in order to perform the dry etching, the gate valve 30 is opened first, and a target object, i.e., a semiconductor wafer W, is loaded in the chamber 10 and mounted on the electrostatic chuck 40. Then, the on-off valve 70 of the gas supply line 62 is opened and an etching gas (e.g., a gaseous mixture) is supplied from the etching gas supply unit 66 to the chamber at a predetermined flow rate and flow rate ratio. Moreover, the pressure inside the chamber 10 is adjusted by the exhaust device 28 at a preset level.
  • Further, the first high frequency power HF having a preset level is supplied from the first high frequency power supply 32 to the susceptor 12 and the second high frequency power LH having a preset level is supplied from the second high frequency power supply 80 to the susceptor 12. Moreover, He gas as a heat transfer gas is supplied from heat transfer supply unit 52 to a gap between the surface of the electrostatic chuck 40 and the bottom surface of the semiconductor wafer W. Then, a DC voltage is applied to the DC power supply 42 to the DC electrode 40 a of the electrostatic chuck 40 and the heat transfer gas is kept in a contact interface between the semiconductor wafer W and the electrostatic chuck 40 by an electrostatic attraction force.
  • In the meantime, the heater power supply 88 is turned on to supply a power (e.g., an AC power) to the heater 84 of the electrostatic chuck 40. The etching gas injected from the shower head 38 is converted to a plasma by a high frequency discharge generated between the shower head 38 serving as the upper electrode and the susceptor 12 serving as the lower electrode by the first high frequency power HF. A main surface of the semiconductor W is etched in a desired pattern by radicals and/or ions produced from the plasma.
  • In the capacitively coupled plasma etching apparatus, a high density plasma in a desirable dissociation state can be obtained by supplying to the susceptor 12 the first high frequency power HF having a relatively high frequency of about 100 MHz adequate for plasma generation. That is, a high-density plasma can be generated in a lower-pressure condition. At the same time, an anisotropic etching with high selectivity can be performed on the semiconductor wafer W mounted on the susceptor 12 by supplying to the susceptor the second high frequency power LF having a relatively lower frequency of about 13.56 MHz adequate for ion attraction.
  • Further, in the capacitively coupled plasma etching apparatus, the temperature of the semiconductor wafer W is controlled by providing the susceptor 12 or the electrostatic chuck 40 a with a cool heat from the chiller unit 46 and a heat from the heater 84 simultaneously. Accordingly, it is possible to perform temperature conversion or increase and decrease of the temperature at a high speed and also optionally or variously control the profile of temperature distribution.
  • In the dry etching process, polymers (e.g., fluorocarbon based polymers), produced by the radicals and/or ions in the plasma of the etching gas reacting with a material of an etching mask or a film to be etched, and/or particles, sputtered from the surface of the semiconductor wafer W, are not completely exhausted through the exhaust device 28 and some of the polymers or particles remain in the chamber 10, thereby being attached to members included in the chamber 10, e.g., the sidewall of the chamber 10, the electrode plate 56 of the shower head 38, the focus ring 18, the baffle plate 22 of the exhaust path 20 and the like, which face the processing space.
  • However, during the dry etching process, the semiconductor wafer W is mounted on the electrostatic chuck 40 and, thus, the electrostatic chuck 40 is not exposed to the plasma. Accordingly, no deposits are attached to the surface of the electrostatic chuck 40.
  • In this embodiment, to promptly remove deposits incidentally attached to the members included in the chamber (excluding the electrostatic chuck 40) during the dry etching process, the cleaning process is regularly performed lot by lot preferably or sheet by sheet more preferably. Specifically, in the sheet-by-sheet cleaning process, immediately after the dry etching process is completed for one sheet, the processed semiconductor wafer W is unloaded from the chamber 10. Then, the plasma cleaning is performed in the chamber 10 in which no semiconductor wafer W is present.
  • In the lot-by-lot cleaning process, after the dry etching process is performed many times (e.g., 25 times) for one lot (e.g., 25 sheets) of semiconductor wafers W, the plasma cleaning is performed in the chamber 10 in which no semiconductor wafer W is present.
  • The controller 76 controls various parts of the plasma etching apparatus to perform the plasma cleaning process of the present embodiment. Specifically, the gate valve 30 is closed and, thus, the chamber 10 is sealed off. Then, the on-off valve 72 of the gas supply line 64 is opened and a cleaning gas (e.g., a gaseous mixture) is supplied from the cleaning gas supply unit 68 to the chamber 10 at a predetermined flow rate and flow rate ratio and the pressure inside the chamber 10 is adjusted by the exhaust device 28 at a preset level. Further, the first high frequency power HF that is pulse-modulated as will be described later is supplied from the first high frequency power supply 32 to the susceptor 12.
  • Here, a gaseous mixture in which a fluorine based gas, e.g., SF6 gas, and O2 gas are mixed can be adequately employed as the cleaning gas. The number of the F atoms generated in the plasma of the SF6 gas is several times more than those of other fluorine based gases. Accordingly, it is possible to etch deposits (especially, Si compounds) at a high speed. Of course, another fluorine based gas, e.g., NF3 gas may be adequately employed. The O2 gas serves as an additive gas to suppress a polymerization reaction and accelerate the cleaning process. A ratio of the O2 gas to the fluorine based gas (e.g., the SF6 gas and the NF3 gas) is preferably about 1:1.
  • In accordance with this embodiment, when the plasma cleaning is performed, the second high frequency power supply 80 for ion attraction is turned off. Moreover, since no semiconductor wafer W is provided on the electrostatic chuck 40, it is unnecessary to control the wafer temperature and the switch 43 for the DC voltage application and the heat transfer gas supply unit 52 are tuned off. However, it is necessary to control the temperatures of the electrostatic chuck 40 and the susceptor 12, so that the chiller unit 46 and the heater power supply 88 may be turned on.
  • In this embodiment, during the plasma cleaning, the controller 76 controls the first high frequency power supply and the first matching unit 34 such that a plasma generation state and a plasma non-generation state can be alternately repeated by alternately repeating at a specific cycle a first period during which the first high frequency power HF has a first amplitude or a first crest value (i.e., an effective power) that generates the plasma and during which the first high frequency power HF has a second period having a second amplitude or a second crest value (i.e., no effective power) that generates substantially no plasma. Further, in this embodiment, the second amplitude is set as about 0 (i.e., no first high frequency power is supplied). In more detail, the first high frequency power HF supplied from the first high frequency power supply 32 to the susceptor 12 is modulated. FIG. 2 shows the modulation of pulse as a typical example of a modulated magnitude of a high frequency power.
  • As shown in FIG. 2, periods A are in the plasma generation state and periods B are in the plasma non-generation state. In the periods A during which a plasma is generated, the first high frequency power HF having the first amplitude of, e.g., about 750 W by power conversion is supplied to the susceptor 12. In the periods B during which no plasma is generated, the first high frequency power HF having the second amplitude of, e.g., about 0 W by power conversion is supplied to the susceptor 12. That is, a so-called pulse plasma is generated in the chamber 10 by alternately repeating on and off of the first high frequency power HF.
  • If a percentage (%) of on period to one cycle of on and off period is referred to as a duty, the duty is represented as 100A %/(A=B). For example, the duty of 50% may be selected by setting A=B. In this case, the waveform of the first high frequency power HF is shown in FIG. 3.
  • In addition, it is not necessary that the second amplitude of the plasma non-generation state be about 0 W. The first high frequency power HF can have as the second amplitude value any amplitude value that generates substantially no plasma. Similarly, even though the first amplitude of the plasma generation state is about 750 W by the power conversion, the present embodiment is not limited to 750 W. The first amplitude value can be set in a range of 400 W to 4000 W by the power conversion depending on the conditions of the cleaning process.
  • For the pulse modulation in accordance with this embodiment, a frequency at which the first amplitude (on period) and the second amplitude (off period) are alternately repeated is preferably sufficiently lower than that (e.g., about 27 MHz or more) of the first high frequency power HF. Typically, the modulation frequency is 1 kHz to 100 kHz and preferably 1 kHz to 60 kHz. If the modulation frequency is lower than 1 kHz, the ion-sputter suppressing effect of the present invention is significantly lowered.
  • Moreover, if the modulation frequency is higher than about 60 kHz, it becomes difficult to allow the pulse plasma to follow on and off of the first high frequency power HF, thereby significantly lowering the ion-sputter suppressing effect of the present invention.
  • The duty of the first amplitude (on period) is not limited to 50% and it is preferable to adequately set the duty in a range of 10% to 60%. If the duty is smaller than 10%, no plasma is generated, thereby failing to obtain an effective plasma cleaning. On the other hand, if the duty is higher than 60%, the ion-sputter suppressing effect of the present invention is significantly lowered.
  • Generally, in view of the cleaning effect, the duty and the cleaning time are in an inverse proportional relationship to each other. Accordingly, as the duty is greater, a needed time for the cleaning is shortened. As the duty is smaller, the needed time is lengthened.
  • For an experiment for the cleaning process of this embodiment, main conditions of the plasma cleaning are as follows.
  • Etching gas: SF6 gas/O2 gas=800 sccm/800 sccm
  • Chamber pressure: 200 mTorr
  • HF power: first amplitude/second amplitude=750 W/0 W
  • Modulation Frequency: 10 kHz
  • Duty: 50%
  • Temperature: upper electrode/sidewall of chamber/lower electrode=80/70/60° C.
  • Magnetic field: 320 G
  • Cleaning time: 40 seconds
  • In the experiment, it can be seen that the cleaning rate of 100 Å/min to 250 Å/min was obtained at each position in the radical direction on a surface of the electrode plate 56 of the shower head 38. On a surface of the focus ring 18, the cleaning rate of 100 Å/min to 150 Å/min was obtained at each position in the radical direction. Moreover, on a sidewall of the chamber 10, the cleaning rate of 25 Å/min to 50 Å/min was obtained at each position in the vertical direction.
  • In the experiment, it can be seen that the cleaning rate of each part was about a half times as fast as that when the duty is 100% without change of other conditions (i.e., no pulse modulation).
  • Moreover, in the experiment, it can be seen that the cleaning rate is rarely changed when the magnitude of the first amplitude of the first high frequency power HF is increased to two times, i.e., 1500 W.
  • As a result, for the cleaning performance of the pulse-modulated plasma cleaning in accordance with the present embodiment, the cleaning rate is lowered substantially in proportion to the duty as compared with the plasma cleaning without the pulse modulation. However, when viewed from another aspect, the pulse-modulated plasma cleaning in accordance with the present embodiment can obtain the same cleaning result or the same cleaning performance as the plasma cleaning without the pulse modulation by setting the cleaning time slightly longer depending on the duty of pulse modulation (in the inverse proportional relationship).
  • In fact, the pulse-modulated plasma cleaning in accordance with the present embodiment has a main feature that it is possible to sufficiently suppress the erosion of a surface layer portion, i.e., the upper dielectric layer 40 b of the electrostatic chuck 40.
  • In other words, in the plasma cleaning, if the first high frequency power HF for plasma generation is supplied to the susceptor 12, the cleaning gas is discharged to generate a plasma in the processing space between the susceptor (lower electrode) 12 and the shower head (upper electrode) 38. Further, a negative self-bias voltage is generated in the susceptor 12, so that an ion sheath is formed between the susceptor 12 and the plasma. Then, and positive ions in the plasma are accelerated by an electric field of the ion sheath to be incident on the upper dielectric layer 40 b of the electrostatic chuck 40.
  • The alumina ceramic (Al2O3) as the material of the upper dielectric layer 40 b has a sufficiently strong etching resistance against radicals of fluorine, oxygen and the like, but the aluminum or the ceramic (Al2O3) has a relatively weaker etching resistance to physical etching (ion sputtering) caused by the ion incidence. As a result, the upper dielectric layer 40 b made of the alumina ceramic (Al2O3) is inevitably eroded.
  • Here, if the first high frequency power HF is pulse-modulated, in the second period B during which the first high frequency power HF has the second amplitude in each cycle of modulation frequency, at least no self-bias voltage exist and the ion sputtering is stopped in the upper dielectric layer 40 b of the electrostatic chuck 40. Accordingly, the ion sputtering can be suppressed.
  • Such action of suppressing the ion sputtering by the pulse modulation has the same effect as in the case of applying the above pulse modulation in the dry etching process for the semiconductor wafer W. This can be proved in a trimming process of resist pattern, for example.
  • As shown in FIG. 4A, a sidewall of the resist pattern 100 is formed by the photolithography. Typically, a trimming process forms the sidewall of the resist pattern 100 into a finer pattern as shown in FIG. 4B. If a target etching film 104 is etched by using the thinly formed resist pattern 100 as a mask, a hole or recess 108 having a desired size can be obtained as shown in FIG. 4C. In FIGS. 4A to 4C, a reference number 102 is an antireflection coating and a reference number 106 is a base film or a base substrate.
  • In the resist process, the attempt to form a resist pattern having a desired thin size without the trimming process may cause resist collapse during the photolithography process (especially, development). To that end, after the photolithography process, the trimming process is performed to make the resist pattern to have a desired thin size.
  • However, in the trimming process, the sidewall of the resist pattern 100 is horizontally etched and an upper surface of the resist pattern 100 is vertically etched. Here, a radical based etching mainly dominantly contributes to the horizontal etching (trimming) of the resist pattern 100 and an ion based etching mainly dominantly contributes to the vertical etching (resist loss).
  • Accordingly, to proof the effect of the present invention, it is meaningful to compare a typical non-modulation (continuous wave (CW)) method with a pulse-modulation method in the trimming process in view of the radical based etching and the ion based etching.
  • From the above point of view, the comparison of the typical non-modulation (CW) method with the pulse-modulation method was performed through an experiment of the trimming process by using the capacitively coupled plasma etching apparatus (shown in FIG. 1). In the experiment of the trimming process, main conditions are as follows.
  • Diameter of semiconductor wafer: 300 mm
  • Etching gas: O2 gas/N2 gas=50 sccm/50 sccm
  • Chamber pressure: 50 mTorr
  • HF power: first amplitude/second amplitude=70 W, 80 w/0 w
  • Modulation Frequency: 10 kHz and 100 kHz
  • Duty: 50%
  • Temperature: upper electrode/sidewall of chamber/lower electrode=80/70/60° C.
  • Magnetic field: 320 G
  • Cleaning time: 20 to 26 seconds
  • FIG. 5 is a table where trimming characteristics obtained in test examples 1 to 3 and SEM pictures are illustrated.
  • Test Example 1
  • The non-modulation (CW) trimming process was carried out for 20 seconds by using a first high frequency power HF of 70 W. At a central portion of a semiconductor wafer, a vertical etching rate, i.e., a resist loss rate (PR loss) was 40.2 nm and a horizontal etching rate, i.e., a trimming amount (Trim. amount) was 45.1 nm. A trimming ratio (Trim. Ratio) was 45.1 nm/40.2 nm, i.e., 1.12. At an edge portion of the semiconductor, the resist loss rate (PR loss) was 35.6 nm and the trimming amount (Trim. amount) was 39.8 nm. The trimming ratio (Trim. ratio) was 1.12.
  • Test Example 2
  • The same pulse-modulation trimming process as the plasma cleaning of the present embodiment was carried out for 26 seconds by using the first high frequency power HF having the magnitude (magnitude of on period or first amplitude) of 70 W. At the central portion, the resist loss rate (PR loss) was 37.5 nm and the trimming amount (Trim. amount) was 53.7 nm. The trimming ratio (Trim. ratio) was 1.43. At the edge portion, the resist loss rate (PR loss) was 32.9 nm and the trimming amount (Trim. amount) was 48.4 nm. The trimming ratio (Trim. ratio) was 1.47.
  • Test Example 3
  • The same pulse-modulation trimming process as the plasma cleaning of the present embodiment was carried out for 26 seconds by using the first high frequency power HF having the magnitude (magnitude of on period or first amplitude) of 85 W. At the central portion, the resist loss rate (PR loss) was 38.2 nm and the trimming amount (Trim. amount) was 47.7 nm. The trimming ratio (Trim. ratio) was 1.24. At the edge portion, the resist loss rate (PR loss) was 32.2 nm and the trimming amount (Trim. amount) was 47.7 nm. The trimming ratio (Trim. ratio) was 1.48.
  • As described above, if the non-modulation (CW) test example 1 is compared with the pulse-modulation test examples 2 and 3, it can be seen that the radical based etching rate (trimming amount) of the non-modulation (CW) method is not significantly different from that of the pulse-modulation method. However, the ion based etching rate (resist loss rate) of the pulse-modulation method is much lower than that of the non-modulation (CW) method.
  • In other words, by turning on and off the first high frequency power HF contributing to the plasma generation at the adequate modulation frequency and duty, the pulse-modulation method yields little effect on the radical based etching but suppress an etching rate of the ion based etching. The pulse-modulation method is not limited to the trimming process and is adequate for a plasma etching in which the radical etching and the ion based etching are carried out together.
  • Furthermore, such a principle is important to the plasma cleaning process of the present embodiment. Specifically, as described above, the alumina ceramic (Al2O3) as the material of the upper dielectric layer 40 b has a sufficiently strong etching resistance against radicals of fluorine, oxygen and the like, but has a relatively weaker etching resistance to physical etching (ion sputtering) caused by the ion incidence.
  • Accordingly, if the ion sputtering becomes weak under the given conditions, the erosion of the upper dielectric layer 40 b of the electrostatic chuck 40 is inevitably reduced. As a result, it is possible to lengthen a lifespan of the electrostatic chuck 40 and suppress or prevent metal contamination. That is, it is evitable that the upper dielectric layer 40 b of the electrostatic chuck 40 is eroded by the plasma cleaning process. However, by reducing the erosion level or by making erosion rate as slow as possible, the amount of the aluminum attached to each semiconductor wafer W as the target object can be controlled within an allowable range, thereby preventing the metal contamination.
  • As such, in accordance with the plasma cleaning method of the present embodiment, it is possible to generate a pulse plasma for cleaning in the chamber 10 in which no semiconductor wafer W is provided by pulse-modulating a high frequency power HF for plasma generation supplied to the susceptor 12 at a predetermined modulation frequency or duty, thereby maintaining the cleaning performance, and effectively efficiently suppress the erosion of a surface layer portion of the electrostatic chuck 40. Accordingly, it is possible to maintain the inside of the chamber 10 as a non-deposit state and increase the lifespan of the electrostatic chuck 40. As a result, the metal contamination can be suppressed or prevented.
  • Moreover, in the present embodiment, a first type shown in FIG. 6A or a second type shown in FIG. 6B can be employed as a sequence of the plasma cleaning. In accordance to the first type, for the first high frequency power HF, the first period A and the second period B are alternately repeated at a desired cycle C between a start point of time ts and an end point of time te of the cleaning. In accordance with the second type, the first amplitude of the first high frequency power HF is maintained during a period of time Ts between a start point of time ts when the first high frequency power HF is started to be supplied to the susceptor 12 and a point of time tc; and the first period A and the second period B are alternately repeated in the first high frequency power HF at the cycle C after the point of time tc.
  • In the second type, the period of time Ts or the point of time tc may be determined depending on various conditions. For example, when the increase in the plasma generation is not sufficient because the duty of pulse modulation is relatively small, a point of time when the plasma is initially ignited after the cleaning is started may be monitored by a plasma monitor to be set the monitored point of time as the point of time tc; or the period of time Ts may be determined as an empirically obtained period of time required until the plasma becomes stable.
  • In addition, to arbitrarily control a balance between the cleaning efficiency and the erosion suppressing effect, the cleaning time may be divided into a first cleaning time for performing a rough cleaning and a second cleaning time for performing a finishing cleaning to determine the period of time Ts (between ts and tc) as the first cleaning time and a remaining period of time (between tc and te) as the second cleaning time.
  • In accordance with the plasma etching apparatus and the plasma cleaning method of the present embodiment, it is possible to obtain a sufficient performance of the cleaning process in the processing chamber and prevent erosion of the electrostatic chuck provided at the electrode to which a high frequency voltage is applied and on which a target object is mounted. Accordingly, a lifespan of the electrostatic chuck is increased, and the metal contamination is suppressed or prevented.
  • While the invention has been shown and described with respect to the embodiments, it will be understood by those skilled in the art that various changes and modification may be made without departing from the scope of the invention as defined in the following claims.
  • For example, the present embodiment is adequately applicable to a capacitively coupled plasma etching apparatus of lower side single frequency application type in which a high frequency power for plasma generation and ion attraction is supplied to a susceptor (lower electrode). Moreover, the material of the surface layer portion of the electrostatic chuck is not limited to alumina ceramic (Al2O3) Alternatively, the surface layer portion of the electrostatic chuck may be made of a dielectric material including a metal.
  • In the present invention, the target substrate is not limited to the semiconductor wafer. Alternatively, the present invention can be applied to various substrates for plat panel display, photomasks, CD substrates, print substrates, and the like.

Claims (26)

1. A plasma etching apparatus comprising:
an evacuable processing chamber;
a first electrode for mounting a target object in the processing chamber;
an electrostatic chuck provided on a mounting surface of the first electrode to hold the target object by an electrostatic force, a dielectric material of a surface layer portion of the electrostatic chuck including a metal;
a second electrode disposed to face the first electrode in parallel in the processing chamber;
an etching gas supply unit for supplying an etching gas to a processing space between the first and the second electrode to perform a dry etching process on the target object;
a cleaning gas supply unit for supplying a cleaning gas to the processing space to perform a plasma cleaning in the processing chamber without the target object;
a first high frequency power supply unit for supplying a first high frequency power to the first electrode, the first high frequency power contributing to plasma generation of the etching gas or the cleaning gas; and
a controller for controlling the first high frequency power supply unit such that a first period during which the first high frequency power has a first amplitude that generates the plasma and a second period during which the first high frequency power has a second amplitude that generates substantially no plasma are alternately repeated at a specific cycle when the plasma cleaning is performed in the processing chamber without the target object.
2. The apparatus of claim 1, wherein the dielectric material of the surface layer portion of the electrostatic chuck includes Al2O3.
3. The apparatus of claim 1, wherein the second amplitude is zero.
4. The apparatus of claim 1, wherein a frequency at which the first and the second period are alternately repeated is about 1 kHz to 60 kHz.
5. The apparatus of claim 1, wherein a duty of the first period is about 10% to 60%.
6. The apparatus of claim 1, wherein, during the plasma cleaning, the controller controls the first high frequency power supply unit such that the first high frequency power continuously has the first amplitude between a point of time when the first high frequency power is started to be supplied to the first electrode and a point of time when the plasma is ignited; and the first period and the second period are alternately repeated at the specific cycle after the point of time when the plasma is ignited.
7. The apparatus of claim 1, wherein, during the plasma cleaning, the controller controls the first high frequency power supply unit such that the first high frequency power continuously has the first amplitude until a discharge of the cleaning gas becomes stable; and the first period and the second period are alternately repeated at the specific cycle after the discharge of the cleaning gas becomes stable.
8. The apparatus of claim 1, wherein a plasma cleaning time set for the plasma cleaning is divided into a first and a second cleaning time; and the controller controls the first high frequency power supply unit such that the first high frequency power continuously has the first amplitude during the first cleaning time and the first period and the second period are alternately repeated at the specific cycle during the second cleaning time.
9. The apparatus of claim 1, wherein the controller controls the first high frequency power supply unit such that the first period and the second period are alternately repeated at the specific cycle between a start and an end point of a plasma cleaning time set for the plasma cleaning.
10. The apparatus of claim 1, wherein the cleaning gas is a gaseous mixture in which SF6 gas or NF3 gas and O2 gas are mixed.
11. The apparatus of claim 10, wherein a mixing ratio of the O2 gas to the SF6 gas or the NF3 gas is about 1.
12. The apparatus of claim 1, wherein the plasma cleaning is regularly performed in lot by lot or sheet by sheet.
13. The apparatus of claim 1, further comprising: a second high frequency power supply unit for supplying to the first electrode a second high frequency power for controlling an energy of ions attracted to the target object from the plasma of the etching gas during the dry etching process.
14. The apparatus of claim 13, wherein, when the plasma cleaning is performed in the processing chamber without the target object, the controller controls the second high frequency power supply unit not to supply the second high frequency power to the first electrode.
15. A plasma cleaning method for performing a plasma cleaning in an evacuable processing chamber without a target object in a plasma etching apparatus including:
the evacuable processing chamber;
a first electrode for mounting a target object in the processing chamber;
an electrostatic chuck provided on a mounting surface of the first electrode to hold the target object by an electrostatic force, a dielectric material of a surface layer portion of the electrostatic chuck including a metal;
a second electrode disposed to face the first electrode in parallel in the processing chamber;
an etching gas supply unit configured to supply an etching gas to a processing space between the first and the second electrode to perform a dry etching process on the target object;
a cleaning gas supply unit for supplying a cleaning gas to the processing space to perform a plasma cleaning in the processing chamber without the target object; and
a first high frequency power supply unit for supplying a first high frequency power to the first electrode, the first high frequency power contributing to plasma generation of the etching gas or the cleaning gas,
wherein a first period during which the first high frequency power has a first amplitude that generates the plasma and a second period during which the first high frequency power has a second amplitude that generates substantially no plasma are alternately repeated at a specific cycle.
16. The method of claim 15, wherein the dielectric material of the surface layer portion of the electrostatic chuck includes Al2O3.
17. The method of claim 15, wherein the second amplitude is zero.
18. The method of claim 15, wherein a frequency at which the first and the second period are alternately repeated is about 1 kHz to 60 kHz.
19. The method of claim 15, wherein a duty of the first period is about 10% to 60%.
20. The method of claim 15, wherein, during the plasma cleaning, the first high frequency power supply unit is controlled such that the first high frequency power continuously has the first amplitude between a point of time when the first high frequency power is started to be supplied to the first electrode and a point of time when the plasma is ignited; and the first period and the second period are alternately repeated at the specific cycle after the point of time when the plasma is ignited.
21. The method of claim 15, wherein, during the plasma cleaning, the first high frequency power supply unit is controlled such that the first high frequency power continuously has the first amplitude until a discharge of the cleaning gas becomes stable; and the first period and the second period are alternately repeated at the specific cycle after the discharge of the cleaning gas becomes stable.
22. The method of claim 15, wherein, wherein a plasma cleaning time set for the plasma cleaning is divided into a first and a second cleaning time; and the first high frequency power supply unit is controlled such that the first high frequency power continuously has the first amplitude during the first cleaning time and the first period and the second period are alternately repeated at the specific cycle during the second cleaning time.
23. The method of claim 15, wherein the first high frequency power supply unit is controlled such that the first period and the second period are alternately repeated at the specific cycle between a start and an end point of a plasma cleaning time set for the plasma cleaning.
24. The method of claim 15, wherein the cleaning gas is a gaseous mixture in which SF6 gas or NF3 gas and O2 gas are mixed.
25. The method of claim 24, wherein a mixing ratio of the O2 gas to the SF6 gas or the NF3 gas is about 1.
26. The method of claim 15, wherein the plasma cleaning is regularly performed lot by lot or sheet by sheet.
US12/630,155 2008-12-09 2009-12-03 Plasma etching apparatus and plasma cleaning method Abandoned US20100140221A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/035,023 US9659756B2 (en) 2008-12-09 2013-09-24 Plasma etching apparatus and plasma cleaning method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2008313100A JP5390846B2 (en) 2008-12-09 2008-12-09 Plasma etching apparatus and plasma cleaning method
JP2008-313100 2008-12-09

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/035,023 Division US9659756B2 (en) 2008-12-09 2013-09-24 Plasma etching apparatus and plasma cleaning method

Publications (1)

Publication Number Publication Date
US20100140221A1 true US20100140221A1 (en) 2010-06-10

Family

ID=42229912

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/630,155 Abandoned US20100140221A1 (en) 2008-12-09 2009-12-03 Plasma etching apparatus and plasma cleaning method
US14/035,023 Active 2031-12-20 US9659756B2 (en) 2008-12-09 2013-09-24 Plasma etching apparatus and plasma cleaning method

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/035,023 Active 2031-12-20 US9659756B2 (en) 2008-12-09 2013-09-24 Plasma etching apparatus and plasma cleaning method

Country Status (2)

Country Link
US (2) US20100140221A1 (en)
JP (1) JP5390846B2 (en)

Cited By (338)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090047795A1 (en) * 2007-08-17 2009-02-19 Tokyo Electron Limited Plasma processing apparatus, plasma processing method and storage medium
US20120289049A1 (en) * 2011-05-10 2012-11-15 Applied Materials, Inc. Copper oxide removal techniques
US20130220547A1 (en) * 2012-02-14 2013-08-29 Tokyo Electron Limited Substrate processing apparatus
US20140158154A1 (en) * 2012-12-12 2014-06-12 Tokyo Electron Limited Method of modifying electrostatic chuck and plasma processing apparatus
US20140262030A1 (en) * 2013-03-13 2014-09-18 Douglas A. Buchberger, Jr. Fast response fluid control system
US20150013908A1 (en) * 2012-01-23 2015-01-15 Tokyo Electron Limited Etching apparatus
US20150056817A1 (en) * 2013-08-26 2015-02-26 Tokyo Electron Limited Semiconductor device manufacturing method
US20150118846A1 (en) * 2013-10-28 2015-04-30 Asm Ip Holding B.V. Method For Trimming Carbon-Containing Film At Reduced Trimming Rate
US20150114930A1 (en) * 2013-10-31 2015-04-30 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US20150123541A1 (en) * 2013-11-06 2015-05-07 Applied Materials, Inc. Particle generation suppresspr by dc bias modulation
US20150170882A1 (en) * 2013-12-12 2015-06-18 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US9073385B2 (en) 2010-10-08 2015-07-07 Panasonic Intellectual Property Management Co., Ltd. Plasma processing method for substrates
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9659756B2 (en) 2008-12-09 2017-05-23 Tokyo Electron Limited Plasma etching apparatus and plasma cleaning method
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
TWI632606B (en) * 2014-06-19 2018-08-11 東京威力科創股份有限公司 Method of etching an insulating film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US20200273683A1 (en) * 2019-02-27 2020-08-27 Hitachi High-Technologies Corporation Plasma processing method and plasma processing apparatus
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US20200402779A1 (en) * 2017-09-29 2020-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Process and related device for removing by-product on semiconductor processing chamber sidewalls
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN112259457A (en) * 2016-07-15 2021-01-22 东京毅力科创株式会社 Plasma etching method, plasma etching apparatus, and substrate mounting table
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
CN114899086A (en) * 2022-05-15 2022-08-12 安徽森米诺农业科技有限公司 Method for cleaning polluted impurities of semiconductor wafer
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11956977B2 (en) 2021-08-31 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9673037B2 (en) 2011-05-31 2017-06-06 Law Research Corporation Substrate freeze dry apparatus and method
KR101575505B1 (en) 2014-07-21 2015-12-07 주식회사 스피드터치 Apparatus for controlling process temperature
TWI629116B (en) * 2016-06-28 2018-07-11 荏原製作所股份有限公司 Cleaning apparatus, plating apparatus using the same, and cleaning method
JP6609535B2 (en) * 2016-09-21 2019-11-20 株式会社日立ハイテクノロジーズ Plasma processing method
US10395884B2 (en) * 2017-10-10 2019-08-27 Kla-Tencor Corporation Ruthenium encapsulated photocathode electron emitter
US11164759B2 (en) 2018-05-10 2021-11-02 Micron Technology, Inc. Tools and systems for processing one or more semiconductor devices, and related methods
JP2022062903A (en) 2020-10-09 2022-04-21 東京エレクトロン株式会社 Cleaning method and protective member

Citations (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5698062A (en) * 1993-11-05 1997-12-16 Tokyo Electron Limited Plasma treatment apparatus and method
US5997687A (en) * 1996-08-23 1999-12-07 Tokyo Electron Limited Plasma processing apparatus
US6009828A (en) * 1995-02-17 2000-01-04 Sharp Kabushiki Kaisha Method for forming a thin semiconductor film and a plasma CVD apparatus to be used in the method
US6074518A (en) * 1994-04-20 2000-06-13 Tokyo Electron Limited Plasma processing apparatus
US6089181A (en) * 1996-07-23 2000-07-18 Tokyo Electron Limited Plasma processing apparatus
US6110287A (en) * 1993-03-31 2000-08-29 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US6165376A (en) * 1997-01-16 2000-12-26 Nissin Electric Co., Ltd. Work surface treatment method and work surface treatment apparatus
US6214162B1 (en) * 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US20010022293A1 (en) * 1999-12-27 2001-09-20 Kenji Maeda Plasma processing equipment and plasma processing method using the same
US6372654B1 (en) * 1999-04-07 2002-04-16 Nec Corporation Apparatus for fabricating a semiconductor device and method of doing the same
US6433297B1 (en) * 1999-03-19 2002-08-13 Kabushiki Kaisha Toshiba Plasma processing method and plasma processing apparatus
US20030007308A1 (en) * 2000-01-21 2003-01-09 Yoshio Harada Electrostatic chuck member and method of producing the same
US6562190B1 (en) * 2000-10-06 2003-05-13 Lam Research Corporation System, apparatus, and method for processing wafer using single frequency RF power in plasma processing chamber
US6642149B2 (en) * 1998-09-16 2003-11-04 Tokyo Electron Limited Plasma processing method
US20040195216A1 (en) * 2001-08-29 2004-10-07 Strang Eric J. Apparatus and method for plasma processing
US20040221958A1 (en) * 2003-05-06 2004-11-11 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
US20040242021A1 (en) * 2003-05-28 2004-12-02 Applied Materials, Inc. Method and apparatus for plasma nitridation of gate dielectrics using amplitude modulated radio-frequency energy
US20040250954A1 (en) * 2003-06-12 2004-12-16 Samsung Electronics Co., Ltd. Plasma chamber
US20050103441A1 (en) * 2001-11-14 2005-05-19 Masanobu Honda Etching method and plasma etching apparatus
US20050183822A1 (en) * 2002-04-26 2005-08-25 Tetsuo Ono Plasma processing method and plasma processing apparatus
US20050241762A1 (en) * 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
US20060118044A1 (en) * 2004-12-03 2006-06-08 Shinji Himori Capacitive coupling plasma processing apparatus
US20060154472A1 (en) * 2005-01-13 2006-07-13 Tokyo Electron Limited Etching method, program, computer readable storage medium and plasma processing apparatus
US20070181146A1 (en) * 2000-03-27 2007-08-09 Semiconductor Energy Laboratory Co., Ltd. Plasma cvd apparatus and dry cleaning method of the same
US20080026488A1 (en) * 2006-07-31 2008-01-31 Ibm Corporation Method and apparatus for detecting endpoint in a dry etching system by monitoring a superimposed DC current
US20080106842A1 (en) * 2006-11-06 2008-05-08 Tokyo Electron Limited Mounting device, plasma processing apparatus and plasma processing method
US20080230008A1 (en) * 2007-03-21 2008-09-25 Alexander Paterson Plasma species and uniformity control through pulsed vhf operation
US20090183771A1 (en) * 2006-06-23 2009-07-23 Hitoshi Sannomiya Plasma processing apparatus, plasma processing method and photoelectric conversion element

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2598274B2 (en) 1987-09-14 1997-04-09 三菱電機株式会社 Plasma application equipment
JP2000156370A (en) 1998-09-16 2000-06-06 Tokyo Electron Ltd Method of plasma processing
JP2000260595A (en) 1999-03-11 2000-09-22 Hitachi Ltd Plasma treatment apparatus
JP2001210705A (en) 2000-01-28 2001-08-03 Toshiba Corp Electrostatic chuck, treatment equipment and method of manufacturing semiconductor device
JP2001313284A (en) 2000-02-21 2001-11-09 Hitachi Ltd Method and apparatus for plasma processing
JP3960792B2 (en) 2001-12-21 2007-08-15 シャープ株式会社 Plasma CVD apparatus and method for manufacturing amorphous silicon thin film
JP3927464B2 (en) 2002-04-26 2007-06-06 株式会社日立ハイテクノロジーズ Plasma processing method
JP4033730B2 (en) * 2002-07-10 2008-01-16 東京エレクトロン株式会社 Substrate mounting table for plasma processing apparatus, plasma processing apparatus, and base for plasma processing apparatus
JP2006086325A (en) * 2004-09-16 2006-03-30 Tokyo Electron Ltd End point detecting method of cleaning
JP5323303B2 (en) 2004-12-03 2013-10-23 東京エレクトロン株式会社 Plasma processing equipment
CN100539000C (en) 2004-12-03 2009-09-09 东京毅力科创株式会社 Capacitive coupling plasma processing apparatus
JP2008004814A (en) * 2006-06-23 2008-01-10 Sharp Corp Plasma processing equipment
JP4245012B2 (en) 2006-07-13 2009-03-25 東京エレクトロン株式会社 Processing apparatus and cleaning method thereof
JP5192209B2 (en) * 2006-10-06 2013-05-08 東京エレクトロン株式会社 Plasma etching apparatus, plasma etching method, and computer-readable storage medium
JP4992389B2 (en) * 2006-11-06 2012-08-08 東京エレクトロン株式会社 Mounting apparatus, plasma processing apparatus, and plasma processing method
JP4469364B2 (en) * 2006-12-11 2010-05-26 キヤノンアネルバ株式会社 Insulating film etching equipment
JP5390846B2 (en) 2008-12-09 2014-01-15 東京エレクトロン株式会社 Plasma etching apparatus and plasma cleaning method

Patent Citations (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6110287A (en) * 1993-03-31 2000-08-29 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US5698062A (en) * 1993-11-05 1997-12-16 Tokyo Electron Limited Plasma treatment apparatus and method
US6074518A (en) * 1994-04-20 2000-06-13 Tokyo Electron Limited Plasma processing apparatus
US6009828A (en) * 1995-02-17 2000-01-04 Sharp Kabushiki Kaisha Method for forming a thin semiconductor film and a plasma CVD apparatus to be used in the method
US6089181A (en) * 1996-07-23 2000-07-18 Tokyo Electron Limited Plasma processing apparatus
US5997687A (en) * 1996-08-23 1999-12-07 Tokyo Electron Limited Plasma processing apparatus
US6214162B1 (en) * 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US6165376A (en) * 1997-01-16 2000-12-26 Nissin Electric Co., Ltd. Work surface treatment method and work surface treatment apparatus
US6642149B2 (en) * 1998-09-16 2003-11-04 Tokyo Electron Limited Plasma processing method
US6433297B1 (en) * 1999-03-19 2002-08-13 Kabushiki Kaisha Toshiba Plasma processing method and plasma processing apparatus
US6372654B1 (en) * 1999-04-07 2002-04-16 Nec Corporation Apparatus for fabricating a semiconductor device and method of doing the same
US20010022293A1 (en) * 1999-12-27 2001-09-20 Kenji Maeda Plasma processing equipment and plasma processing method using the same
US20030007308A1 (en) * 2000-01-21 2003-01-09 Yoshio Harada Electrostatic chuck member and method of producing the same
US20070181146A1 (en) * 2000-03-27 2007-08-09 Semiconductor Energy Laboratory Co., Ltd. Plasma cvd apparatus and dry cleaning method of the same
US6562190B1 (en) * 2000-10-06 2003-05-13 Lam Research Corporation System, apparatus, and method for processing wafer using single frequency RF power in plasma processing chamber
US20040195216A1 (en) * 2001-08-29 2004-10-07 Strang Eric J. Apparatus and method for plasma processing
US20050103441A1 (en) * 2001-11-14 2005-05-19 Masanobu Honda Etching method and plasma etching apparatus
US20050183822A1 (en) * 2002-04-26 2005-08-25 Tetsuo Ono Plasma processing method and plasma processing apparatus
US20070184562A1 (en) * 2002-04-26 2007-08-09 Tetsuo Ono Plasma Processing Method And Plasma Processing Apparatus
US20040221958A1 (en) * 2003-05-06 2004-11-11 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
US20060216944A1 (en) * 2003-05-28 2006-09-28 Kraus Philip A Method and apparatus for plasma nitridation of gate dielectrics using amplitude modulated radio-frequency energy
US20040242021A1 (en) * 2003-05-28 2004-12-02 Applied Materials, Inc. Method and apparatus for plasma nitridation of gate dielectrics using amplitude modulated radio-frequency energy
US20040250954A1 (en) * 2003-06-12 2004-12-16 Samsung Electronics Co., Ltd. Plasma chamber
US20050241762A1 (en) * 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
US20060118044A1 (en) * 2004-12-03 2006-06-08 Shinji Himori Capacitive coupling plasma processing apparatus
US20060154472A1 (en) * 2005-01-13 2006-07-13 Tokyo Electron Limited Etching method, program, computer readable storage medium and plasma processing apparatus
US20090183771A1 (en) * 2006-06-23 2009-07-23 Hitoshi Sannomiya Plasma processing apparatus, plasma processing method and photoelectric conversion element
US20080026488A1 (en) * 2006-07-31 2008-01-31 Ibm Corporation Method and apparatus for detecting endpoint in a dry etching system by monitoring a superimposed DC current
US20080106842A1 (en) * 2006-11-06 2008-05-08 Tokyo Electron Limited Mounting device, plasma processing apparatus and plasma processing method
US20080230008A1 (en) * 2007-03-21 2008-09-25 Alexander Paterson Plasma species and uniformity control through pulsed vhf operation

Cited By (446)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8703002B2 (en) 2007-08-17 2014-04-22 Tokyo Electron Limited Plasma processing apparatus, plasma processing method and storage medium
US20090047795A1 (en) * 2007-08-17 2009-02-19 Tokyo Electron Limited Plasma processing apparatus, plasma processing method and storage medium
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9659756B2 (en) 2008-12-09 2017-05-23 Tokyo Electron Limited Plasma etching apparatus and plasma cleaning method
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9073385B2 (en) 2010-10-08 2015-07-07 Panasonic Intellectual Property Management Co., Ltd. Plasma processing method for substrates
US20120289049A1 (en) * 2011-05-10 2012-11-15 Applied Materials, Inc. Copper oxide removal techniques
US8758638B2 (en) * 2011-05-10 2014-06-24 Applied Materials, Inc. Copper oxide removal techniques
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US20150013908A1 (en) * 2012-01-23 2015-01-15 Tokyo Electron Limited Etching apparatus
US9691643B2 (en) * 2012-01-23 2017-06-27 Tokyo Electron Limited Etching apparatus
US20130220547A1 (en) * 2012-02-14 2013-08-29 Tokyo Electron Limited Substrate processing apparatus
US9390943B2 (en) * 2012-02-14 2016-07-12 Tokyo Electron Limited Substrate processing apparatus
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140158154A1 (en) * 2012-12-12 2014-06-12 Tokyo Electron Limited Method of modifying electrostatic chuck and plasma processing apparatus
US9558919B2 (en) * 2012-12-12 2017-01-31 Tokyo Electron Limited Method of modifying electrostatic chuck and plasma processing apparatus
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US9916967B2 (en) * 2013-03-13 2018-03-13 Applied Materials, Inc. Fast response fluid control system
US20140262030A1 (en) * 2013-03-13 2014-09-18 Douglas A. Buchberger, Jr. Fast response fluid control system
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
CN104425242A (en) * 2013-08-26 2015-03-18 东京毅力科创株式会社 Semiconductor device manufacturing method
US9082720B2 (en) * 2013-08-26 2015-07-14 Tokyo Electron Limited Semiconductor device manufacturing method
US20150056817A1 (en) * 2013-08-26 2015-02-26 Tokyo Electron Limited Semiconductor device manufacturing method
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9343308B2 (en) * 2013-10-28 2016-05-17 Asm Ip Holding B.V. Method for trimming carbon-containing film at reduced trimming rate
US20150118846A1 (en) * 2013-10-28 2015-04-30 Asm Ip Holding B.V. Method For Trimming Carbon-Containing Film At Reduced Trimming Rate
US9330891B2 (en) * 2013-10-31 2016-05-03 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
TWI609994B (en) * 2013-10-31 2018-01-01 Tokyo Electron Ltd Plasma processing method and plasma processing device
US20150114930A1 (en) * 2013-10-31 2015-04-30 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US10504697B2 (en) 2013-11-06 2019-12-10 Applied Materials, Inc. Particle generation suppresor by DC bias modulation
US9593421B2 (en) * 2013-11-06 2017-03-14 Applied Materials, Inc. Particle generation suppressor by DC bias modulation
US9892888B2 (en) 2013-11-06 2018-02-13 Applied Materials, Inc. Particle generation suppresor by DC bias modulation
US20150123541A1 (en) * 2013-11-06 2015-05-07 Applied Materials, Inc. Particle generation suppresspr by dc bias modulation
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US11315765B2 (en) 2013-12-12 2022-04-26 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US10283328B2 (en) * 2013-12-12 2019-05-07 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US20150170882A1 (en) * 2013-12-12 2015-06-18 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
TWI632606B (en) * 2014-06-19 2018-08-11 東京威力科創股份有限公司 Method of etching an insulating film
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
CN112259457A (en) * 2016-07-15 2021-01-22 东京毅力科创株式会社 Plasma etching method, plasma etching apparatus, and substrate mounting table
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US20200402779A1 (en) * 2017-09-29 2020-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Process and related device for removing by-product on semiconductor processing chamber sidewalls
US11710622B2 (en) * 2017-09-29 2023-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Process and related device for removing by-product on semiconductor processing chamber sidewalls
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
CN111868890B (en) * 2019-02-27 2024-03-22 株式会社日立高新技术 Plasma processing method and plasma processing apparatus
CN111868890A (en) * 2019-02-27 2020-10-30 株式会社日立高新技术 Plasma processing method and plasma processing apparatus
US20200273683A1 (en) * 2019-02-27 2020-08-27 Hitachi High-Technologies Corporation Plasma processing method and plasma processing apparatus
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11956977B2 (en) 2021-08-31 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114899086A (en) * 2022-05-15 2022-08-12 安徽森米诺农业科技有限公司 Method for cleaning polluted impurities of semiconductor wafer
US11952658B2 (en) 2022-10-24 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material

Also Published As

Publication number Publication date
US9659756B2 (en) 2017-05-23
JP5390846B2 (en) 2014-01-15
US20140020709A1 (en) 2014-01-23
JP2010140944A (en) 2010-06-24

Similar Documents

Publication Publication Date Title
US9659756B2 (en) Plasma etching apparatus and plasma cleaning method
US9972503B2 (en) Etching method
US9034198B2 (en) Plasma etching method
TWI460786B (en) A plasma processing apparatus, a plasma processing method, and a memory medium
US8679358B2 (en) Plasma etching method and computer-readable storage medium
US9478387B2 (en) Plasma processing apparatus
US9852922B2 (en) Plasma processing method
US20120145186A1 (en) Plasma processing apparatus
US9530666B2 (en) Plasma etching method and plasma etching apparatus
US10770268B2 (en) Plasma processing method and plasma processing apparatus
US8545671B2 (en) Plasma processing method and plasma processing apparatus
TWI743123B (en) Plasma processing method
US20100144157A1 (en) Plasma etching apparatus and method
US9818582B2 (en) Plasma processing method
US20060289296A1 (en) Plasma processing method and high-rate plasma etching apparatus
JP2016086046A (en) Plasma processing method
US10233535B2 (en) Plasma processing apparatus and plasma processing method
US20220139719A1 (en) Etching method and plasma processing apparatus

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO ELECTRON LIMITED,JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:KIKUCHI, TAKAMICHI;REEL/FRAME:023600/0527

Effective date: 20091201

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION