TW540093B - Atomic layer deposition system and method - Google Patents
Atomic layer deposition system and method Download PDFInfo
- Publication number
- TW540093B TW540093B TW91106623A TW91106623A TW540093B TW 540093 B TW540093 B TW 540093B TW 91106623 A TW91106623 A TW 91106623A TW 91106623 A TW91106623 A TW 91106623A TW 540093 B TW540093 B TW 540093B
- Authority
- TW
- Taiwan
- Prior art keywords
- gas
- substrate
- chamber
- processing
- deposition
- Prior art date
Links
Landscapes
- Chemical Vapour Deposition (AREA)
Abstract
Description
540093 A7 五、發明説明(彳) 發明部份 (請先閲讀背面之注意事項再填寫本頁) 本發明係有關進步之薄膜沉積裝置及方法,用於半導 體處理及有關之技術上。 背景 隨積體電路(I C )尺寸之縮小,在低沉積溫度上沉 積具有優良之階覆蓋之保形之薄膜層之能力變爲更加重要 。薄膜層用於例如Μ〇S F E T閘介質,D R A Μ電容器 介質,黏著促進層,擴散障壁層,及供其後沉積步驟用之 種子層。需要低溫處理,例如,用以防止蔭蔽接面之不需 要之擴散,更佳控制一些反應,並防止先沉積之材料及其 介面變壞。 在筒寬高比之溝及通孔,諸如半導體晶片之金屬化層 中所用者,具有優良階覆蓋之保形薄膜之需求特別重要。 例如,銅互接技術需要連續之薄膜障壁層及連續之薄膜銅 種子層,以塗覆絕緣介質中所構製之溝及通孔之表面,然 後由電化學沉積(E C D或電鍍)法以銅塡於此等特色中 經濟部智慧財產局員工消費合作社印製540093 A7 V. Description of the invention (彳) Inventive part (Please read the notes on the back before filling out this page) The present invention is an advanced thin film deposition device and method for semiconductor processing and related technologies. Background As the size of integrated circuit (IC) shrinks, the ability to deposit conformal thin film layers with excellent step coverage at low deposition temperatures becomes more important. The thin film layer is used in, for example, MOS F E T gate dielectric, DR A M capacitor dielectric, adhesion promotion layer, diffusion barrier layer, and seed layer for subsequent deposition steps. Low temperature processing is needed, for example, to prevent unwanted diffusion of the shadow interface, to better control some reactions, and to prevent the previously deposited material and its interface from being damaged. For use in trench aspect ratios and vias, such as metallized layers of semiconductor wafers, the need for conformal films with excellent step coverage is particularly important. For example, copper interconnect technology requires a continuous thin film barrier layer and a continuous thin copper seed layer to coat the surface of trenches and vias constructed in an insulating medium, and then to copper by electrochemical deposition (ECD or electroplating)塡 Printed in these characteristics by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs
〇 需要高度保形,連續之障壁層,以防止銅擴散進入鄰 近之半導體(即矽)材料或介質中。障壁層亦常用作黏著 層,用以促進介質及銅種子層間之黏合。低介質常數(即 低k )介質普通用以減小線間及線內電容及竄擾,但常遭 受黏著較差及熱穩定性低於傳統氧化物介質,使適當黏著 層之選擇更關緊要。一非保形之障壁層,或具有不良之階 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X297公釐) -4- 540093 A7 _B7___ 五、發明説明(2 ) (請先閱讀背面之注意事項再填寫本頁) 覆蓋或不連續之階覆蓋之障壁層會導致銅擴散,及電流漏 於相鄰金屬線之間,或障壁至介質或障壁至種子層介面處 分開,二者對產品壽命及性能有不利影響。障壁層亦應均 勻薄,以最精確轉移其下之溝及通孔側壁形狀至其後之種 子層,並具有低電阻係數(例如p < 5 0 0 // Ω - c m ) ,以減輕其對銅互接結構之整個傳導率之影響。 需要具有低缺陷密度之高度保形,均句薄,連續之種 子層,以避免空虛點形成於銅線中。種子層攜帶電鍍電流 ,並用作核聚層。空虛點可由種子層中之不連續點或其他 缺陷產生,或可由於種子層整個懸吊於特色,溝及通孔之 頂所引起之挾掉所形成。空虛點對銅線之電阻,電遷移, 及可靠性有不利影響。 經濟部智慧財產局員工消費合作社印製 傳統之薄膜沉積技術,例如物理蒸氣沉積(P V D ) 及化學蒸氣沉積技術(C V D )愈爲不能滿足高級薄膜之 需求。P V D,諸如濺散經用於在低成本及相當低基體溫 度上沉積導電性薄膜。不幸,P V D本質上爲一視線式處 理,在局寬咼比之溝及通孔中產生不良之階覆蓋。對付此 問題之P V D技術之進步導致成本高,複雜,及可靠性問 題。C V D處理可修改,以提供具有改良之階覆蓋之保形 薄膜。不幸,C V D處理通常要高處理溫度,導致增加雜 質濃度,及先質(或反應劑)利用效率不良,引起擁有者 成本提高。 原子層沉農..(A L D ),或原子層化學蒸氣沉積( AL· — CVD)爲另一傳統CVD方法,用以沉積薄之薄 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公^一 — -5- 540093 A7 ____ B7 五、發明説明(3 ) 膜。A L D較之P V D或傳統之C V D具有若干優點。 (請先閲讀背面之注意事項再填寫本頁) A L D可在較低之溫度(此符合朝向較低溫度之工業趨勢 )上執行,具有高先質利用效率,能產生保形之薄膜層( 即埋論上可1 0 0 %階覆蓋),能在一原子尺度上控制薄 膜厚度,且可用於”奈米工程”複雜之薄膜。 一典型之A L D處理與傳統之C V D處理大不相同。 在典型之CVD處理中,二或更多反應劑氣體在沉積室中 混合一起,在此,此等在氣相中反應,並沉積於基體表面 上,或此等在基體表面上直接反應。CVD沉積在特定長 之時間中發生,視所需之沉積薄膜厚度而定。由於此特定 時間爲反應劑進入室中之流率之函數,故所需之時間在各 室可不相同。 經濟部智慈財產局員工消費合作社印製 ... ................... i 在一典型A L D處理沉積循環中,每一反應劑氣體依 次引進於室中,故無氣相混合發生。一單層之第一反應劑 以物理或化學吸附於基體表面上。多餘之第一反應劑可籍 助惰性滌淸氣體抽出。一第二反應劑引進於沉積室中,並 與第一反應劑反應,經由自我限制表面反應,產生一單層 之所需之薄膜。一旦初始吸附之反應劑與第二反應劑完全 反應時,自我限制反應停止。多餘之第二反應劑亦可籍助 惰性滌淸氣體抽出。由視需要重複沉積循環,獲得所需薄 膜厚度。由簡單計算沉積循環數,可控制薄膜厚度至原子 層之精確度(埃尺度)。 物理吸附之先質僅弱附著於基體上。化學吸附生較強 ,較爲需要之鍵合。當吸附之先質分子與活性表面位置化 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) - 6 - 540093 Α7 Β7 五、發明説明(4 ) 學反應時,發生化學吸附。化學吸附通常包含自先質裂離 一弱鍵合之配合基(先質之一部份),留下未滿足之鍵, 可用以與一活性表面位置反應。 基體材料可影響化學吸附。在目前之雙鑲嵌銅互接結 構中,一障壁層,諸如鉬(丁 a )或氮化鉅(丁 a n )需 常同時覆蓋二氧化政(S i〇2 ),低k介質,氮化物蝕刻 停止層,及任何其下之金屬,諸如銅。材料常呈現不同之 化學fi*爲,尤其是氧化物對金屬。而且,表面淸潔度對適 當之化學吸附甚重要,因爲雜質會佔據表面鍵合位置。不 完全之化學吸附會產生多孔薄膜,不完全之階覆蓋,所沉 積之薄膜及其下基體間之附著不良,及低薄膜密度。 A L D處理溫度需細心選擇,俾第一反應劑充分吸附 (例如化學吸附)於基體表面上,並以充足之生長率及薄 膜純度發生沉積反應。太高之溫度會導致第一反應劑之解 吸或分解(引起雜質混入)。太低之溫度會導致第一先質 不完全之化學吸附,緩慢或不完全之沉積反應,無沉積反 應,或不良之薄膜品質(例如,高電阻係數,低密度,不 良之附著,及/或高雜質含量)。 傳統之A L D處理具有若干缺點。第一,由於該處理 爲完全熱處理,故適當處理溫度之選擇常限於一狹窄之溫 度窗中。第二,小溫度窗限制可用之先質之選擇。第三, 適合該溫度窗之金屬先質常爲鹵化物(例如,包含氯,氟 ,或溴之化合物),此等爲腐鈾性,且會在金屬互接處產 生可靠性問題。第四,氣體氫(Η 2 )或元素鋅(Ζ η )常 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 气 I --------衣-- (請先閱讀背面之注意事項再填寫本頁) 訂 f 經濟部智慧財產局員工消費合作社印製 -7- 540093 A7 B7 五、發明説明(5 ) (請先閲讀背面之注意事項再填寫本頁) 用作第二反應劑,作用如還原劑,使第一反應劑中之金屬 化合物還回爲最後薄膜之所需氧化狀態。不幸,Η 2由於其 化學穩定性,爲一非有效之還原劑,及Ζ η具有低揮發性 ,且通常不與1C製造法相容。故此,.雖普通ALD反應 器適用於高溫A L D,但限制A L D處理技術之進步。 電漿加強之A L D亦稱爲原子團加強之原子層沉積( R E A L D ),提出用以對付傳統熱A L D之溫度限制。 例如,在美專利5,916,3 6 5,第二反應劑通過射 頻(R F )輝光放電或電漿,以分解第二反應劑,並形成 反應性原子團族群,俾在較低處理溫度上驅動沉積反應。 電漿加強之A L D之更多資訊包含於”用於互接擴散障壁 之T a及T i之電漿加強之原子層沉積”,S.M.Rossnagel 所作,真空科學及技術,B 1 8 ( 4 ),2 0 0 0年7 / 8 月號,2 0 16 — 2020 頁。 經濟部智慧財產局員工消費合作社印製 然而,電漿加強之A L D仍具有若干缺點。第一,此 保持與傳A L D相似之一熱處理,因爲基體溫度提供所需 之活化能量,且故此,沉積反應之主要控制。第二,雖可 在低溫上處理,但仍使用較高之溫度,以產生合理之生長 率,俾產出可接受。此溫度對有關I C製造之一些薄膜, 尤其是聚合物基礎之低k介質(此僅在至高2 〇 〇 °C或以 下之溫度上穩定)仍嫌太高。第三,金屬先質,尤其是鉅 (T a )常仍含有氯及氧雜質,此產生低密度或多孔薄膜 ,具有不良之障壁行爲及化學不穩定性。第四,與上述之 普通依次A L D處理同樣,電漿加強之A L D處理基本上 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X297公釐) " ~ -8 ^ 540093 A7 _ ___B7 五、發明説明(6 ) 緩慢,因爲此包含至少一反應劑氣體及至少二滌淸或抽氣 步驟,此使用普通閥及室技術,需時數分鐘。 (請先閲讀背面之注意事項再填寫本頁) 含有電漿加強A L D反應器之普通A L D反應器包含 一可垂直移動之基座,以達成縮處理空間,此對A L D甚 重要。小空間較之大空間更容易及迅速抽氣(例如多餘之 反應劑),可快速切換處理氣體。而且,在沉積期間中需 用較少之先質來完成化學吸附。例如,美專利 6, 174, 377 號及歐專利 1,〇52, 3 0 9 A 2 之反應器之特色爲一較小之處理空間在一較大基體轉移空 間上方。在實際上,一普通轉移順序包括輸送一基體進入 轉移空間中,並置其於一可移動之基座上。基座然後垂直 升起,以形成處理空間之底部,且從而移動基體進入處理 空間中。如此,可移動基座具有至少一垂直移動及可能一 第二轉動自由度(以獲得高溫處理均勻性)。 經濟部智慧財產局員工消費合作社印製 普通ALD反應器具有重大之缺點。第一,普通 A L D反應器有複雜之基座需求,因爲許多設施(例如加 熱器電力線,溫度監視器線,及冷卻劑通道)需連接至移 動之基座,並置於其內。第二,在電漿加強A L D之情形 ,用於沉積導電性薄膜之原子團移送之效率在下游構造中 大爲下降,在此,產生電漿之原子團容納於一分開之容器 中,此遠離主處理室(閱美專利5, 916, 3 5 5 )。 氣相及壁二者再結合降低可用之原子團至基體之流率。在 原子氫(Η )之情形,再結合產生二原子Η 2,一效力遠差 之還原劑。已知之A L D反應器有其他缺點。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ' -9 - 540093 Μ Β7 五、發明説明(7 ) (請先閲讀背面之注意事項再填寫本頁) 故此,需要改良之ALD反應器,使ALD更適用於 商業I C製造。此反應器所需之特性可包括較高之產出, 改良之沉積薄膜特性,對狹窄之處理溫度窗較佳之溫度控 制,較寬之處理窗(例如,尤其是講處理溫度及反應劑族 群方面)。 槪要 依據本發明之一實施例,一沉積系統包含一處理室, 一固定基座用以支持一基體於處理室中,及一可移動罩形 成界定處理室之圍壁之至少一部份。罩對固定基座之移動 控制一·可變氣體傳導徑路,供氣體流過處理室,從而調變 處理室與外部空間相對之壓力。本發明之一實施例之可移 動罩可包含若干氣體通道開口,用以引進各種處理氣體於 處理室中。在一些實施例,可移動罩亦可或另包含一內部 冷卻或加熱通道,用於溫度控制。 經濟部智慧財產局員工消費合作社印製 本發明之實施例之固定基座包含一靜電卡盤(E S C ),用以加強交連RF電力至基體,可提高離子產生,離 子能量控制,及均勻移送離子。而且,E S C之使用聯同 適當氣體媒質之插入於E S C及基體間之區域中提供改善 溫度控制及均勻性。 沉積系統可爲反應器之一部份,用於障壁層,附著層 ,種子層,低介質常數(低k)薄膜,高介質常數(高k )薄膜,及用於進步之積體電路製造技術中之其他薄膜之 原子層沉積(A L D )。該沉積系統可用以沉積障壁層, 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X 297公釐) 一 -10 - 540093 A7 B7 五、發明説明(3 ) 附著層,種子層,低介質當數(低k )薄膜,高介質常數 (高k )薄膜,及用於進步之積體電路製造技術中之其他 薄膜。 本發明之實施例之沉積系統提供若干優點。該系統可 由一非溫度機程觸發沉積反應,導致在較低之溫度上沉積 較高品質之薄膜。包含沉積期間中之壓力在內之沉積處理 參數可迅速及較普通所可能者更有效調變,導致自我同步 沉積及較高產出。由連接R F電力至固定基座,該系統可 改良離子產生,離子能量控制,離子空間均勻性,及均勻 之離子移送,用於調變,離子誘發之沉積。固定基座/可 移動罩構造簡化整個系統設計。與普通笨重,可移動之基 座相較,本發明之一實施例中之罩可由線性馬達快速及精 確定位,以改良性能。該系統使氣體可通過多個點,可能 包括通過該罩引進,此增加沉積處理設計之彈性。而且, 可由固定之基座/可移動罩構造達成較小之系統體積。 依據本發明之一實施例,沉積系統包含一處理室,用 以執行A L D處理,以沉積各層於一基體上。一 E S C保 持該基體。說明E S C及各種偏壓電路之各種特色。r ρ 電力連接至處理室中之電極,以產生離子及反應性原子。 在一實施例,R F電力產生電漿。說明各種r f產生電路 。A L D處理系統之實施例可改善離子產生,改善離子能 量控制,及均句移送離子至基體。 在本發明之一實施例,一沉積系統包含一背面氣體, 此增加基體及E S C間之熱交連。e S C經由流過一冷卻 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) " '^ -11 - (請先閲讀背面之注意事項再填寫本頁} 訂 Φ 經濟部智慧財產局員工消費合作社印製 540093 經濟部智慧財產局員工消費合作社印製 A7 B7五、發明説明(9 ) 板之冷卻劑冷卻,並經由一電子加熱器加熱。 依據本發明之一實施例,含有基體之室具有至少一處 理氣體,引進用以與基體之一表面反應,以製造一層於基 體上。該氣體產生一壓力於室中。在特定時刻,驅出該氣 體,以終止反應,及降低氣體壓力。室中壓力改變之偵測 自動控制閥,以供應一第二氣體進入室中,俾與基體表面 再反應。 依據本發明之一實施例,一沉積技術爲一 A L D處理 ,以依次供應至少二分開之反應劑於處理室中爲基礎。一 第一反應劑經由化學吸附與基體表面反應(吸附),第一 反應劑氣體移出該室,及一第二反應劑氣體與吸附之反應 劑反應,以形成一單層之所需薄膜。重複此處理,以製造 任何厚度之一層。爲減少處理時間,不使用分開之滌淸氣 體,以滌淸該室中之第一反應劑氣體,然後引進包含第二 反應劑之第二氣體。代之者,滌淸氣體亦包含第二反應劑 。如此,引進第一氣體及第二氣體之間可非常小或無延遲 。在一實施例,使用R F源產生第二氣體之電漿,此形成 活力離子及反應性原子,用以在低溫上驅動反應。該處理 爲自我限制。由計數循環,精確控制層厚度。 依據本發明之一實施例,加偏壓於靜電卡盤組件中之 電極,以產生偏壓於基體上,俾吸引室中荷電之氣體離子 至基體。導致加強化學吸附。 依據本發明之一實施例,安排並控制多個閥,以選擇 引進處理氣體於室中。 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) (請先閲讀背面之注意事項再填寫本頁) 衣. 訂 f -12- 540093 A7 B7 五、發明説明(10 ) 依據本發明之一實施例,一沉積系統包含一處理室及 一或更多氣體入口。一氣體入口製成一環,包圍處理室中 所保持之基體之周邊。由此環獲得控制沉積處理。在一實 施例,該環可移動。說明氣體入口及閥之各種安排。 依據本發明之一實施例,取代由控制處理室之氣體上 游之流量來改變室中之基體上之氣體流率者,由控制處理 室及處理室外之一較低壓力空間之間之傳導率,控制基體 上之氣體流率。基體上之氣體流率與室傳導率相反變化, 俾當傳導率減小時,基體上之氣體流率增加。說明由控制 傳導率執行A L D處理之各種方法,及控制傳導率之各種 結構。 閱讀以下示範實施例之詳細說明及其附圖,可更明瞭 所發表之實施例之此等及其他方面及特色。 附圖簡述 圖遽之ALD反應器之槪要圖 屬:$ 圖2/^之罩及蔭蔽環重璺區之各種實施例。 經濟部智慧財產局員工消費合作社印製 (請先閲讀背面之注意事項再填寫本頁) 圖3爲槪要圖,顯示頂部引進氣體於圖1之A L D反 應器之處理室中。 ji 圖4 ( a )爲槪要圖_ b )爲平面槪要圖,顯示側 方引進氣體於圖1之ALD 器之處理室中。 圖5 ( a )爲槪要圖#f b )爲平面槪要圖,顯示頂 部及側方引進氣體於圖1之A L D反應器之處理室中。 圖6爲圖1之基座之控制系統之槪要圖。 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X297公釐) -13- ^4〇〇93 A7 i '發明説明(11 ) 圖了爲圖1之靜電卡盤之電偏壓電路之槪要圖。 圖8爲創新之a L D反應器之前面透視圖。 (請先閱讀背面之注意事項再填寫本頁) 圖9爲圖8之A L D反應器之背面透視圖。 圖1 0爲圖8之ALD反應器之自下方所視之背面透 視圖。 圖1 1爲圖8之A L D反應器之前面切開透視圖。 圖1 2爲圖8之A L D反應器之前面切開透視圖。 圖1 3爲沿圖8之線1 3 - 1 3上之A L D反應器之 室部份之斷面圖。 圖1 4爲圖1 3之室部份之右方之詳細斷面圖,顯示 〜載入罩位置。 圖1 5爲圖1 3之室部份之右方之詳細斷面圖,顯示 一低傳導率處理罩位置。 圖1 6爲圖1 3之室部份之右方之詳細斷面圖,顯示 一高傳導率處理罩位置。 圖1 7爲圖1 3之室部份之右方之詳細斷面圖,顯示 一滌淸罩位置。 經濟部智慧財產局員工消費合作社印製 圖1 8爲圖8之ALD反應器之氣體移送之閥系統之 槪要圖。 圖1 9爲圖8之ALD反應器之氣體移送之閥系統之 槪要圖。 圖2 0爲圖8之ALD反應器之氣體移送之閥系統之 槪要圖。 圖21爲圖8之ALD反應器之氣體移送之閥系統之 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -14- 540093 A7 B7 五、發明説明(12 ) 槪要圖。 圖2 2爲圖8之ALD反應器之氣體移送之閥系統之 槪要圖。 圖2 艢·芬配之蓮蓬頭之二實施例之透視斷面圖 圖2 4爲圖8之A L D反應器之罩組件之實施例之透 視斷面圖。 圖2 5爲圖8之A L D反應器之罩組件之實施例之透 視斷面圖。 圖2 6爲圖8之A L D反應器之罩組件之實施例之透 之A L D反應器之靜電卡盤組件之實施 視斷面隱i 圖! 例之切開透視圖。 圖2 8爲圖8之AL D反應器之圖2 7之靜電卡盤組 件之控制系統之槪要圖。 圖2 9爲一控制系統之槪要圖,包含圖8之A L D反 應器之圖2 7之靜電卡盤組件之交流能量源。 經濟部智慧財產局員工消費合作社印製 (請先閱讀背面之注意事項再填寫本頁) 圖3 0爲圖8之ALD反應器之靜電卡盤組件之一部 份之一實施例之透視圖。 圖3 1爲圖8之A L D反應器之靜電卡盤組件之電偏 壓電路之槪要圖。 圖3 2爲圖8之A L D反應器之靜電卡盤組件之電偏 壓電路之槪要圖。 圖3 3爲圖8之ALD反應器之靜電卡盤組件之電偏 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) -15- 540093 B7 五、發明説明(13 壓電路之iL麗扉: 圖3 圖3 圖3 要J|示普通A L D處理。 3m)譯撕新之A LD處理。 示(a ) —普通先行技藝ALD處理及(b 創新A. L、D處理之時間圖。 圖3 薄示」創新A L D處理之另一實施例之時間圖 圖3皆^!示一創新A L D處理之另一實施例之時間圖 圖3 槪」要顯示A L D處理之創新化學吸附技術。 圖4 0爲'改良之化學吸附之圖8之A L D反應器之靜 電卡盤之電偏壓電路之槪要圖。 在各圖中,相同或相似特色普通由相同之參考編號標 不 ° (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 主要元件對照表 2 A L D反應器 4 固定基座 8 基體 10 室蓋 1 2 處理室 14 罩 16 罩支持腿 20 環形泵通道 本紙張尺度適用中周國家標準(CNS ) A4規格(210X297公釐) -16- 540093 A7 B7 五、發明説明(14 ) 2 2 罩傳導上徑路 24 罩傳導下徑路 (請先閲讀背面之注意事項再填寫本頁) 2 8 蔭蔽環 4 0 氣體通道 4 2 流率分佈 48 背面氣體空間 5 2 氣體源 5 4 背面氣體管 56 背面氣體通路 58 壓力控制器 60 溫度感測器 64 溫度監視器 66 溫度控制器 7 2 電阻加熱器 74 冷卻劑溫度控制器 76 冷卻劑供應器 8 0 電極 經濟部智慧財產局員工消費合作社印製 8 6 D C電源 8 8 電感器 9 2 R F產生器 11〇 冷卻板 114 升降銷腳架 116 升降銷致動器 1 2 2 線性馬達 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -17- 540093 A7 B7 五、發明説明(15 2 4 經濟部智慧財產局員工消費合作社印製 13 4 13 6 13 8 14 2 15 2 15 4 17 0 17 2 17 4 17 8 18 0 18 2 18 4 18 6 2 2 4 2 3 0 2 3 4 2 3 6 2 4 2 2 4 6 線性馬達輸出桿 罩升降腳架 栗 泵喉 泵槽 限制器 室壓力感測器 R F絕緣管 背面氣體閥 充氣室 蓮蓬頭 蓮蓬頭唇 夾環 夾環固定件 處理具 熱裂口 〇環 閥系統 三路閥 泵旁通氣體管 真空泵 啓閉閥 先質源 負載電阻器 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) -18- 540093 A7 B7 五、發明説明(16 ) 3 5 4 電容器 3 7 4 開關 (請先閱讀背面之注意事項再填寫本頁) 實施例之詳細說明 基本A L D反應器設計 圖1爲創新之A L D反應器2之槪要圖。反應器2包 含一固定基座4,此可包含一靜電卡盤(ESC) 6,其 上置一基體8。基體8通常爲半導體晶圓(例如矽),但 可爲金屬化玻璃基體或其他基體。一室蓋1 0及E S C 6 分別界定處理室1 2之頂及底界。室1 2之周圍壁由一可 移動罩1 4界定,此連接至多個罩支持腿1 6。處理室 1 2之空間小於先行技藝之成批反應器,但可爲與先行技 藝單晶圓系統同樣大小。然而,反應器2之構造提供反應 室2之整個空間,此可小於先行技藝反應器,同時提供處 理室1 2之小空間。 經濟部智慧財產局員工消費合作社印製 處理室1 2之小空間達成上述小處理空間之優點,包 括迅速抽氣,快速切換處理氣體,及需要較少之先質來完 成化學吸附。然而,處理室1 2之空間不能隨意小,因爲 基體8仍需轉移進出處理室1 2。 在圖1中,基座4及其支持硬體之固定位置簡化反應 器2之整個設計,俾可容易使用及維護以及提高性能。與 先行技藝反應器之巨大可移動基座相較,罩1 4包含較少 所屬硬體且遠較輕,此可精密定位罩1 4,以迅速反應而 調整室1 2之傳導率及方便抽氣。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -19- 540093 A7 B7 五、發明説明(17 ) (請先閲讀背面之注意事項再填寫本頁} 室體18包圍罩14,室蓋10,及基座4 (包含 E S C 6 ),界定一環形泵通道2 0於罩1 4外。在處理 之期間中,罩1 4使在低壓力上之處理室1 2與環形栗通 道2 0分開,此維持於較室爲低之壓力上,以維持一淸潔 之背景環境於反應器2中。室1 2之空間經由一罩傳導上 徑路2 2及一罩傳導下徑路2 4連接至環形泵通道2 〇。 上徑路2 2及下徑路2 4各由罩1 4及反應器2之固定組 成件之對應特色界定。在圖1所示之實施例中,普通在處 理期間中爲可變低漏氣徑路之上徑路2 2由罩1 4之內臂 及室蓋1 0界定。下徑路2 4由罩1 4之一部份及蔭蔽環 2 8界定,此爲通過罩及蔭蔽環重疊區2 6之一可變高漏 氣徑:路。蔭蔽環2 8貫際與E S C 6分開,並更詳細顯示 於後圖中。 經濟部智慧財產局員工消費合作社印製 罩1 4及蔭蔽環2 8之結構可改變,以提供下徑路 2 4之不同傳導率,如顯示於圖2,此顯示圖1之罩及蔭 蔽環重疊區2 6之各種實施例。氣流之傳導率與徑路之限 制長度及實際大小有關。例如,具有較大橫斷面積之較短 徑路具有較高傳導率。在圖2所示之實施中,罩14及蔭 蔽環2 8之結構構造產生一最高傳導率徑路3 0 , 一第二 最高傳導率徑路3 2,一第三最高傳導率徑路3 4,及一 最低傳導率徑路3 6。本藝之實施者明瞭罩及蔭蔽環重疊 區3 6可有許多其他實施例。 使用各種罩位置於整個創新之A L D處理中。罩1 4 升至其最高位置(聯同蔭蔽環2 8 )使基體8可引進或移 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -20- 540093 A7 ___B7_ 五、發明説明(18 ) (請先閱讀背面之注意事項再填寫本頁) 出。罩1 4下降至其最低位置使室1 2可經由上徑路2 2 曝露於環形泵區2 〇之真空中而迅速抽氣。在處理期間中 ,罩1 4依氣體移送及傳導需求,置於中間位置。 罩1 4之移動可用以精確控制罩1 4及蔭蔽環2 8間 之空間關係,從而主要經由下徑路2 4提供可調整之傳導 率給室1 2 °如此可迅速,精確控制室1 2之壓力,即使 在處理期間中亦然,此爲使用可移動之基座之先行技藝方 法所不能,因爲基座8在處理期間中不宜垂直移動。此可 調整之傳導率亦可迅速,精確控制在多流率中引進於室 1 2中之氣體之駐留時間,並可減少處理氣體之浪費至最 低程度。 A L D反應室之基本氣體引進 圖1之反應器2支持氣體經由多點引進,包括頂部引 進,側方引進,或頂部及側方引進之合倂。 經濟部智慧財產局員工消費合作社印製 圖3爲槪要圖,顯示氣體之頂部引進於圖1之A L D 反應器2之處理室1 2中。一頂裝饋給裝置(未顯示)具 有一單個引進點(或多個引進點)及可選擇之另加裝置( 未顯示),諸如蓮蓬頭及/或阻流板,以確保頂部引進氣 流3 8均勻分佈於基體上。另加之裝置包含至少一通路, 且可包含許多通路。另加之裝置亦可包含中間通路,以調 整氣體分佈及速度。 圖4 ( a )爲槪要圖及(b )爲平面槪要圖,顯示側 方引進氣體於圖1之ALD反應器2之處理室1 2中。氣 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -21 - 540093 A7 B7 五、發明説明(19 ) 體自罩1 4中之氣體通道4 0經罩1 4之內壁中之孔引進 於處理室1 2中。氣體成基體周圍之對稱形狀引進,設計 確保側方引進之氣流4 2分佈均勻。而且,在氣體引進前 或期間中,可與基體8垂直相對調整氣體引進之平面,此 可用以使氣體分佈4 2最佳化。 圖5 ( a )爲槪要圖及(b )爲平面槪要圖,顯示氣 體之頂部及側方引進於圖1之A L D反應器2之處理室 1 2中。包括先質及滌淸氣體之創新A L D處理用之氣體 可視需要通過相同之引進徑路或分開之徑路引進,使性能 及層品質最佳。 A L D反應益之基本靜電卡盤組件設計 圖1之反應器2可用於沉積處理,在此,表面反應之 活化能量由基體上方之電漿中所產生之離子提供。如此, 原子層沉積可爲離子誘發,而非熱誘發。此可在遠較普通 A L D系統爲低之溫度上沉積。假設處理溫度充分低,基 座4可包含靜電卡盤(E S C ) 6,以改善溫度控制及改 善射頻(RF)電力交連。 離子誘發原子層沉積更詳細說明於以下有關申請書中 。美申請書序號0 9 / 8 1 2 , 3 5 2,題爲,,調變之離 子誘發原子層沉積(Μ I I - a L D )之系統及方法”, 於2 0 〇 1年3月1 9日提出,讓渡給本發明受讓人,並 列作參考。美申請書序號〇 9 / 8 ]_ 2,4 8 6,題爲” 由調變之離子誘發原子層沉積(Μ I I - a L D )法沉積 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) (請先閱讀背面之注意事項再填寫本頁) •裝· 、11 經濟部智慧財產局員工消費合作社印製 -22- 540093 A7 B7 五、發明説明(20 ) (請先閱讀背面之注意事項再填寫本頁) 薄膜之連續方法”,於2 0 0 1年3月1 9日提出,讓渡 給本發明受讓人,並列作參考。美申請書序號 〇9 / 8 1 2, 2 8 5,題爲”由調變之離子誘發原子層 沉積(Μ I I — A L D )沉積薄膜之依次方法”,於 2 0 0 1年3月1 9日提出,讓渡給本發明受讓人,並列 作參考。 圖6爲圖1之基座4之控制系統4 4之槪要圖。基體 8置於環形密封唇4 6上,界定一背面氣體空間4 8於基 體8及基座4之E S C 6之頂表面5 0之間。背面氣體自 背面氣體源5 2沿背面氣體管5 4流過E S C 6中之背面 氣體通路5 6,並進入氣體空間4 8中。背面氣體由提供 一媒質用於熱能轉移於基體8及E S C 6之間,改善基體 8及E S C 6間之熱流通。一流量控制裝置,諸如一壓力 控制器5 8維持背面氣體於恆定之壓力上,如此確保基體 溫度均勻。 經濟部智慧財產局員工消費合作社印製 基體溫度由加熱或冷卻E S C 6調變。一溫度感測器 6 0經一感測器連接線6 2連接至溫度監視器6 4。一溫 度控制器6 6控制經由電連接線7 0施加於電阻加熱器 72 (埋置於ESC6中)上之加熱器電源68。一冷卻 劑溫度及流量控制器7 2控制來自冷卻劑供應器7 6之冷 卻劑,此流於基座4之多個冷卻劑通道7 8中,如所熟知 〇 E S C 6包含至少一第一電極8 0及一第二電極8 2 埋置於介質材料中。圖7爲一電路8 4之槪要圖,用以電 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ~" -23- 540093 A7 __ B7 五、發明説明(21 ) 偏壓圖1之基座4之靜電卡盤6。第一電極8 0及第二電 極8 2由不同之D C電位偏壓,以提供”卡住”行動,此 在電漿點火之前及在沉積之期間中,保持基體8 (圖1 ) 於E s C 6上。圖7之偏壓設計可在低偏壓上建立靜電吸 力(即”卡住”),此在普通單極卡盤不足以產生足夠之 靜電吸力。在圖7中,D C電源8 6之一端經第一導線 8 8連接至第一電極8 0。D C電源8 6之另一端經一第 二導線9 0連接至第二電極8 2。電感器8 8及9 0用作 R F濾波器。 R F電力(例如1 3 · 5 6 Μ Η z )亦同時供應至第 一電極8 0及第二電極8 2,使用連接至地端9 4之RF 產生器9 2。一第一電容器9 6及一第二電容器9 8分別 連接於R F產生器9 2·及第一電極8 0及第二電極8 2之 間。電容器9 6及9 8用作D C濾波器,以阻斷來自電源 8 6之D C電壓。電路8 4在處理期間中可改善RF電力 至基體8之交連,因爲基體8至ESC 6中所埋置之第一 電極8 0及第二電極8 2密切接近(例如0 · 6 Μ Μ - 2 Μ Μ間隔)。 由於基體8如此密切接近第一及第二電極8 0及8 2 ,故RF電力之傳輸通道ESC 6之中間介質之效率較之 普通反應器(在此,R F電勢施加於距基體較遠距離之電 極上)爲高。如此,需用較少之電力,以達成充分之R F 電力交連至創新之ALD反應器(圖1)中之基體8,且 亦可使用產生基體8上之偏壓之相同之電力在非低之功率 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) -裝·〇 A highly conformal, continuous barrier layer is required to prevent copper from diffusing into nearby semiconductor (ie, silicon) materials or media. The barrier layer is also often used as an adhesion layer to promote adhesion between the dielectric and the copper seed layer. Low dielectric constant (ie, low-k) dielectrics are commonly used to reduce line-to-line and line-to-line capacitance and crosstalk, but they often suffer from poor adhesion and lower thermal stability than traditional oxide dielectrics, making the selection of an appropriate adhesive layer even more critical. A non-conformal barrier layer, or a paper with a poor grade. The national standard (CNS) A4 specification (210X297 mm) -4- 540093 A7 _B7___ 5. Description of the invention (2) (Please read the back first Please fill in this page again) Covered or discontinuous stepped barrier layers will cause copper diffusion and current leakage between adjacent metal lines, or barrier-to-dielectric or barrier-to-seed interface separation. Product life and performance have an adverse effect. The barrier layer should also be uniformly thin to most accurately transfer the shape of the trenches and vias below it to the subsequent seed layer, and have a low resistivity (such as p < 5 0 0 // Ω-c m) to reduce its effect on the overall conductivity of the copper interconnect structure. A highly conformal, low-density, continuous seed layer with low defect density is required to prevent void spots from forming in the copper wire. The seed layer carries the plating current and is used as a nuclear aggregation layer. Emptiness points can result from discontinuities or other defects in the seed layer, or they can be formed by the seed layer hanging from the top of features, trenches, and through holes. Void points have an adverse effect on the resistance, electromigration, and reliability of copper wires. Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs Traditional film deposition technologies, such as physical vapor deposition (PVD) and chemical vapor deposition (CVD), are increasingly unable to meet the demand for advanced films. PVD, such as sputtering, is used to deposit conductive films on low cost and relatively low substrate temperatures. Unfortunately, PVD is essentially a line-of-sight processing, which produces poor step coverage in trenches and vias with a wide aspect ratio. Advances in PV technology to deal with this problem have led to high cost, complexity, and reliability issues. The CVD process can be modified to provide a conformal film with improved step coverage. Unfortunately, CVD processing usually requires high processing temperatures, which leads to increased impurity concentrations and poor utilization of precursors (or reagents), which leads to higher costs for owners. Atomic layer Shen Nong .. (ALD), or Atomic Layer Chemical Vapor Deposition (AL · —CVD) is another traditional CVD method for depositing thin and thin paper. The size of the paper applies the Chinese National Standard (CNS) A4 specification (210X297) ^ 一 —-5- 540093 A7 ____ B7 V. Description of the invention (3) Film. ALD has several advantages over PVD or traditional CVD. (Please read the precautions on the back before filling this page) ALD can be lower than Temperature (this is in line with the industrial trend towards lower temperatures), has high precursor utilization efficiency, can produce conformal thin film layers (that is, 100% order coverage in theory), can be controlled on an atomic scale Thin film thickness, and can be used for "nano engineering" complex thin films. A typical ALD process is very different from a traditional CVD process. In a typical CVD process, two or more reactant gases are mixed together in a deposition chamber. Here, these react in the gas phase and are deposited on the surface of the substrate, or they react directly on the surface of the substrate. CVD deposition takes place over a specific period of time, depending on the required thickness of the deposited film. Because of this Specific time is As a function of the flow rate of reactants entering the room, the time required may be different in each room. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs ... ..... i In a typical ALD process deposition cycle, each reactant gas is sequentially introduced into the chamber, so no gas phase mixing occurs. A single layer of the first reactant is physically or chemically adsorbed on the substrate surface The excess of the first reactant can be assisted by the extraction of inert polyester gas. A second reactant is introduced into the deposition chamber and reacts with the first reactant to react through the self-limiting surface to produce a single layer of the required film Once the initially adsorbed reactant completely reacts with the second reactant, the self-limiting reaction stops. The excess second reactant can also be extracted with the help of inert polyester gas. Repeat the deposition cycle as needed to obtain the required film thickness. By simply calculating the number of deposition cycles, the accuracy (thickness) of the film thickness to the atomic layer can be controlled. The precursors of physical adsorption are only weakly attached to the substrate. Chemical adsorption is strong, and the bonding required is more. When the adsorption Precursor molecules and living Surface localization The dimensions of this paper are in accordance with Chinese National Standard (CNS) A4 (210X297 mm)-6-540093 Α7 B7 V. Description of the invention (4) Chemical adsorption occurs during chemical reactions. Chemical adsorption usually includes self-protonation A weakly bonded complex (part of the precursor) leaves unsatisfied bonds that can be used to react with an active surface position. The matrix material can affect chemisorption. In current dual-inlaid copper interconnect structures, A barrier layer, such as molybdenum (buta) or nitrided nitride (butan), must always be simultaneously covered with dioxide (Sio2), low-k dielectric, nitride etch stop layer, and any underlying metal, such as copper. Materials often exhibit different chemical fi * behaviors, especially oxide to metal. Moreover, surface cleanliness is important for proper chemisorption, as impurities can occupy surface bonding sites. Incomplete chemical adsorption will produce porous films, incomplete step coverage, poor adhesion between the deposited film and its underlying substrate, and low film density. The A L D treatment temperature needs to be carefully selected. The first reactant is fully adsorbed (eg, chemically adsorbed) on the surface of the substrate, and the deposition reaction occurs with sufficient growth rate and film purity. Too high a temperature will cause desorption or decomposition of the first reactant (causing impurities to mix in). Too low temperatures can lead to incomplete chemical adsorption of the first precursor, slow or incomplete deposition reactions, no deposition reactions, or poor film quality (eg, high resistivity, low density, poor adhesion, and / or High impurity content). Traditional A L D processing has several disadvantages. First, because the treatment is a complete heat treatment, the choice of the appropriate treatment temperature is often limited to a narrow temperature window. Second, small temperature windows limit the choice of precursors available. Third, the metal precursors suitable for the temperature window are often halides (for example, compounds containing chlorine, fluorine, or bromine), which are rotten-corrosive and can cause reliability problems at metal interconnections. Fourth, gas hydrogen (Η 2) or elemental zinc (Z η) is usually used in Chinese paper standards (CNS) A4 (210X297 mm). Gas I -------- clothing-(please first Read the notes on the back and fill in this page) Order f Printed by the Consumers' Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs -7-540093 A7 B7 V. Description of the invention (5) (Please read the notes on the back before filling this page) Used as The second reactant acts as a reducing agent to return the metal compound in the first reactant to the desired oxidation state of the final film. Unfortunately, Η 2 is an ineffective reducing agent due to its chemical stability, and Z η has low volatility and is generally not compatible with 1C manufacturing methods. Therefore, although ordinary ALD reactors are suitable for high-temperature A L D, the advancement of A L D processing technology is limited. Plasma-reinforced A L D is also known as atomic-strengthened atomic layer deposition (R E A L D), which is proposed to deal with the traditional thermal A L D temperature limit. For example, in U.S. Patent No. 5,916, 3 65, the second reactant is passed through a radio frequency (RF) glow discharge or plasma to decompose the second reactant and form a group of reactive atomic clusters, which is driven at a lower processing temperature. Deposition reaction. More information on plasma-enhanced ALD is included in "Plasma-enhanced Atomic Layer Deposition for Interconnecting Diffusion Barriers T a and T i", by SM Rossnagel, Vacuum Science and Technology, B 1 8 (4), July / August 2000, 2016-2020 pages. Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs However, the plasma enhanced A L D still has several disadvantages. First, this maintains a heat treatment similar to that of A L D because the substrate temperature provides the required activation energy and, therefore, the primary control of the deposition reaction. Second, although it can be processed at low temperatures, higher temperatures are still used to produce a reasonable growth rate and the plutonium output is acceptable. This temperature is still too high for some films made with IC, especially for polymer-based low-k media (this is only stable at temperatures up to 2000 ° C or below). Third, metal precursors, especially giant (T a), often still contain chlorine and oxygen impurities, which results in low-density or porous films with poor barrier behavior and chemical instability. Fourth, similar to the above-mentioned ordinary sequential ALD processing, plasma-strengthened ALD processing is basically applicable to this paper standard. National Standard (CNS) A4 Specification (210X297 mm) " ~ -8 ^ 540093 A7 _ ___B7 5 The invention description (6) is slow, because it contains at least one reactant gas and at least two scrubbing or pumping steps. This uses common valve and chamber technology, which takes several minutes. (Please read the precautions on the back before filling this page.) The ordinary A L D reactor containing a plasma reinforced A L D reactor contains a base that can be moved vertically to achieve a reduced processing space. This is very important for A L D. Small spaces are easier and faster to evacuate (eg excess reagents) than large spaces, and process gas can be quickly switched. Moreover, fewer precursors are needed to complete chemisorption during the deposition period. For example, the reactors of U.S. Patent No. 6,174,377 and European Patent No. 1,052,309 A 2 are characterized by a smaller processing space above a larger substrate transfer space. In practice, a normal transfer sequence involves transporting a substrate into the transfer space and placing it on a movable base. The base is then raised vertically to form the bottom of the processing space, and thereby moving the substrate into the processing space. As such, the movable base has at least one vertical movement and possibly a second degree of freedom of rotation (to obtain uniformity in high temperature processing). Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economics The ordinary ALD reactor has major disadvantages. First, the common A L D reactor has complex base requirements because many facilities (such as heater power lines, temperature monitor lines, and coolant channels) need to be connected to and placed within a moving base. Second, in the case of plasma-enhanced ALD, the efficiency of atomic group transfer for depositing conductive films is greatly reduced in the downstream structure. Here, the atomic group that generates the plasma is contained in a separate container, which is far from the main processing. Room (see US Patent 5, 916, 3 5 5). The recombination of both the gas phase and the wall reduces the flow rate of available radicals to the matrix. In the case of atomic hydrogen (Η), the two atoms are combined to produce diatomic Η 2, a far less effective reducing agent. The known A L D reactor has other disadvantages. This paper size applies Chinese National Standard (CNS) A4 specification (210X297 mm) '-9-540093 Μ B7 V. Description of the invention (7) (Please read the precautions on the back before filling this page) Therefore, it is necessary to improve the ALD The reactor makes ALD more suitable for commercial IC manufacturing. The required characteristics of this reactor may include higher output, improved deposited film characteristics, better temperature control for narrow processing temperature windows, and wider processing windows (for example, especially in terms of processing temperature and reactant population). ). According to an embodiment of the present invention, a deposition system includes a processing chamber, a fixed base for supporting a substrate in the processing chamber, and a movable cover forming at least a part of a surrounding wall defining the processing chamber. The movement of the cover to the fixed base controls a variable gas conduction path for the gas to flow through the processing chamber, thereby adjusting the relative pressure between the processing chamber and the external space. A movable hood according to an embodiment of the present invention may include a plurality of gas passage openings for introducing various processing gases into the processing chamber. In some embodiments, the removable hood may also or additionally include an internal cooling or heating channel for temperature control. The fixed base of the embodiment of the present invention printed by the Employees' Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs includes an electrostatic chuck (ESC) to strengthen the cross-linked RF power to the substrate, which can improve ion generation, ion energy control, and uniform transfer of ions. . Furthermore, the use of E S C in conjunction with the insertion of a suitable gas medium in the area between E S C and the substrate provides improved temperature control and uniformity. Deposition system can be part of the reactor, used for barrier layer, adhesion layer, seed layer, low dielectric constant (low k) film, high dielectric constant (high k) film, and advanced integrated circuit manufacturing technology Atomic layer deposition (ALD) of other thin films. This deposition system can be used to deposit the barrier layer. The paper size is applicable. National National Standard (CNS) A4 specification (210X 297 mm) -10-540093 A7 B7 V. Description of the invention (3) Adhesive layer, seed layer, low Dielectric equivalent (low k) films, high dielectric constant (high k) films, and other films used in advanced integrated circuit manufacturing technology. The deposition system of an embodiment of the invention provides several advantages. The system can trigger a deposition reaction by a non-temperature machine, resulting in the deposition of higher quality films at lower temperatures. The deposition processing parameters, including the pressure during the deposition period, can be adjusted quickly and more effectively than is normally possible, resulting in self-synchronous deposition and higher output. By connecting RF power to a fixed base, the system can improve ion generation, ion energy control, ion space uniformity, and uniform ion transfer for modulation and ion-induced deposition. The fixed base / removable hood construction simplifies the overall system design. Compared with an ordinary heavy and movable base, the cover in one embodiment of the present invention can be quickly and precisely positioned by a linear motor to improve performance. This system allows gas to pass through multiple points, possibly including introduction through the hood, which increases the flexibility of the deposition process design. Moreover, a smaller system volume can be achieved with a fixed base / removable cover construction. According to an embodiment of the present invention, the deposition system includes a processing chamber for performing A L D processing to deposit layers on a substrate. An E S C holds the substrate. Explain the various characteristics of E S C and various bias circuits. r ρ is electrically connected to electrodes in the processing chamber to generate ions and reactive atoms. In one embodiment, the RF power generates a plasma. Describe various r f generating circuits. The embodiment of the A L D processing system can improve ion generation, improve ion energy control, and transfer ions to the substrate. In one embodiment of the present invention, a deposition system includes a backside gas, which increases thermal cross-linking between the substrate and ESC. e SC passes through a cooling This paper size applies Chinese National Standard (CNS) A4 specification (210X297 mm) " '^ -11-(Please read the precautions on the back before filling this page} Order Φ Intellectual Property of the Ministry of Economic Affairs Printed by the Bureau ’s Consumer Cooperative 540093. Printed by the Consumers ’Cooperative of the Ministry of Economic Affairs’ Intellectual Property Bureau. Printed A7 B7 V. Invention Description (9) The plate is cooled by a coolant and heated by an electric heater. According to an embodiment of the present invention, a substrate is included. The chamber has at least one processing gas, which is introduced to react with one surface of the substrate to make a layer on the substrate. The gas generates a pressure in the chamber. At a specific time, the gas is expelled to stop the reaction and reduce the gas Pressure. An automatic control valve for detecting pressure changes in the chamber to supply a second gas into the chamber, and the radon reacts with the surface of the substrate. According to an embodiment of the present invention, a deposition technique is an ALD process to sequentially supply at least Two separate reactants are based in the processing chamber. A first reactant reacts (adsorbs) with the surface of the substrate via chemical adsorption, and the first reactant gas The chamber is removed, and a second reactant gas reacts with the adsorbed reactant to form a single layer of the desired film. This process is repeated to make a layer of any thickness. To reduce processing time, separate polyester is not used The gas is to purify the first reactant gas in the chamber, and then introduce a second gas containing the second reactant. Instead, the purging gas also includes the second reactant. Thus, the first gas and the second gas are introduced. There can be very little or no delay between gases. In one embodiment, an RF source is used to generate a plasma of a second gas, which forms active ions and reactive atoms to drive the reaction at low temperatures. The process is self-limiting. By Counting cycle to precisely control the layer thickness. According to an embodiment of the present invention, the electrodes in the electrostatic chuck assembly are biased to generate a bias voltage on the substrate and attract the charged gas ions in the chamber to the substrate. This results in enhanced chemistry Adsorption. According to one embodiment of the present invention, a plurality of valves are arranged and controlled to selectively introduce processing gas into the chamber. The paper size is applicable to China National Standard (CNS) A4 specification (210 X 297 mm) (Please read the notes on the back before filling this page). Order f -12- 540093 A7 B7 V. Description of the invention (10) According to an embodiment of the present invention, a deposition system includes a processing chamber And one or more gas inlets. A gas inlet is made into a ring, which surrounds the periphery of the substrate held in the processing chamber. From this ring, the controlled deposition process is obtained. In one embodiment, the ring is movable. The gas inlet and the valve are described. Various arrangements. According to one embodiment of the present invention, instead of controlling the gas flow rate on the substrate in the chamber by controlling the flow rate of the gas upstream of the processing chamber, the temperature between the processing chamber and a lower pressure space outside the processing chamber is controlled. Conductivity controls the gas flow rate on the substrate. The gas flow rate on the substrate changes opposite to the conductivity of the chamber. 俾 When the conductivity decreases, the gas flow rate on the substrate increases. Various methods for performing the A L D process by controlling the conductivity and various structures for controlling the conductivity will be described. These and other aspects and features of the published embodiments will become clearer by reading the detailed description of the following exemplary embodiments and the accompanying drawings. Brief Description of the Drawings The essential diagram of the ALD reactor in Fig. 2 belongs to: Fig. 2 / ^ Various embodiments of the mask and the shadow ring heavy area. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs (please read the precautions on the back before filling out this page). Figure 3 is a schematic diagram showing the gas introduced at the top in the processing chamber of the A L D reactor in Figure 1. ji Figure 4 (a) is an essential figure_b) is a planar essential figure, showing that the side introduces gas into the processing chamber of the ALD device of FIG. Fig. 5 (a) is a schematic diagram #f b) is a planar schematic diagram showing the introduction of gas from the top and sides into the processing chamber of the A L D reactor of Fig. 1. FIG. 6 is a schematic diagram of a control system of the base of FIG. 1. This paper size is applicable. National National Standard (CNS) A4 specification (210X297 mm) -13- ^ 4〇〇93 A7 i 'Invention description (11) illustrates the electrical bias circuit of the electrostatic chuck of Figure 1 He wants to figure. Figure 8 is a front perspective view of the innovative a L D reactor. (Please read the precautions on the back before filling out this page) Figure 9 is a perspective view of the back of the A L D reactor of Figure 8. FIG. 10 is a rear perspective view of the ALD reactor of FIG. 8 viewed from below. FIG. 11 is a front cut-away perspective view of the A L D reactor of FIG. 8. FIG. 12 is a front cut-away perspective view of the A L D reactor of FIG. 8. Fig. 13 is a sectional view of the chamber portion of the A L D reactor on line 1 3-13 of Fig. 8. Fig. 14 is a detailed sectional view to the right of the chamber portion of Fig. 13 showing ~ the position of the loading cover. Figure 15 is a detailed sectional view to the right of the chamber portion of Figure 13 showing the location of a low-conductivity processing mask. Figure 16 is a detailed sectional view to the right of the chamber portion of Figure 13 showing the location of a high-conductivity processing mask. Fig. 17 is a detailed sectional view to the right of the chamber portion of Fig. 13 showing the position of a polyester cover. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. Figure 18 is a schematic diagram of the valve system for gas transfer of the ALD reactor in Figure 8. FIG. 19 is a schematic diagram of a valve system for gas transfer in the ALD reactor of FIG. 8. FIG. 20 is a schematic diagram of a gas transfer valve system of the ALD reactor of FIG. 8. Fig. 21 is a valve system for gas transfer of the ALD reactor in Fig. 8. The paper size applies to the Chinese National Standard (CNS) A4 specification (210X297 mm) -14- 540093 A7 B7 V. Description of the invention (12) 槪 Main drawing. Fig. 22 is a schematic diagram of a valve system for gas transfer in the ALD reactor of Fig. 8; Fig. 2 is a perspective sectional view of the second embodiment of the shower head of 芬 · Fen. Fig. 24 is a perspective sectional view of the embodiment of the cover assembly of the A L D reactor of Fig. 8. Fig. 25 is a perspective sectional view of an embodiment of the cover assembly of the A L D reactor of Fig. 8; Fig. 26 is a perspective view of the embodiment of the cover assembly of the A L D reactor of Fig. 8 and the implementation of the electrostatic chuck assembly of the A L D reactor. Example cut perspective. Figure 28 is a schematic diagram of the control system of the electrostatic chuck assembly of Figure 27 in the AL D reactor of Figure 8. Fig. 29 is a schematic diagram of a control system, including the AC energy source of the electrostatic chuck assembly of Fig. 27 including the A L D reactor of Fig. 8. Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs (please read the precautions on the back before filling this page). Figure 30 is a perspective view of an embodiment of a part of the electrostatic chuck assembly of the ALD reactor in Figure 8. FIG. 31 is a schematic diagram of the electric bias circuit of the electrostatic chuck assembly of the A L D reactor in FIG. 8. FIG. 32 is a schematic diagram of the electric bias circuit of the electrostatic chuck assembly of the A L D reactor in FIG. 8. Figure 3 3 shows the electric eccentricity of the electrostatic chuck assembly of the ALD reactor in Figure 8. The paper size is applicable to Chinese National Standard (CNS) A4 (210 X 297 mm) -15- 540093 B7 V. Description of the invention (13 Piezoelectric Lu Zhilu's iL: Figure 3 Figure 3 Figure 3 J | shows ordinary ALD processing. 3m) translate new A LD processing. Show (a) — time chart of ALD process of common advanced technology and (b innovation A. L, D process. Figure 3 shows the time chart of another embodiment of the innovative ALD process. Figure 3 shows the innovative ALD process. The timing diagram of another embodiment is shown in FIG. 3 槪 "to show the innovative chemical adsorption technology of ALD treatment. Figure 40 is the" improved chemisorption "of the electric bias circuit of the electrostatic chuck of the ALD reactor of Fig. 8 In the drawings, the same or similar features are usually marked by the same reference number (please read the precautions on the back before filling out this page). The comparison table of the main components printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 2 ALD Reactor 4 fixed base 8 base 10 chamber cover 1 2 processing chamber 14 cover 16 cover support leg 20 ring pump channel This paper size is applicable to the National Standard (CNS) A4 (210X297 mm) -16- 540093 A7 B7 5 、 Explanation of the invention (14) 2 2 Cover conduction upper path 24 Cover conduction lower path (please read the precautions on the back before filling this page) 2 8 Shade ring 4 0 Gas channel 4 2 Flow rate distribution 48 Back gas space 5 2 Gas source 5 4 Back gas Tube 56 Backside gas passage 58 Pressure controller 60 Temperature sensor 64 Temperature monitor 66 Temperature controller 7 2 Resistance heater 74 Coolant temperature controller 76 Coolant supply 8 0 Electrode Printed by Intellectual Property Bureau, Ministry of Economic Affairs, Employee Consumption Cooperative 8 8 DC power supply 8 8 Inductor 9 2 RF generator 11 10 Cooling plate 114 Lifting pin stand 116 Lifting pin actuator 1 2 2 Linear motor This paper size applies to Chinese National Standard (CNS) A4 specification (210X297 mm) ) -17- 540093 A7 B7 V. Description of the invention (15 2 4 Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 13 4 13 6 13 8 14 2 15 2 15 4 17 0 17 2 17 4 17 8 18 0 18 2 18 4 18 6 2 2 4 2 3 0 2 3 4 2 3 6 2 4 2 2 4 6 Linear motor output rod cover lifting foot pump chest pump throat pump slot limiter chamber pressure sensor RF insulation tube back gas valve inflatable chamber shower head Shower head, lip, clip, clip, fastener, handle, thermal crack, ring valve system, three-way valve, pump, bypass gas tube, vacuum pump, on-off valve, first source load resistor (please read the precautions on the back before filling this page) Applicable in China Home Standard (CNS) A4 Specification (210 X 297 mm) -18- 540093 A7 B7 V. Invention Description (16) 3 5 4 Capacitor 3 7 4 Switch (Please read the precautions on the back before filling this page) Example Detailed description of basic ALD reactor design Figure 1 is the essential diagram of the innovative ALD reactor 2. The reactor 2 includes a fixed base 4 which may include an electrostatic chuck (ESC) 6 on which a substrate 8 is placed. The substrate 8 is usually a semiconductor wafer (such as silicon), but may be a metallized glass substrate or other substrate. A chamber cover 10 and E S C 6 respectively define the top and bottom boundaries of the processing chamber 12. The surrounding wall of the chamber 12 is delimited by a movable cover 14 which is connected to a plurality of cover support legs 16. The space of the processing chamber 12 is smaller than the batch reactor of the prior art, but can be the same size as the single wafer system of the prior art. However, the configuration of the reactor 2 provides the entire space of the reaction chamber 2, which may be smaller than that of the prior art reactor, while providing a small space of the processing chamber 12 at the same time. The small space in the print processing room 12 of the Employees' Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs achieves the advantages of the small processing space mentioned above, including rapid pumping, rapid processing gas switching, and less precursors to complete chemical adsorption. However, the space in the processing chamber 12 cannot be arbitrarily small because the substrate 8 still needs to be transferred into and out of the processing chamber 12. In Fig. 1, the fixed position of the base 4 and its supporting hardware simplifies the overall design of the reactor 2, so that it can be easily used and maintained and its performance can be improved. Compared with the huge movable base of the advanced technology reactor, the cover 14 contains less belonging hardware and is much lighter. This can precisely position the cover 14 to adjust the conductivity of the chamber 12 and facilitate extraction gas. This paper size applies Chinese National Standard (CNS) A4 specification (210X297 mm) -19- 540093 A7 B7 V. Description of the invention (17) (Please read the precautions on the back before filling this page} Room body 18 enclosure cover 14, The chamber cover 10 and the base 4 (including ESC 6) define an annular pump channel 20 outside the cover 14. During the process, the cover 14 makes the processing chamber 12 at low pressure and the annular chestnut channel under low pressure. Separate from 20, this is maintained at a lower pressure than the chamber to maintain a clean background environment in the reactor 2. The space of the chamber 12 is passed through a cover conducting upper path 22 and a cover conducting lower path 2 4 is connected to the annular pump channel 2 0. The upper path 22 and the lower path 2 4 are each defined by the corresponding features of the fixed components of the cover 14 and the reactor 2. In the embodiment shown in FIG. During the treatment period, the variable low-leakage path above the path 2 2 is defined by the inner arm of the cover 14 and the chamber cover 10. The lower path 2 4 is formed by a part of the cover 14 and the shadow ring 2 8 Defined, this is a variable-height leak path through one of the overlapping areas of the cover and the shadow ring: 26. The shadow ring 28 is separated from the ESC 6 and is shown in more detail in the following figure. The structure of the printed hood 14 and the shading ring 28 of the employee's consumer cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs can be changed to provide different conductivity of the lower path 24. As shown in Figure 2, this shows the hood and the shading ring of Figure 1. Various embodiments of the overlap region 26. The conductivity of the air flow is related to the restricted length and actual size of the path. For example, a shorter path with a larger cross-sectional area has a higher conductivity. The implementation shown in Figure 2 In the structure of the cover 14 and the shadow ring 28, a highest conductivity path 30, a second highest conductivity path 32, a third highest conductivity path 34, and a lowest conductivity path are generated. Road 3 6. The implementers of this art know that there are many other embodiments of the mask and shadow ring overlap area 36. Various mask positions are used throughout the innovative ALD process. The mask 14 is raised to its highest position (in conjunction with the shadow mask ring) 2 8) Make the base 8 can be introduced or moved. The paper size applies the Chinese National Standard (CNS) A4 specification (210X 297 mm) -20- 540093 A7 ___B7_ V. Description of the invention (18) Fill out this page) out. The hood 1 4 lowers to its lowest position so that The chamber 12 can be quickly evacuated through the upper path 2 2 exposed to the vacuum of the annular pump zone 20. During the processing period, the cover 14 is placed in the middle position according to the gas transfer and conduction requirements. The movement of the cover 14 It can be used to precisely control the spatial relationship between the cover 14 and the shadow ring 28, so that the adjustable conductivity is mainly provided to the chamber 12 through the lower path 2 4 so that the pressure in the chamber 12 can be controlled accurately, even in the This is also true during processing, which is not possible with the prior art method of using a movable base, because the base 8 should not be moved vertically during the processing. This adjustable conductivity can also be quickly, accurately control the residence time of the gas introduced into the chamber 12 in the multi-flow rate, and reduce the waste of processing gas to a minimum. Basic gas introduction in the A L D reaction chamber The reactor 2 in Figure 1 supports gas introduction through multiple points, including top introduction, side introduction, or a combination of top and side introduction. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. Figure 3 is a schematic diagram showing that the top of the gas is introduced into the processing chamber 12 of the A L D reactor 2 in Figure 1. A top-mounted feeding device (not shown) has a single introduction point (or multiple introduction points) and optional additional devices (not shown), such as shower heads and / or spoilers, to ensure that the air is introduced at the top 3 8 Evenly distributed on the substrate. In addition, the device includes at least one path, and may include many paths. In addition, the device may include an intermediate channel to adjust the gas distribution and velocity. Fig. 4 (a) is a schematic diagram and (b) is a planar diagram, showing the side introduction of gas into the processing chamber 12 of the ALD reactor 2 of Fig. 1. The size of the paper is applicable to the Chinese National Standard (CNS) A4 specification (210X297 mm) -21-540093 A7 B7 V. Description of the invention (19) The gas channel in the body cover 1 4 4 In the inner wall of the cover 1 4 The holes are introduced into the processing chamber 12. The gas is introduced into a symmetrical shape around the substrate, and the design ensures that the gas flow 4 2 introduced from the side is evenly distributed. Moreover, before or during the introduction of the gas, the plane of the introduction of the gas can be adjusted perpendicularly with respect to the substrate 8, which can be used to optimize the gas distribution 42. Fig. 5 (a) is a schematic diagram and (b) is a planar diagram, showing that the top and sides of the gas are introduced into the processing chamber 1 2 of the A L D reactor 2 of Fig. 1. Innovative A L D processing gas including precursor and polyester gas can be introduced through the same introduction path or separate paths as required to optimize performance and layer quality. The basic electrostatic chuck assembly design of the A L D reaction benefit The reactor 2 of Fig. 1 can be used for the deposition process. Here, the activation energy of the surface reaction is provided by the ions generated in the plasma above the substrate. As such, atomic layer deposition may be ion-induced rather than thermally-induced. This can be deposited at much lower temperatures than ordinary ALD systems. Assuming that the processing temperature is sufficiently low, the base 4 may include an electrostatic chuck (ESC) 6 to improve temperature control and radio frequency (RF) power cross-linking. Ion-induced atomic layer deposition is described in more detail in the following related applications. US Application Serial No. 0 9/8 1 2, 3 5 2 entitled "Modulation System and Method of Ion Induced Atomic Layer Deposition (M II-a LD)", March 2001 Japan filed and assigned it to the assignee of the present invention for reference. US Application Serial No. 0 9/8] _2, 4 8 6 entitled "Modified Ion Induced Atomic Layer Deposition (ΜII-a LD) The size of this paper is applicable to the Chinese National Standard (CNS) A4 specification (210X 297 mm) (Please read the precautions on the back before filling out this page) 22- 540093 A7 B7 V. Description of the invention (20) (Please read the precautions on the back before filling this page) The continuous method of thin film "was proposed on March 19, 2001, and was transferred to the present invention. Let us put it together for reference. US Application Serial Nos. 09/8 1 2, 2 8 5 entitled "Sequential Method for Deposition of Thin Films by Modified Ion Induced Atomic Layer Deposition (M II-ALD)", at 20 It was proposed on March 19, 2001, to be assigned to the assignee of the present invention, and incorporated for reference. Figure 6 is the control system of the base 4 of Figure 1 Figure 4 shows the outline of the substrate. The substrate 8 is placed on the annular sealing lip 4 6 to define a back gas space 4 8 between the substrate 8 and the top surface 50 of the ESC 6 of the base 4. The back gas is from the back gas source 5 2 flows along the back gas pipe 5 4 through the back gas passage 5 6 in the ESC 6 and enters the gas space 4 8. The back gas is provided with a medium for heat energy transfer between the substrate 8 and the ESC 6 to improve the substrate 8 and Heat flow between ESC 6. A flow control device, such as a pressure controller 5 8 maintains the back gas at a constant pressure, so as to ensure uniform substrate temperature. The substrate temperature is printed or heated by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs ESC 6. Modulation. A temperature sensor 60 is connected to a temperature monitor 6 via a sensor connection cable 6 2. A temperature controller 6 6 controls the application of resistance heater 72 (buried) via an electrical connection cable 70. The heater power supply 68 on the ESC6). A coolant temperature and flow controller 72 controls the coolant from the coolant supplier 76, which flows in the coolant channels 78 of the base 4. As is well known, ESC 6 contains at least one The electrode 80 and a second electrode 8 2 are buried in the dielectric material. Fig. 7 is a schematic diagram of a circuit 84, which is used for the size of the paper. The Chinese national standard (CNS) A4 specification (210X297 mm) ~ " -23- 540093 A7 __ B7 V. Description of the invention (21) Bias the electrostatic chuck 6 of the base 4 in Figure 1. The first electrode 80 and the second electrode 82 are biased by different DC potentials to provide a "stuck" action. This keeps the substrate 8 (Figure 1) at E s before the ignition of the plasma and during the deposition. C 6 on. The bias design in Figure 7 can establish electrostatic attraction (ie, "stuck") at low bias, which is not enough to generate sufficient electrostatic attraction in a normal unipolar chuck. In FIG. 7, one end of the DC power source 86 is connected to the first electrode 80 through a first wire 88. The other end of the DC power source 86 is connected to the second electrode 82 through a second wire 90. Inductors 88 and 90 are used as R F filters. R F power (for example, 1 3 · 56 M Η z) is also supplied to the first electrode 80 and the second electrode 82 at the same time, and an RF generator 92 connected to the ground terminal 9 4 is used. A first capacitor 96 and a second capacitor 98 are connected between the RF generator 92 and the first electrode 80 and the second electrode 82, respectively. Capacitors 96 and 98 are used as DC filters to block DC voltage from power supply 86. The circuit 84 can improve the cross-linking of RF power to the substrate 8 during the processing period, because the first electrode 80 and the second electrode 82 embedded in the substrate 8 to the ESC 6 are close to each other (for example, 0 · 6 Μ-2 MM interval). Because the substrate 8 is so close to the first and second electrodes 80 and 82, the efficiency of the intermediate medium of the RF power transmission channel ESC 6 is higher than that of a normal reactor (here, the RF potential is applied to a longer distance from the substrate Electrode) is high. In this way, less power is needed to achieve sufficient RF power to be connected to the substrate 8 in the innovative ALD reactor (Figure 1), and the same power that generates the bias voltage on the substrate 8 can also be used at a low level. Power This paper size is applicable to China National Standard (CNS) A4 specification (210X297 mm) (Please read the precautions on the back before filling this page)-Install ·
’1T 經濟部智慧財產局員工消費合作社印製 -24- 540093 A7 B7 五、發明説明(22 ) (例如< 6 0 0 W,且普通< 1 5 〇 W )上產生在基體8 上方之電漿。 (請先閱讀背面之注意事項再填寫本頁) A L D反應器詳細 圖8,圖9,圖1 0,圖1 1,及圖1 2顯示創新之 A L D反應器1 〇 〇之外視及內部切開視圖。圖8爲反應 器1 0 0之前面透視圖。圖9爲反應器1 〇 〇之背面透視 圖。圖1 0爲反應器之自下方所視之背面透視圖。圖1 1 爲反應器1 0 0之前面切開透圖。圖1 2爲反應器1 0〇 之另一前面切開透視圖。 參考圖8,一基體8 (圖12)轉移通過一縫隙閥 104中之一基體進入槽102,進出反應器100之處 理室1 2 (圖1及圖1 2 )。基體8由多支升降銷1 0 8 經濟部智慧財產局員工消費合作社印製 裝上基座(例如一靜電卡盤組件1 〇 〇,如圖1 1及1 2 所見),或自該基座卸下。在裝上或卸下位置中,升降銷 108之頂端延伸通過靜電卡盤(ESC) 6中之孔,以 保持基體於E S C 6之頂表面上方。在處理位置中,升降 銷108之頂端退出ESC6之頂表面下方,使基體8及 E S C 6間可接觸(圖1 1及1 2 )。 參考圖1 1及1 2,升降銷1〇8自反應器1 00內 之處理室1 2向下延伸通過靜電卡盤1 〇 6 (包含 ESC6,一冷卻板11〇,及一底板112)而至反應 器1 .0 0下面外部。升降銷1 〇 8各連接至一升降銷腳架 1 1 4,以協調其動作。升降銷腳架1 1 4之垂直移動由 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -25 - 540093 A7 B7 -- —__丨一- -- 1""" """ "" 五、發明説明(23 ) 一離軸升降銷致動器1 1 6 (例如一氣力筒缸)達成,此 控制一繫桿1 1 8之動作,繫桿1 1 8由一周邊接頭 1 2 0連接至升降銷腳架1 1 4,如見之.於圖1 0。周邊 接頭1 2 0傳送升降力至升降銷腳架1 1 4,但無力矩。 參考圖1 1,爲方便基體轉移,一可移動罩1 4需在 裝載位置。罩1 4使用一線性馬達1 2 2上升或下降,此 移動一線性馬達輸出桿1 2 4,此由一筒夾1 2 8連接至 罩升降腳架1 2 6.(最佳見之於圖1 〇 )。多個罩支持腿 1 6 (圖1 1 )各延伸通過罩支持腿密封件1 3 0,並連 接於罩升降腳架1 2 6及罩1 4之間。線性馬達1 2 2之 軸線對齊處理室1 2之軸線,導致無淨力矩在罩升降腳架 1 2 6上。升降銷腳架1 1 4騎於線性馬達輸出桿1 2 4 之一部份上,與輸出桿1 2 4及罩升降腳架1 2 6同軸。 然而,升降銷腳架1 1 4不受桿1 2 4移動之影響,且此 安排不產生淨力矩於升降銷1 0 8上。 如上述,線性馬達1 2 2提供罩1 4之致動。此與普 通可移動基座不同,在此使用較慢之步進馬達於致動。普 通轉動步進馬達使用引導螺桿(可能聯合一齒輪系),此 緩慢,但可移動重質量,以達成重基座之移動。線性馬達 1 2 2並不使用齒輪系,而是直接驅動負載。線性馬達 1 2 2包含多個交替之磁鐵,以達成輸出桿1 2 4之移動 〇 線性馬達1 2 2可爲市面上可獲得之線性馬達,且普 通包含一套筒具有一線圈及一可移動桿,包圍該列交替之 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) (請先閲讀背面之注意事項再填寫本頁) -裝- 訂 經濟部智慧財產局員工消費合作社印製 -26 - 540093 A7 B7 _ 五、發明説明(24 ) 磁鐵。使用Hall效應磁感測器,由施加一信號於線圏,精 確控制桿之移動通過套筒。在一實施例,施加於線圈之脈 波精確控制桿與套筒相關之位置,如所熟知。由於罩1 4 較之普通重基座爲輕,故線性馬達1 2 2提供高性能定位 ,具有反應時間在數毫秒。線性馬達1 2 2故此提供較之 用於致動普通A L D反應器之基座之普通步進器或伺服馬 達所可達成者爲快之反應,及更精確之罩定位。 參考圖1 1,一泵,諸如渦輪分子泵1 維持低至 經濟部智慧財產局員工消費合作社印製 數微t 〇 r r或以下之背景環境壓力於包圍罩1 4之環形 泵通道2 0中。泵1 3 2以一角度連接至反應器1 〇 〇, 俾一環形栗喉1 3 2完全曝露於處理室1 2後方之一窄栗 槽1 3 6,使其間之傳導率最大。如此,具有直徑d & g 1 3 2最大曝露於高度h (在此,h< d )之栗槽1 3g ,泵1 3 2及室1 2間之限制最小(亦閱以下討論之 1 0 )。在特定之處理應用,一泵速度限制器丨3 8可插 於泵喉1 3 2中,俾依所需限制傳導率。在__胃_ _ 可使用一壓力控制節流閥(例如蝴蝶閥),以取代$ _ @ 限制器1 3 8。泵槽1 3 6及環形泵通道2 〇中之|^力由 裝於反應器1 0 0之頂表面上之一壓力感測器1 & q @ _ 處理室1 2之頂部由一室蓋1 〇界定。反膊 之處理室1 2中之壓力可在數微t 〇 I* ^ 室 (請先閱讀背面之注意事項再填寫本頁) -裝· 訂 0'1T Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs-24- 540093 A7 B7 V. The description of the invention (22) (such as < 6 0 0 W, and ordinary < 1 5 0 W) is generated above the base 8 Plasma. (Please read the precautions on the back before filling out this page) Details of the ALD reactor Figure 8, Figure 9, Figure 10, Figure 11, and Figure 12 show the innovative ALD reactor 1 00 outside and inside cut view. Figure 8 is a front perspective view of the reactor 100. Fig. 9 is a rear perspective view of the reactor 100. Figure 10 is a rear perspective view of the reactor viewed from below. Figure 11 is a cut-away view of the front of the reactor 100. FIG. 12 is a cut-away perspective view of another front of the reactor 100. FIG. Referring to Fig. 8, a substrate 8 (Fig. 12) is transferred through one of the slit valves 104 into the tank 102 and enters and exits the reactor 100 at the processing chamber 1 2 (Figs. 1 and 12). The base 8 is printed and mounted on the base by a plurality of lifting pins 108. The consumer property cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs (for example, an electrostatic chuck assembly 100, as shown in Figures 11 and 12), or from the base Remove. In the mounted or unloaded position, the top of the lifting pin 108 extends through a hole in the electrostatic chuck (ESC) 6 to keep the substrate above the top surface of the ES C 6. In the processing position, the top of the lifting pin 108 exits below the top surface of the ESC6, so that the substrate 8 and E S C 6 can be contacted (Figures 1 1 and 12). Referring to FIGS. 11 and 12, the lift pin 108 extends downward from the processing chamber 12 in the reactor 100 through the electrostatic chuck 10 (including ESC6, a cooling plate 11 and a bottom plate 112) and To the outside below the reactor 1.0. The lift pins 108 are each connected to a lift pin stand 1 1 4 to coordinate their movements. The vertical movement of the lifting pin foot 1 1 4 is based on the paper size and applies the Chinese National Standard (CNS) A4 specification (210X297 mm) -25-540093 A7 B7-—__ 丨 一--1 " " " " " " " " V. Description of the Invention (23) An off-axis lift pin actuator 1 1 6 (such as a pneumatic cylinder) is achieved. This controls the action of a tie rod 1 1 8 and tie rod 1 1 8 is connected to the lifting pin stand 1 1 4 by a peripheral joint 1 2 0, as shown in FIG. 10. The peripheral joint 1 2 0 transmits the lifting force to the lifting pin stand 1 1 4 without torque. Referring to Fig. 11, in order to facilitate the transfer of the substrate, a movable cover 14 needs to be in the loading position. Hood 1 4 uses a linear motor 1 2 2 to ascend or descend. This moves a linear motor output rod 1 2 4. This is connected by a collet 1 2 8 to the hood lifting foot 1 2 6. (best seen in the figure) 1 〇). A plurality of cover support legs 16 (FIG. 1 1) each extend through the cover support leg seals 1 30 and are connected between the cover lifter legs 1 2 6 and the cover 14. The axis of the linear motor 1 2 2 is aligned with the axis of the processing chamber 12, resulting in no net torque on the hood lifting foot 1 2 6. The lifting pin stand 1 1 4 rides on a part of the linear motor output rod 1 2 4 and is coaxial with the output rod 1 2 4 and the cover lifting leg 1 2 6. However, the lifting pin stand 1 1 4 is not affected by the movement of the rod 1 2 4 and this arrangement does not generate a net moment on the lifting pin 108. As mentioned above, the linear motor 1 2 2 provides actuation of the cover 14. This is different from ordinary movable bases, where a slower stepper motor is used for actuation. Ordinary stepping motors use a lead screw (possibly in conjunction with a gear train). This is slow but can move heavy mass to achieve the movement of the heavy base. The linear motor 1 2 2 does not use a gear train, but directly drives the load. The linear motor 1 2 2 includes multiple alternating magnets to achieve the movement of the output rod 1 2 4. The linear motor 1 2 2 can be a commercially available linear motor, and generally includes a sleeve with a coil and a movable The paper size surrounding the column is alternately applicable to the Chinese National Standard (CNS) A4 specification (210X 297 mm) (Please read the precautions on the back before filling this page) Printing -26-540093 A7 B7 _ V. Description of the invention (24) Magnet. The Hall effect magnetic sensor is used to precisely move the control rod through the sleeve by applying a signal to the coil. In one embodiment, the precise position of the pulse wave control rod relative to the sleeve applied to the coil is well known. Since the cover 1 4 is lighter than the ordinary heavy base, the linear motor 1 2 2 provides high-performance positioning with a response time of a few milliseconds. The linear motor 1 2 2 therefore provides quicker response and more accurate hood positioning than conventional steppers or servo motors that can be used to actuate the bases of ordinary A L D reactors. Referring to FIG. 11, a pump, such as a turbomolecular pump 1, is maintained as low as printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs, and the background environmental pressure of several micrometers or less is placed in the annular pump channel 20 surrounding the hood 14. The pump 1 32 is connected to the reactor 100 at an angle, and a ring-shaped throat 13 2 is completely exposed to a narrow chest 13 16 behind the processing chamber 12 to maximize the conductivity therebetween. In this way, the chestnut trough with the diameter d & g 1 3 2 is most exposed to the height h (here, h < d), and the restrictions between the pump 13 2 and the chamber 12 are the smallest (see also 1 0 discussed below) ). In specific processing applications, a pump speed limiter 3 8 can be inserted into the pump throat 1 2 2 to limit the conductivity as required. In __stomach_ _ a pressure controlled throttle (eg butterfly valve) can be used instead of $ _ @ limiter 1 3 8. The pressure in the pump tank 1 3 6 and the annular pump channel 2 is provided by a pressure sensor 1 mounted on the top surface of the reactor 100, and the top of the processing chamber 1 2 is covered by a chamber cover. 1〇 Defined. The pressure in the reverse processing chamber 1 2 can be in the micro-t 〇 I * ^ chamber (please read the precautions on the back before filling this page)-Binding · Order 0
之壓力由一快速室壓力感測器;L 4 2及一 視,二者裝於室…之上周:L 力感測器1 4 4 壓 -27 540093 A7 _B7____ 五、發明説明(25 ) (請先閱讀背面之注意事項再填寫本頁) (圖8 )。室蓋1 0之溫度由流於多個蓋冷卻/加熱通道 1 4 6中之流體控制(圖1 1 )。氣體引進於處理室1 2 中之一可能徑路爲通過蓮蓬頭三路閥1 4 8,此裝於室蓋 1 0上中心處。氣體引進於處理室中之另一可能方法爲通 過一罩氣體通道4 0。 RF電力經由一RF導線150轉移至ESC6中之 電極,導線1 5 0屏蔽於一 R F絕緣管1 5 2內。一氣體 媒質(普通稱爲背面氣體)經一背面氣體閥1 5 4提供至 ESC6,以改善ESC6及基體8間之熱交連。在處理 期間中,一可選用之蔭蔽環2 8停放於E S C 6之一部份 上,完全圍繞基體8之周邊邊緣。 圖1 3爲沿圖8之線1 3 - 1 3上之A L D反應器 1 〇〇之室部份1 56之斷面圖。基體進入槽1 〇 2顯示 在左方,延伸通過室體1 8。高度h之泵槽1 3 6顯示在 右方,延伸通道室體1 8而至直徑d之泵喉1 34。室體 1 8之溫度由流於室冷卻/加熱通道1 5 8中之流體控制 〇 經濟部智慧財產局員工消費合作社印製 室蓋1 0置放於室體1 8上。用以維持反應器1 〇 〇 內之低壓力之一真空密封經由使用一上〇環1 6 〇於室蓋 1 0及室體1 8間維持固定。一上RF墊片1 6 2在室蓋 1 〇及室體]· 9間橫向距◦環1 6 0 —距離處,構成R F 屏蔽。室蓋1 〇之溫度由流於蓋冷卻/加熱通道1 4 6中 之流體控制。或且,室蓋1 〇之溫度可由電或電阻加熱器 或其他冷卻/加熱裝置控制。 本紙張尺度適用中關) A4規格(21GX 297公釐) " " -28 - 540093 A7 B7 五、發明説明(26 ) (請先閱讀背面之注意事項再填寫本頁) 處理室1 2中之壓力由快速室壓力感測器1 4 2監視 ,此安裝於室蓋1 0之上周邊凸緣上。壓力感測器1 4 2 監視壓力分流空間1 6 4中之壓力,此由一壓力感測孔 1 6 6連接至處理室1 2。此安排使壓力感測器1 4 2可 曝露於室1 2之壓力,同時防止電漿及其他處理化學物到 •達,且可能損及壓力感測器1 4 2。 氣體可經由蓬頭氣體饋給入口 1 6 8引進於處理室 12中,此進入蓮蓬頭172上方之一充氣室17〇中, 蓮蓬頭1 7 2連接於室蓋1 0之下表面。蓮蓬頭1 7 2包 含一蓮蓬頭唇1 7 4及多個蓮蓬頭氣體孔1 7 6,此等用 &均勻分配氣體於處理室1 2中。 經濟部智慧財產局員工消費合作社印製 基體8置放於E S C組件1 0 6之上表面上,此部份 包含E S C 6,冷卻板1 1 0,及底板1 1 2。E S C組 件1 0 6之上表面及蓮蓬頭1 7 2間之垂直間隔可爲 ◦ · 3吋至1吋,普通小於0 · 6吋。背面氣體通路5 6 顯示在中心位置,並延伸通過ESC6。包含基體8所置 放處之上表面之最大部份之E S C 6使用一夾環1 7 8保 持與冷卻板1 1 〇接觸,此重疊E S C 6之底部處之一環 繞凸緣。多個夾環固定件1 8 0固定E S C 6及冷卻板 1 1 0間之連接,各延伸通過夾環1 7 8而進入冷卻板 110中。一處理具182完全包圍夾環178,且在電 氣上隱藏夾環固定件1 8 0於E S C 6及基體8外。以下 討論夾環1 7 8,固定件1 8 0,及處理具1 8 2之更詳 細圖,閱圖1 6。 ^氏張尺度適用中國國家標準(CNS ) A4規格(210X297^ '~ -29 - )4〇〇93 A7 五、發明説明(27 ) (請先閲讀背面之注意事項再填寫本頁) 冷卻板1 1 0之溫度使用流於多個冷卻劑通道7 8中 之流體控制,如顯示於圖1 3。冷卻板1 1 〇之上表面經 亥1J製®案,以製造多個熱裂口 1 8 4或空隙於E S C 6及 冷卻板1 1 0之間。熱裂口 1 8 4增加E S C 6及冷卻板 1 1 0間之溫度差。此使E S C 6之溫度可升高遠高於底 $ 1 1 2之溫度,此停留於較冷上。以下討論熱裂口 1 8 4之更詳細圖,閱圖2 7。 如顯示於圖1 3,冷卻板1 1 0之下表面連接至底板 112之上表面。底板112之上表面形成冷卻板11〇 $冷卻劑通道7 8之下壁。經由使用一〇環維持於底板 1 1 2及室體1 8之間維持一真空密封,以維持反應器 1〇0內部之低壓力。一RF墊片188在底板112及 室體1 8之間,橫向上與◦環1 8 6分開。 經濟部智慧財產局員工消費合作社印製 多個升降銷1 〇 8之一顯示在退出處理位置,升降銷 1 〇8之頂端在ESC6之頂表面下方。升降銷1 〇 8延 伸通過升降銷密封件1 9 0,此維持反應器1 0 〇內部之 低壓力。一升降銷墊圈1 9 2在升降銷1 0 8垂直移動通 過底板1 1 2,冷卻板1 1 0,及E S C 6之對齊之孔之 期間中,減小摩擦。 在圖1 3中,罩1 4顯示在中間處理位置。處理室 1 2故此在頂部由蓮蓬頭1 7 2,在底部大部份由 E S C 6,及在側邊由罩1 4界定,以限定電漿1 9 4。 罩1 4包含罩氣體通道4 0,並使用罩帽1 9 6連接至罩 支持腿1 6。罩支持腿1 6各延伸通過罩支持腿密封件 本紙張尺度適用中.國國家標準(CNS ) A4規格(21〇Χ29*7公釐) -30- 540093 A7 B7 五、發明説明(28 ) 1 3 0,此維持反應器1 0 0內部之低壓力。多個罩支持 腿墊圈1 9 8在支持腿1 6垂直移動通過底板1 1 2之孔 之期間中,減小摩擦。 一蔭蔽環鉤2 0 0連接至罩帽1 9 6之下部。蔭蔽環 鉤2 0 〇顯示與蔭蔽環2 8交插,此完全包圍E S C組件 1 0 6之周邊邊緣,並置放於處理具1 8 2之處理具 1 8 2之斜面2 〇 2上。在沉積於基體8上之期間中,蔭 蔽環2 8保護E S C組件1 0 6之在下部份。蔭蔽環2 8 亦界定基體8之邊緣附近之圓周部份,防止沉積於此。蔭 蔽環2 8亦負責界定室傳導率。以下討論處理具斜面 2 0 2之更詳圖,閱圖1 6。 在圖1 3中,二漏氣徑路調變處理室1 2及環形泵通 道2 0間之氣體流率,此大部份由室體1 8,室蓋1 0, 及E S C組件1 〇 6界定。由於處理室1 2及環形泵通道 2 0間之不同壓力,發生漏氣。一罩傳導上徑路2 2 —邊 由罩14之內上表面,及另一邊由室蓋10及蓮蓬頭 1 了 2之外表面界定。一罩傳導下徑路2 4 —邊由罩1 4 之下部,罩帽196,及蔭蔽環鉤200之表面,及另一 邊由蔭蔽環28之表面界定。上徑路22自處理室12通 至環形泵通道2 0之上部份2 0 4,而下徑路2 4則自處 理室1 2通至環形泵通道2 0之下部份2 0 6。 罩14可垂直移動,上升進入環形泵通道20之上部 份2 〇 4,或下降進入環形泵通道2 0之下部份2 0 6。 當罩1 4移動時,上徑路2 2及下徑路2 4之傳導率改變 本紙張尺度適用中國國家榡準(CNS ) A4規格(210x 297公釐) 9 --------丨 r (請先閲讀背面之注意事項再填寫本頁) 訂 f 經濟部智慧財產局員工消費合作社印製 -31 - 540093 A7 _____B7 五、發明説明(29 ) 。可控制傳導率之改變,俾以原子層沉積處理順序之各種 步驟所需之控制方式,改變處理室1 2中之壓力。 罩操作 與普通ALD反應器不同者,反應器2包含一固定基 座4 (閱圖1)。例如,圖1 2之反應器1 0 0包含 E S C組件1 〇 6。經由使用可移動罩1 4,方便基體8 之移動進入反應器1 〇 〇之處理室1 2中,罩在處理期間 中亦擔任一重要工作。 在整個A L D處理中,使用各種罩位置。圖1 4,圖 15,圖16,及圖17顯示圖13之室部份156之右 方之詳細斷面圖,顯示罩1 4在一基體裝載罩位置2〇8 中(圖1 4·),一低傳導率處理罩位置2 1 0中(圖1 5 ),一高傳導率處理罩位置2 1 2中(圖1 5 ),及一滌 淸罩位置2 1 4中(圖1^7 _)。 在圖1 4之裝載罩位置2 0 8中,罩支持腿1 6由線 性馬達1 2 2 (圖8 )升起。當罩1 4上升至某一點時, 蔭蔽環鉤2 0 0接觸蔭蔽環2 8,且亦升起該環。罩1 4 及蔭蔽環2 8然後一起上升。罩1 4進入環形泵通道2 0 之上部份。罩14及蔭蔽環2 8可上升,直至蔭蔽環2 8 接觸蓮蓬頭唇1 7 4爲止,此防止蔭蔽環2 8接觸蓮蓬頭 17 2。 裝載罩位置2 0 8如此使基體8可通過基體進入槽 1 0 2 (圖1 3 )載入(或卸出)處理室1 2。例如,爲 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) (請先閲讀背面之注意事項再填寫本頁) 衣·The pressure is determined by a fast chamber pressure sensor; L 4 2 and one view, both of which are installed in the chamber ... Upper periphery: L force sensor 1 4 4 Pressure-27 540093 A7 _B7____ V. Description of the invention (25) ( Please read the notes on the back before filling out this page) (Figure 8). The temperature of the lid 10 is controlled by the fluid flowing through the lid cooling / heating channels 1 4 6 (Fig. 1 1). One of the possible routes for the introduction of gas into the processing chamber 12 is through the three-way valve 1 4 8 of the shower head, which is installed at the center of the chamber cover 10. Another possible method of introducing gas into the processing chamber is through a hood gas channel 40. RF power is transferred to the electrodes in the ESC6 through an RF wire 150, and the wire 150 is shielded in an RF insulating tube 152. A gas medium (commonly referred to as the back gas) is provided to the ESC6 through a back gas valve 1 5 4 to improve thermal cross-linking between the ESC6 and the substrate 8. During processing, an optional shading ring 2 8 is parked on a part of ES C 6 and completely surrounds the peripheral edge of the substrate 8. FIG. 13 is a cross-sectional view of the chamber portion 156 of the A L D reactor 1000 on the line 13-13 of FIG. 8. The substrate entry groove 102 is shown on the left and extends through the chamber body 18. A pump groove 1 3 6 of height h is shown on the right, extending the passage chamber body 18 to a pump throat 1 34 of diameter d. The temperature of the chamber body 18 is controlled by the fluid flowing in the chamber cooling / heating channel 158. 〇 Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs The chamber cover 10 is placed on the chamber body 18. One of the vacuum seals used to maintain a low pressure in the reactor 1000 was maintained fixed between the chamber lid 10 and the chamber body 18 by using a ring 160. One upper RF gasket 16 2 is at the distance between the cover 10 and the chamber body 9 and the horizontal distance ◦ the ring 1 60, forming an R F shield. The temperature of the lid 10 is controlled by the fluid flowing in the lid cooling / heating channel 146. Alternatively, the temperature of the lid 10 can be controlled by an electric or resistance heater or other cooling / heating device. The dimensions of this paper apply to Zhongguan) A4 size (21GX 297mm) " " -28-540093 A7 B7 V. Description of the invention (26) (Please read the precautions on the back before filling this page) Processing room 1 2 The pressure is monitored by the fast chamber pressure sensor 14 2, which is mounted on the peripheral flange above the chamber cover 10. The pressure sensor 1 4 2 monitors the pressure in the pressure shunt space 1 6 4, which is connected to the processing chamber 12 through a pressure sensing hole 1 6 6. This arrangement allows the pressure sensor 1 2 2 to be exposed to the pressure of the chamber 12 while preventing plasma and other processing chemicals from reaching and possibly damaging the pressure sensor 1 4 2. The gas can be introduced into the processing chamber 12 through the bonnet gas feed inlet 16.8, which enters into an inflatable chamber 17o above the bonnet 172, and the bonnet 1 72 is connected to the lower surface of the chamber cover 10. The shower head 1 72 includes a shower head lip 17 4 and a plurality of shower head gas holes 1 7 6. These are used to evenly distribute the gas in the processing chamber 12. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. The substrate 8 is placed on the upper surface of the E S C module 10 6. This part includes E S C 6, the cooling plate 1 10, and the bottom plate 1 12. The vertical interval between the upper surface of the E S C component 10 and the shower head 172 may be ◦ · 3 inches to 1 inch, and generally less than 0 · 6 inches. The back gas path 5 6 is shown in the center and extends through ESC6. The E S C 6 containing the largest part of the upper surface of the place where the base body 8 is placed is held in contact with the cooling plate 110 by a clamp ring 1 8, and one of the bottoms of this overlapping E S C 6 surrounds the flange. A plurality of clamp ring fixing members 180 fixes the connection between E S C 6 and the cooling plate 110, each extending through the clamp ring 178 and entering the cooling plate 110. A treatment tool 182 completely surrounds the clamp ring 178, and electrically hides the clamp fixing member 180 from the ESC 6 and the base body 8 electrically. The following is a more detailed drawing of the clamp ring 178, the fixing member 180, and the processing tool 182, see FIG. 16. ^ Zhang scale is applicable to China National Standard (CNS) A4 specification (210X297 ^ '~ -29-) 4〇93 A7 V. Description of invention (27) (Please read the precautions on the back before filling this page) Cooling plate 1 The temperature of 10 is controlled using a fluid flowing in multiple coolant channels 78, as shown in FIG. The upper surface of the cooling plate 1 10 is manufactured by Hai 1J® to manufacture multiple thermal cracks 1 84 or voids between E S C 6 and the cooling plate 1 10. The thermal crack 1 8 4 increases the temperature difference between E S C 6 and the cooling plate 1 10. This allows the temperature of E S C 6 to rise much higher than the bottom $ 1 1 2 temperature, which stays on the colder side. A more detailed diagram of the thermal crack 1 8 4 is discussed below, see FIG. 2 7. As shown in FIG. 13, the lower surface of the cooling plate 110 is connected to the upper surface of the bottom plate 112. The upper surface of the bottom plate 112 forms a lower wall of the cooling plate 110 and the coolant channel 78. A vacuum seal is maintained between the bottom plate 1 12 and the chamber body 18 by using a 10 ring to maintain a low pressure inside the reactor 100. An RF gasket 188 is between the bottom plate 112 and the chamber body 18, and is separated from the ring 1 8 in the lateral direction. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. One of the multiple lifting pins 108 is displayed at the exit processing position, and the top of the lifting pin 108 is below the top surface of ESC6. The lift pin 108 extends through the lift pin seal 190, which maintains a low pressure inside the reactor 100. A lifting pin washer 1 92 reduces friction during the vertical movement of the lifting pin 10 8 through the aligned holes of the bottom plate 1 12, the cooling plate 1 10, and E S C 6. In FIG. 13, the cover 14 is shown in the intermediate processing position. The processing chamber 12 is therefore bounded by a shower head 1 72 at the top, E S C 6 at the bottom, and a cover 14 at the sides to define the plasma 194. The hood 14 contains a hood gas passage 40 and is connected to the hood support leg 16 using a hood cap 1 9 6. The hood support legs 16 each extend through the hood support leg seals. This paper size is applicable. National National Standard (CNS) A4 specifications (21〇 × 29 * 7 mm) -30- 540093 A7 B7 V. Description of the invention (28) 1 30, this maintains a low pressure inside the reactor 100. Multiple shroud supports The leg washers 1 9 8 reduce friction during the vertical movement of the support legs 16 through the holes in the base plate 1 12. A shaded ring hook 2000 is connected to the lower part of the cap 196. The shading ring hook 200 is intersected with the shading ring 28, which completely surrounds the peripheral edge of the ESC module 106, and is placed on the inclined surface 202 of the processing tool 18 2 of the processing tool 18 2. During the deposition on the substrate 8, the shadow ring 28 protects the lower part of the ESC module 106. The shadow ring 2 8 also defines a peripheral portion near the edge of the base body 8 to prevent deposition there. The shade ring 28 is also responsible for defining the chamber conductivity. The following is a more detailed view of the treatment tool slope 2 0 2, see FIG. 16. In FIG. 13, the gas flow rate between the two leakage path modulation processing chamber 12 and the annular pump channel 20 is mostly composed of the chamber body 18, the chamber cover 10, and the ESC module 1 〇 6 Define. Due to the different pressures between the processing chamber 12 and the annular pump channel 20, air leakage occurred. A cover conducts the upper path 2 2-the side is defined by the inner upper surface of the cover 14 and the other side by the chamber cover 10 and the outer surface of the shower head 1 2. One cover conducts the lower path 2 4-the side is defined by the lower part of the cover 14, the surface of the cover cap 196, and the shading ring hook 200, and the other side is defined by the surface of the shading ring 28. The upper path 22 leads from the processing chamber 12 to the portion 2 0 4 above the ring pump channel 20, and the lower path 22 leads from the processing chamber 12 to the portion 2 6 below the ring pump channel 20. The hood 14 can be moved vertically to rise into the upper part of the annular pump passage 20, or to fall into the lower part of the annular pump passage 20, 2006. When the cover 1 4 moves, the conductivity of the upper path 2 2 and the lower path 2 4 change. The paper size is applicable to China National Standard (CNS) A4 (210x 297 mm) 9 --------丨 r (Please read the notes on the back before filling this page) Order f Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs -31-540093 A7 _____B7 V. Description of Invention (29). The change in conductivity can be controlled, and the pressure in the processing chamber 12 can be changed with the control methods required for the various steps of the atomic layer deposition processing sequence. Hood operation Unlike conventional ALD reactors, reactor 2 includes a fixed base 4 (see Figure 1). For example, the reactor 100 of FIG. 12 includes an ESC module 106. Through the use of a movable cover 14, it is convenient to move the substrate 8 into the processing chamber 12 of the reactor 100, and the cover also plays an important role during the processing period. Throughout the A L D process, various hood positions are used. Figs. 14, 4, 16, and 17 show detailed sectional views to the right of the chamber portion 156 of Fig. 13, showing the cover 14 in a substrate loading cover position 208 (Fig. 14 ·) , A low-conductivity processing cover position 2 10 (Figure 15), a high-conductivity processing cover position 2 1 2 (Figure 15), and a polyester cover position 2 1 4 (Figure 1 ^ 7 _). In the loading cover position 2 0 8 of FIG. 14, the cover support leg 16 is raised by the linear motor 1 2 2 (FIG. 8). When the cover 14 is raised to a certain point, the shadow ring hook 2 0 0 contacts the shadow ring 28 and the ring is also raised. The mask 1 4 and the shadow ring 2 8 then rise together. The hood 14 enters the ring pump channel 20 above. The cover 14 and the shade ring 28 can be raised until the shade ring 2 8 contacts the shower head lip 1 7 4, which prevents the shade ring 2 8 from contacting the shower head 17 2. The loading cover position 2 0 8 allows the base body 8 to enter the tank 1 2 (Fig. 13) through the base body to load (or unload) the processing chamber 12. For example, the Chinese National Standard (CNS) A4 size (210X 297 mm) is applied to this paper size (please read the precautions on the back before filling this page).
、1T 經濟部智慧財產局員工消費合作社印製 -32- 540093 μ Β7 五、發明説明(30 ) (請先閱讀背面之注意事項再填寫本頁) 載入基體8於處理室1 2中,一基體葉板或槳板(未顯示 )攜帶基體8進入處理室1 2中。升降銷1 0 8由升降銷 致動器1 1 6 (圖1 0 )升起,以接觸基體8,並使基體 升離葉板之頂表面。葉板然後通過進入槽1 0 2退出室 1 2。升降銷1 0 8通過E S C 6之頂表面退出,使基體 8可置放於E S C 6上,如顯示於圖1 4。遵循相似之程 序,以自室1 2卸出基體8。 在另一實施例中,不使用蔭蔽環2 8,及罩1 4與固 定或可變之其他表面構成可變傳導徑路。在一些實施例, 可由充分降下罩1 4,俾基體8可在罩1 4之頂邊緣上方 通過,以達成載入位置。 一旦基體8已載入於處理室1 2中時,罩1 4由線性 馬達1 2 2 (圖8 )降下,以供處理。圖1 5所示之下傳 經濟部智慧財產局員工消費合作社印製 導處理罩位置2 1 0顯示罩1 4及蔭蔽環2 8之位置,此 時蔭蔽環2 8接觸處理具1 8 2。蔭蔽環2 8之一斜蔭蔽 環座2 1 6置放於處理具1 8 2之處理具斜面2 0 2上。 此爲蔭蔽環2 8及處理具1 8 2間之唯一接觸點。空氣隙 使蔭蔽環2 8與處理具1 8 2之處理具斜面2 0 2之每一 邊緣分開。蔭蔽環2 8及處理具1 8 2間之空氣隙使蔭蔽 環2 8及處理具1 8 2在處理期間中可不同熱膨脹。處理 具斜面2 0 2之斜面經由與蔭蔽環2 8之斜面之相互作用 ,有助於置蔭蔽環2 8於中心位置,俾基體8之邊緣由蔭 蔽環2 8之蔭蔽環邊緣均勻蔭蔽。 覃1 4之下降進入處理位置中產生罩傳導上徑路2 2 本紙張尺度適用中國國家標準(CNS ) A4規格(2丨0X297公慶) 540093 A7 B7 五、發明説明(31 ) (請先閱讀背面之注意事項再填寫本頁) 及罩傳導下徑路,如以上有關圖1 3所述。雖可減小下徑 路2 4之傳導率至零(1 5 ),但在沉積期間中,上徑 路2 2通常形成低傳導率漏氣徑路,同時下徑路2 4通常 形成高傳導率漏氣徑路(圖1 6 )。 由改變罩1 4與蔭蔽環2 8之關係位置,可調變傳導 出室1 2外之傳導率。此調變轉而改變室1 2之壓力。圖 1 6所示之高傳導率處理罩位置2 1 2顯示在A L D處理 之中間步驟中之罩1 4及蔭蔽環2 8之位置。下徑路2 4 包含若干不同之區域:多個(此實施例中爲三)固定傳導 率區2 2 0 (蔭蔽環鉤2 0 0及蔭蔽環2 8間之固定空隙 ’)與多個(此實施例中爲二)可變傳導率區2 2 2 (可變 空隙)交插。可精確控制(由線性馬達1 2 2精確設定罩 1 4之位置)固定傳導率區2 2 0及可變傳導率區2 2 2 之空間,視處理期間之需要,調整下徑路2 4之傳導率, 且故此室1 2之壓力。 經濟部智慧財產局員工消費合作社印製 在圖1 7之滌淸罩位置2 1 4中,由線性馬達1 2 2 (圖8)降下罩支持腿1 6。罩1 4及蔭蔽環鉤200下 降進入環形磯通道2 0之下部份2 0 6中。蔭蔽環2 8保 持置放於處理具1 8 2上。罩傳導上徑路2 2及罩傳導下 徑路2 4變爲高傳導率徑路。由於所製造之高傳導率,及 環形泵通道2 0之壓力低於室1 2,故滌淸罩位置2 1 4 可迅速抽出處理室1 2中之氣體至環形泵通道2 0。 如上述,線性馬達1 2 2 (圖8 )致動罩1 4。此可 迅速及精確改變罩傳導上及下徑路2 2及2 4之傳導率。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X:297公釐) -34- 經濟部智慧財產局員工消費合作社印製 540093 A7 ——〜__ 五 '發明説明(32 ) 此轉變爲迅速及精確改變處理室1 2之壓力,供特定之氣 體流進入處理室1 2中。 在一些實施例,置於泵喉1 3 4 (圖1 3 )處之一節 流閥(即蝴蝶閥,可變位置閘閥,鐘擺閥等)亦可與可移 罩14聯合使用,由調變泵132 (圖12)之最大泵速 度,達成處理室1 2中之壓力迅速改變。節流閥擴大在處 理室12中可達成之壓力範圍,提供處理室12中之壓力 之”粗調整,,,同時罩1 4提供壓力之”細調整”。 氣體引進及溫度控制用之蓮蓬頭及罩設計 ALD反應器1 〇〇 (圖1 1 )之創新硬體支持氣體 通過多個點引進於處理室1 2中。主要引進點爲通過反應 器1 0 0之頂部,尤其是通過蓮蓬頭三路閥1 4 8 (裝於 室蓋10上)及蓮蓬頭172 (最佳顯示於圖13)。氣 體亦可經由罩1 4引進於室1 2中,此另可構製用於溫度 控制。 圖1 8爲圖8之ALD反應器1 0 0中之氣體移送之 創新閥系統2 2 4之槪要圖。此實施例分開或以混合比例 移送單一先質及滌淸氣體至處理室1 2中。滌淸氣體用以 滌淸該室,並作爲擊發電漿之氣體源。用於先質之一攜帶 氣體自第一氣體源2 2 6流入,及滌淸氣體自第二氣體源 2 2 8流入。 昌ί篇帶氣體或條淸氣體不流進室1 2中诗,此由一*第 一三路閥2 3 0及一滌淸三路閥2 3 2分別改道通過一泵 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁)、 1T Printed by the Consumer Cooperative of Intellectual Property Bureau of the Ministry of Economic Affairs-32- 540093 μ Β7 V. Description of the invention (30) (Please read the precautions on the back before filling this page) Load the substrate 8 in the processing room 1 2 and 1 A substrate blade or paddle (not shown) carries the substrate 8 into the processing chamber 12. The lift pin 108 is raised by a lift pin actuator 1 16 (Fig. 10) to contact the base 8 and lift the base off the top surface of the blade. The leaf plate then exits the chamber 1 2 by entering the groove 10 2. The lift pin 108 is withdrawn through the top surface of the E S C 6 so that the substrate 8 can be placed on the E S C 6 as shown in FIG. 14. Following a similar procedure, the substrate 8 is unloaded from the chamber 12. In another embodiment, the shading ring 28 is not used, and the cover 14 and other surfaces that are fixed or variable form a variable conduction path. In some embodiments, the cover 14 can be lowered sufficiently, and the concrete base 8 can pass over the top edge of the cover 14 to achieve the loading position. Once the substrate 8 has been loaded into the processing chamber 12, the cover 14 is lowered by a linear motor 12 (Fig. 8) for processing. It is shown in Figure 15 that it is printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. The position 2 of the guide processing cover 2 1 0 shows the position of the cover 14 and the shadow ring 28. At this time, the shadow ring 2 8 contacts the processing tool 1 8 2. One of the shaded rings 2 8 is an oblique shaded ring seat 2 1 6 which is placed on the treatment tool inclined surface 2 2 of the treatment tool 1 8 2. This is the only contact point between the shadow ring 28 and the processing tool 18.2. The air gap separates the shadow ring 28 from each edge of the processing tool slope 2 0 2 of the processing tool 1 8 2. The air gap between the shading ring 28 and the processing tool 18 2 allows the shading ring 28 and the processing tool 18 2 to have different thermal expansions during processing. Treatment The slanted surface of the slanted surface 202 is used to interact with the slanted surface of the shadowed ring 28 to help position the shadowed ring 28 at the center. The edge of the base 8 is uniformly shaded by the edge of the shadowed ring 28. Qin 1 4 When the descent enters the processing position, the hood conducts the upper path 2 2 This paper size is applicable to the Chinese National Standard (CNS) A4 specification (2 丨 0X297 public celebration) 540093 A7 B7 V. Description of the invention (31) (Please read first Note on the back, please fill out this page again) and the cover conduction path, as described above in relation to Figure 1 3. Although the conductivity of the lower path 2 4 can be reduced to zero (1 5), during the deposition period, the upper path 2 2 usually forms a low-conductivity leak path, and the lower path 2 4 usually forms a high conductivity. Rate leak path (Figure 16). By changing the position of the relationship between the cover 14 and the shadow ring 28, the conductivity outside the chamber 12 can be adjusted. This modulation in turn changes the pressure in chamber 12. The position 2 1 2 of the high-conductivity processing mask shown in FIG. 16 shows the positions of the mask 14 and the shadow ring 28 in the intermediate step of the A L D process. The lower path 2 4 includes several different areas: a plurality (three in this embodiment) of a fixed conductivity area 2 2 0 (a fixed gap between the shaded ring hook 2 0 0 and the shaded ring 28) and a plurality of ( In this embodiment, two) The variable conductivity regions 2 2 2 (variable gap) are interleaved. Can accurately control (the position of the cover 1 4 is precisely set by the linear motor 1 2 2) the space of the fixed conductivity region 2 2 0 and the variable conductivity region 2 2 2, and the lower path 2 4 can be adjusted according to the needs during processing Conductivity, and therefore the pressure in chamber 12. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. In the polyester hood position 2 1 4 in Fig. 17, the hood support legs 16 are lowered by the linear motor 1 2 2 (Fig. 8). The hood 14 and the shading ring hook 200 descend into the portion 206 below the ring-shaped rock passage 20. The shadow ring 28 is held on the processing tool 1 8 2. The upper cover conduction path 2 2 and the lower cover conduction path 2 4 become high-conductivity paths. Due to the manufactured high conductivity and the pressure of the annular pump channel 20 is lower than the chamber 12, the polyester cover position 2 1 4 can quickly extract the gas in the processing chamber 12 to the annular pump channel 20. As described above, the linear motor 1 2 2 (FIG. 8) actuates the cover 14. This can quickly and accurately change the conductance of the hood conducting upper and lower paths 22 and 24. This paper size applies the Chinese National Standard (CNS) A4 specification (210X: 297 mm) -34- Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 540093 A7 —— ~ __ Five 'Invention Note (32) This change is rapid and The pressure in the processing chamber 12 is precisely changed to allow a specific gas flow to enter the processing chamber 12. In some embodiments, a throttle valve (ie, a butterfly valve, a variable position gate valve, a pendulum valve, etc.) placed at the pump throat 1 3 4 (Figure 1 3) can also be used in conjunction with the movable cover 14 by a modulation pump The maximum pump speed of 132 (Fig. 12) achieved a rapid change in the pressure in the processing chamber 12. The throttle valve expands the range of pressure that can be achieved in the processing chamber 12 and provides "rough adjustment" of the pressure in the processing chamber 12, while the cover 14 provides "fine adjustment" of the pressure. The shower head for gas introduction and temperature control and The innovative hardware support gas of the ALD reactor 100 (Figure 11) is introduced into the processing chamber 12 through a number of points. The main introduction point is through the top of the reactor 100, especially through the three-way shower head. Valve 1 4 8 (mounted on the cover 10) and shower head 172 (best shown in Figure 13). Gas can also be introduced into the chamber 12 through the cover 14, which can also be configured for temperature control. Figure 1 8 is a schematic diagram of the innovative valve system 2 2 4 for gas transfer in the ALD reactor 100 of FIG. 8. This embodiment transfers a single precursor and a purge gas to the processing chamber 12 separately or in a mixed ratio. Purge gas is used to purge the chamber, and is used as a gas source for the electric power generating pulp. It is used for one of the precursors to carry gas from the first gas source 2 2 6 and purge gas from the second gas source 2 2 8 . Chang 篇 articles with gas or strips of gas do not flow into the room 1 2 poems, this by a * the first three-way valve 2 3 0 and one polyester three-way valve 2 3 2 are diverted and passed through a pump. The paper size applies the Chinese National Standard (CNS) Α4 specification (210X297 mm) (Please read the precautions on the back before filling this page)
-35 - 540093 A7 —__^ 五、發明説明(犯) (請先閲讀背面之注意事項再填寫本頁) 旁通氣體管2 3 4至真空泵2 3 6。真空泵2 3 6之使用 使攜帶及滌淸氣體可在定態之情況中流,即使此等並不流 至室1 2中亦然。此避免由啓閉氣體源之長安定時間所引 起之氣體流之擾亂。 一蓮蓬頭三路閥1 4 8控制室氣體管2 3 8之進出, 各延伸至處理室1 2。如圖1 1所見,置於室蓋1 〇之中 心之二路閥1 4 8提供至少二不同之優點。第一,引進於 室12中之氣體可迅速切換,損失或延遲最少。第二,氣 體在室1 2外相互隔離,導致無反應劑竄流污染。 一第一啓閉閥2 4 0連接於一第二啓閉閥2 4 2及一 第三啓閉閥2 4 4之第一端之間。第二及第三啓閉閥 2 4 2及2 4 4之另一端連接至一第一先質源2 4 6。第 —啓閉閥2 4 0亦分別經一氣體管2 4 8及一氣體管 2 5 0連接至第一三路閥2 3 0及蓮蓬頭三路閥1 4 8之 間。先質源2 4 6可由關閉啓閉閥2 4 2及2 4 4隔離。 此可執行,例如用以更換先質源2 4 6。在此情形,啓閉 閥2 4 0可關閉,或打開,俾攜帶氣可經三路閥2 3 0及 經濟部智慧財產局員工消費合作社印製 1 4 8流進室1 2中。在沉積期間,第一啓閉閥2 4 0正 常關閉,及第二及第三啓閉閥242及244正常打開。 三路閥230, 232,及148與先質或滌淸氣體 之移送至室1 2中同步切換。當移送先質時,滌淸三路閥 2 3 2切換,俾使滌淸氣體流至真空泵2 3 6,及蓮蓬頭 三路閥1 4 8切換至先質方。同時,三路閥2 3 0切換, 使攜帶氣體可自第一氣體源2 2 6流經氣體管2 4 8及啓 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X 297公釐) -36- 540093 A 7 B7 五、發明説明(34 ) (請先閲讀背面之注意事項再填寫本頁) 閉閥2 4 2而進入先質源2 4 6中。攜帶氣體拾起先質源 2 4 6中之先質,通常由發泡通過液體源拾起。現含有先 質之攜帶氣體流過啓閉閥2 .4 4,通過氣體管2 5 0,通 過蓮蓬頭三路閥148,通過室氣體管238,並進入室 1 2中。 當移送滌淸氣體時,第一三路閥2 3 0切換,俾使攜 帶氣體流進真空泵2 3 6中。滌淸三路閥2 3 2及蓮蓬頭 三路閥1 4 8切換,使滌淸氣體可自第二氣體源2 2 8流 過氣體管2 5 2及室氣體管2 3 8而進入室1 2中。 閥系統2 2 4保持充有攜帶氣體之氣體管2 4 8,充 有攜帶加先質之氣體管2 5 0,及充有滌淸氣體之氣體管 2 5 2。此可迅速切換氣體源,大爲減少氣體移送至室 1 2之時間。閥系統2 2 4亦減少氣體之浪費至最低程度 ,因爲氣體管在沉積步驟之間無需沖洗。而且,在氣體切 換時,由於管充有氣體,由轉變壓力尖峰所引起之任何氣 體叢僅有助於初始階段之化學吸附或表面反應。 經濟部智慧財產局S工消費合作社印製 實施者明暸用以移送氣體至反應器1 0 0之閥系統可 有其他實施例。在圖1 8所示之實施例中,顯示二分開之 氣體源,提供攜帶氣體及滌淸氣體,此等可爲不同之氣體 。然而,在一些實施例,可使用相同之氣體作爲滌淸氣體 及作爲先質之攜帶氣體。在此情形,可使用如圖1 8所示 之分開之氣體源,或可單使用第一氣體源226於一單閥 系統2 5 4中,此具有與圖1 8之閥系統相似之許多組成 件,如槪要顯示於圖1 9。閥系統2 5 4可由閥系統 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -37- 540093 A7 _________— B7 五、發明説明(35 ) (請先閱讀背面之注意事項再填寫本頁) 2 5 8之一 T接頭2 5 6取代三路閥2 3 〇加以簡化,如 槪要顯示於圖2 〇,此具有與圖丄8之閥系統相似之許多 組成件。如在圖1 8之閥系統中.,閥系統2 5 4 (圖丄9 )及閥系統2 5 8 (圖2 0 )中之蓮蓬頭三路閥丄4 8控 制滌淸氣體或攜帶加先質氣體之流進室1 2中。如顯示於 閥系統2 5 4 (圖1 9 )及閥系統2 5 8 (圖2〇),栗 2 3 6可用於一些實施例中。 在一些貫施例,可需要多先質之氣體移送。多先質移 送之二實施例顯示於圖2 1之閥系統2 6 0及圖2 2之閥 系統2 6 2之槪要圖中。閥系統2 6 0 (圖2 1 )及 262 (圖22)各具有與圖18之閥系統224相似之 許多組成件。閥系統2 6 0 (圖2 1 )及2 6 2 (圖2 2 )顯示構造用於二先質源,但另可修改用於更多先質源。 在閥系統260 (.圖21)及262 (圖22)中,一第 經濟部智慧財產局員工消費合作社印製 一二路閥2 6 4控制攜帶氣體流至一第二先質源2 6 6中 。一第四啓閉閥268,一第五啓閉閥270,及一第六 啓閉閥272分別與閥240, 242,及244同樣連 接及同樣操作,以控制攜帶氣體之流過第二先質源2 6 6 。與氣體管2 4 8相似之一氣體管2 7 4連接於三路閥 2 6 4及啓閉閥2 7 0之間。 在圖/2 1中,除圖1 8之閥系統2 2 4之第一及第二 氣體源2 2 6及2 2 8外,閥系統2 6 0另包含一第三氣 體源.2 76。一第三三路閥278經氣體管280連接至 啓閉閥2 7 2,控制第二先質之移送經氣體管2 8 2至蓮 本紙張尺度適用中周國家標準(CNS ) A4規格(210X297公釐) -38- 540093 A7 B7 五、發明説明(36 ) 蓬頭三路閥1 4 8。一第四三路閥2 8 4控制滌淸氣體之 移送經氣體管2 5 2及氣體管2 8 6至三路閥2 7 8,此 如所需引導滌淸氣體經氣體管2 8 2至蓮蓬頭三路閥 14 8° 在圖2 2,閥系統2 6 2顯示構造使用氣體源2 2 6 於滌淸及攜帶氣體二者。攜帶氣體自氣體源2 2 6移送經 氣體管2 8 8至三路閥2 6 4。滌淸氣體移送經氣體管 2 5 2至第三三路閥2 7 8 (及任何更多先質源之相似閥 )。三路閥2 7 8之第三端經氣體管2 8 2連接至蓮蓬頭 三路閥1 4 8之第二端。三路閥2 7 8如此控制第二先質 及滌淸氣體之移送至蓮蓬頭三路閥1 4 8。 圖18, 19, 20, 21,及22之閥系統之其他 實施例可作其他修改。蓮蓬頭三路閥1 4 8之功能可由啓 閉閥(與閥2 4 0, 2 4 2,及2 4 4相似)及配件之等 效網路取代達成。計量閥可加裝於支線上,以調節特定支 線之流率。壓力感測器可加裝於支線上,並連接有閥致動 器,以引進已知量之反應劑。可操縱閥定時,以移送,,已 充”之氣體量至處理室1 2。傳統之閥可由進步之設計, 諸如微電機(Μ E Μ )基礎之閥或閥網路取代。整個閥系 統可加熱,以防止網路中之反應劑凝結。 圖2^ 3爲氣體分配之蓮蓬頭1 7 2之二實施例之透視 斷面圖。蓮蓬頭172設計具有較基體8及ESC6 (圖 1 3 )爲大之直徑,且故此爲大之面積。蓮蓬頭1 7 2包 含多個安裝孔2 9 0,用以方便由多個固定件(閱圖1 3 本紙張尺度適用巾家縣(CNS ) Α4規格(210X297公漦)" 一 -39- (請先閱讀背面之注意事項再填寫本頁) 裝_ 、?! 經濟部智慧財產局員工消費合作社印製 540093 A7 B7 五、發明説明(37 ) (請先閱讀背面之注意事項再填寫本頁) )固定蓮蓬頭1 7 2於室蓋1 〇。蓮蓬頭1 7 2亦包含多 個壓力感測孔1 6 6,各用於一感測器,用以感測處理室 1 2中之壓力。例如,快速室壓力感測器1 4 2及精密室 壓力感測器1 4 4 (圖8 )各需要蓮蓬頭;[7 2中之一壓 力感測孔1 6 6。蓮蓬頭1 7 2亦包含蓮蓬頭唇1 74, 圍繞蓮蓬頭1 7 2之邊緣周圍,用以防止蔭蔽環2 8撞擊 蓮蓬頭1 7 2。 蓮蓬頭1 7 2亦包含一空腔2 9 2在蓮蓬頭1 7 2之 上表面中心位置,如顯示於圖2 3 ( a )。在蓮蓬頭 1 7 2固定於室蓋1 〇時,空腔2 9 2形成充氣室1 70 (圖13)。多個蓮蓬頭氣體孔176成一圖案安排於空 腔2 9 2內,設計用於特定氣體流分佈。空腔2 9 2之直 徑設計大於基體8 (圖1 3)之直徑。在圖2 3 (b)之 實施例中,蓮蓬頭1 7 2包含一空腔2 9 4,此與圖2 3 (a )之空腔2 9 2相似,但空腔2 9 4具有一直徑設計 小於基體8之直徑。實施者明暸可使用若干不同之擴散裝 置,俾視需要修改氣體流之方向。 經濟部智慧財產局員工消費合作社印製 如上述,氣體亦可經由罩1 4引進於處理室1 2中。 此使氣體可成圓筒形引進於處理室1 2之空間周圍,如以 上有關圖4所討論。圖2 4爲罩組件2 9 6之實施例之透 視斷面圖,包含一罩氣體通道4〇,用於圖8之ALD反 應器1 0 0。多個罩支持腿1 6連接至罩帽1 9 6,此連 接於罩1 4之底部。大部份罩支持腿1 6爲實心。氣體經 由至少一空心罩支持腿2 9 8引進於罩1 4中,此延伸通 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -40- 540093 A7 B7___ 五、發明説明(38 ) 過罩帽1 9 8,進入罩1 4之罩氣體通道4 0中。 (請先閱讀背面之注意事項再填寫本頁) 罩氣體通道4 0爲環形,並延伸完全包圍罩1 4之底 部。罩氣體通道40爲一高傳導率通道,此使引進之氣體 可均句分佈於罩14之罩氣體通道周圍,然後引進於處理 室1 2 (圖1 3 )中。氣體通過多個氣體流孔3 〇 〇引進 於室1 2中,此等孔沿罩氣體通道4 〇均句分開’並延伸 通過罩1 4之內壁而進入處理室1 2中。罩組件2 9 6之 氣體引進徑路設計確保氣體均勻流於基體周圍,如有關圖 4所討論。 氣體之通過罩1 4引進使設計ALD處理可有巨大彈 性。在一些實施例,通過蓮蓬頭1 7 2引進之同一氣體可 同時通過罩1 4引進,以提供在處理室1 2中及在基體8 (圖13)上改良之函蓋。或且,在一些實施例,一氣體 可通過蓮蓬頭1 7 2引進,同時,一不同之氣體通過罩 1 4引進,可提高氣體隔離,並加速氣體循環。 經濟部智慧財產局員工消費合作社印製 在氣體流入前或期間中,罩1 4之移動使氣體可在與 基體8之平面平行之不同平面處引進於處理室1 2內。罩 移動可用以使特定A L D處理之氣體流分佈最佳化。 如前所討論。罩1 4之另一任務爲在處理期間中限定 電漿1 9 4 (圖1 3 ),此會導致加熱該罩1 4。爲維持 罩於可接受之溫度上,置設計中可加裝一冷卻/加熱通道 。此亦有助於防止罩1 4上之沉積。 圖2 5爲一罩組件3 0 2之實施例之透視斷面圖,包 含一罩冷卻/加熱通道3 04,用於圖8之ALD反應器 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -41 - 540093 A7 B7 五、發明説明(39 ) 1〇0中。罩組件3 0 2包含一些罩支持腿1 6,此等爲 實心,在罩1 4之底部處連接至罩帽1 9 6。與圖2 4之 罩組件2 9 6 (此包含氣體通道4 0 )相似,一冷卻或力D 熱流體向上流經至少一空心罩支持腿3 0 6而進入罩1 4 中,此延伸通過罩帽19 6而進入罩14之冷卻/加熱通 道3 0 4中。罩冷卻/加熱通道3 0 4爲環形,且延伸於 罩1 4之底部周圍約三分之二長度。冷卻或加熱流體向下 流出該罩1 4,通過至少另一空心罩支持腿(未顯示), 此與空心罩支持腿3 0 6相似。 使用流於罩冷卻/加熱通道3 0 4中之流體冷卻或加 熱罩1 4亦可改善經由罩1 4引進於處理室1 2中之氣體 之溫度之控制。圖2v6爲罩組件3 0 8之實施例之透視斷 面圖,包含罩氣體通道40及罩冷卻/加熱通道304二 者,用於圖8之ALD反應器1〇〇中。在圖26所示之 實施例中,氣體通道40置於冷卻/加熱通道304上方 。空心罩支持腿3 〇 6延伸通過罩帽1 9 6而進入罩冷卻 /加熱通道3 0 4中,俾流體可流過。空心罩支持腿 2 9 8延伸通過罩帽1 9 6及罩冷卻/加熱通道3 0 4而 進入氣體通道4 0中,俾氣體可自罩1 4引進經氣體流孔 300而進入處理室12中。 實施者明瞭罩組件3 0 8可包含氣體通道4 0及冷卻 /加熱通道3 0 4之其化安排,包含多個氣體通道4 0及 /或多個冷卻/加熱通道3 0 4。 特定罩組件實施例之設計極有彈性,且反應器1 〇 0 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X 297公釐) (請先閲讀背面之注意事項再填寫本頁)-35-540093 A7 —__ ^ V. Description of the invention (offender) (Please read the precautions on the back before filling out this page) Bypass the gas pipe 2 3 4 to the vacuum pump 2 3 6. The use of the vacuum pump 2 3 6 allows the carrying and cleaning gas to flow in a steady state even if these do not flow into the chamber 12. This avoids disruption of the gas flow caused by the long settling time of opening and closing the gas source. A shower head three-way valve 1 4 8 controls the entrance and exit of the gas pipe 2 3 8 to the processing chamber 12. As seen in FIG. 11, a two-way valve 1 4 8 placed in the center of the cover 10 provides at least two different advantages. First, the gas introduced into the chamber 12 can be quickly switched with minimal loss or delay. Second, the gases are isolated from each other outside the chamber 12, resulting in non-reactant cross-flow pollution. A first on-off valve 2 40 is connected between a second on-off valve 2 4 2 and a first end of a third on-off valve 2 4 4. The other ends of the second and third on-off valves 2 4 2 and 2 4 4 are connected to a first precursor source 2 4 6. The first on-off valve 24 0 is also connected between the first three-way valve 2 30 and the shower head three-way valve 1 48 through a gas pipe 2 48 and a gas pipe 250 respectively. The precursor source 2 4 6 can be isolated by closing the on-off valves 2 4 2 and 2 4 4. This can be performed, for example, to replace the precursor source 2 4 6. In this case, the on-off valve 240 can be closed or opened, and the radon gas can be printed into the room 12 through the three-way valve 230 and printed by the employee's consumer cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. During the deposition, the first on-off valve 240 was normally closed, and the second and third on-off valves 242 and 244 were normally opened. The three-way valves 230, 232, and 148 are switched synchronously with the transfer of precursor or polyester gas to the chamber 12. When the precursor is transferred, the polyester three-way valve 2 3 2 is switched, so that the polyester-three gas flows to the vacuum pump 2 3 6 and the shower head three-way valve 1 4 8 is switched to the precursor side. At the same time, the three-way valve 2 30 is switched, so that the carrying gas can flow from the first gas source 2 2 6 through the gas pipe 2 4 8 and the paper size is applicable. National Standard (CNS) A4 specification (210X 297 mm) ) -36- 540093 A 7 B7 V. Description of the invention (34) (Please read the precautions on the back before filling out this page) Close the valve 2 4 2 and enter the precursor source 2 4 6. The carrier gas picks up the precursors in the precursor source 2 4 6 and is usually picked up by foaming through the liquid source. The carrier gas containing the precursor now flows through the on-off valve 2.4, through the gas pipe 250, through the shower head three-way valve 148, through the chamber gas pipe 238, and enters the chamber 12. When the scrubbing gas is transferred, the first three-way valve 230 is switched, so that the entrained gas flows into the vacuum pump 2 36. Polyester three-way valve 2 3 2 and shower head three-way valve 1 4 8 switch, so that the polyester gas can flow from the second gas source 2 2 8 through the gas pipe 2 5 2 and the chamber gas pipe 2 3 8 and enter the chamber 1 2 in. The valve system 2 2 4 holds a gas pipe 2 4 8 filled with a carrier gas, a gas pipe 2 50 filled with a precursor, and a gas pipe 2 5 2 filled with a purge gas. This can quickly switch the gas source, greatly reducing the time for gas transfer to the chamber 12. The valve system 2 2 4 also reduces gas wastage to a minimum, as the gas tube does not need to be flushed between deposition steps. Moreover, during gas switching, as the tube is filled with gas, any gas clusters caused by the transition pressure spikes only contribute to the initial stage of chemisorption or surface reactions. Printed by the Intellectual Property Bureau of the Ministry of Economic Affairs, Industrial Cooperative Cooperative. The implementer knew that there could be other embodiments of the valve system used to transfer gas to the reactor 100. In the embodiment shown in Fig. 18, two separate gas sources are shown, providing a carrier gas and a purge gas, which may be different gases. However, in some embodiments, the same gas may be used as the purge gas and as the precursor carrier gas. In this case, a separate gas source as shown in FIG. 18 may be used, or the first gas source 226 may be used alone in a single valve system 2 54, which has many components similar to the valve system of FIG. 18 Pieces, such as 槪 are shown in Figure 19. The valve system 2 5 4 can be used by the valve system. The paper size is applicable to the Chinese National Standard (CNS) A4 specification (210X297 mm) -37- 540093 A7 _________— B7 V. Description of the invention (35) (Please read the notes on the back before filling This page) One of the 2 5 8 T joints 2 5 6 replaces the three-way valve 2 3 0 and is simplified, as shown in Fig. 20, which has many components similar to the valve system of Fig. 8 As shown in the valve system in Figure 18, the valve system 2 5 4 (Figure 9) and the valve system 2 5 8 (Figure 20) of the three-way valve of the shower head 4 8 control the cleaning gas or carry the precursor The gas flows into the chamber 12. As shown in valve system 2 5 4 (Figure 19) and valve system 2 5 8 (Figure 20), pump 2 36 can be used in some embodiments. In some embodiments, multiple precursor gas transfers may be required. The second embodiment of the multi-precursor transfer is shown in the essential diagrams of the valve system 26 in FIG. 21 and the valve system 2 62 in FIG. 22. The valve systems 26 (FIG. 21) and 262 (FIG. 22) each have many components similar to the valve system 224 of FIG. The valve systems 26 (Fig. 21) and 26 (Fig. 2 2) are shown to be constructed for two precursor sources, but can be modified for more precursor sources. In the valve system 260 (.Figure 21) and 262 (Figure 22), a consumer cooperative of the Intellectual Property Bureau of the First Ministry of Economic Affairs printed a two-way valve 2 6 4 to control the flow of carried gas to a second precursory source 2 6 6 in. A fourth on-off valve 268, a fifth on-off valve 270, and a sixth on-off valve 272 are connected and operated in the same manner as valves 240, 242, and 244, respectively, to control the flow of the carried gas through the second precursor Source 2 6 6. A gas pipe 2 7 4 similar to the gas pipe 2 4 8 is connected between the three-way valve 2 6 4 and the on-off valve 2 70. In Fig. 21, in addition to the first and second gas sources 2 2 6 and 2 2 8 of the valve system 2 2 4 of Fig. 18, the valve system 2 60 also includes a third gas source 2 76. A third three-way valve 278 is connected to the on-off valve 2 7 2 through a gas pipe 280, and controls the transfer of the second precursor through the gas pipe 2 8 2 to the lotus paper. The paper standard is applicable to the National Standard (CNS) A4 (210X297) (Mm) -38- 540093 A7 B7 V. Description of the invention (36) Three-way valve with bonnet 1 4 8 A fourth three-way valve 2 8 4 controls the transfer of the polyester gas through the gas pipe 2 5 2 and the gas pipe 2 8 6 to the three-way valve 2 7 8, which guides the polyester gas through the gas pipe 2 8 2 to Shower head three-way valve 14 8 ° In Figure 22, the valve system 2 6 2 shows the construction using a gas source 2 2 6 for both cleaning and carrying gas. The carrying gas is transferred from the gas source 2 2 6 through the gas pipe 2 8 8 to the three-way valve 2 6 4. The scrubbing gas is transferred through the gas pipe 2 5 2 to the third three-way valve 2 7 8 (and similar valves of any more precursors). The third end of the three-way valve 2 7 8 is connected to the shower head via the gas pipe 2 8 2. The three-way valve 2 7 8 thus controls the transfer of the second precursor and the polyester gas to the shower head three-way valve 1 4 8. Other embodiments of the valve systems of Figs. 18, 19, 20, 21, and 22 may be modified. The function of the shower head three-way valve 1 4 8 can be replaced by an on-off valve (similar to the valves 2 40, 2 4 2 and 2 4 4) and the equivalent network of accessories. The metering valve can be added to the branch line to adjust the flow rate of a specific branch line. The pressure sensor can be installed on the branch line and connected with a valve actuator to introduce a known amount of reactant. The valve can be operated at a fixed time to transfer the "charged" gas to the processing chamber 12. Traditional valves can be replaced by progressive designs, such as micro-motor (M E M) based valves or valve networks. The entire valve system can be Heating to prevent condensation of the reactants in the network. Figure 2 ^ 3 is a perspective sectional view of the embodiment of the shower head 172 2 for gas distribution. The shower head 172 is designed to have a larger size than the substrate 8 and ESC6 (Figure 1 3). Diameter, and therefore a large area. The shower head 1 7 2 includes a plurality of mounting holes 2 9 0 to facilitate the use of multiple fixing parts (see Figure 1 3. This paper size applies to Jinjia County (CNS) A4 size (210X297). (Public Seal) I-39- (Please read the precautions on the back before filling in this page) _ _,?! Printed by the Consumer Cooperatives of Intellectual Property Bureau of the Ministry of Economic Affairs 540093 A7 B7 V. Description of Invention (37) (please first Read the notes on the back and fill in this page)) Fix the shower head 1 7 2 to the chamber cover 1 0. The shower head 1 2 also includes multiple pressure sensing holes 1 6 6 each for a sensor for sensing Process the pressure in the chamber 12. For example, fast chamber pressure sensor 1 4 2 and precision chamber pressure One of the sensors 1 4 4 (Figure 8) requires a shower head; [7 2 one of the pressure sensing holes 1 6 6. The shower head 1 7 2 also includes a shower head lip 1 74 around the edge of the shower head 1 72 to prevent The shade ring 2 8 hits the shower head 1 72. The shower head 1 7 2 also contains a cavity 2 9 2 at the center of the surface above the shower head 1 7 2 as shown in Figure 2 3 (a). The shower head 1 7 2 is fixed to the room When the lid is 10, the cavity 2 9 2 forms an inflatable chamber 1 70 (Figure 13). A plurality of shower head gas holes 176 are arranged in a pattern in the cavity 2 9 2 and are designed for a specific gas flow distribution. The cavity 2 9 2 The diameter is designed to be larger than the diameter of the base body 8 (Fig. 13). In the embodiment of Fig. 2 (b), the shower head 1 72 includes a cavity 2 9 4 which is the same as the cavity 2 9 of Fig. 2 (a). 2 is similar, but the cavity 2 9 4 has a diameter smaller than the diameter of the base body 8. The implementer knows that several different diffusion devices can be used and the direction of the gas flow can be modified as needed. As described above, the gas can also be introduced into the processing chamber 12 through the cover 14. This allows the gas to be introduced into the space of the processing chamber 12 in a cylindrical shape. The surroundings are as discussed above in relation to Fig. 4. Fig. 24 is a perspective sectional view of an embodiment of the hood assembly 296, including a hood gas passage 40 for the ALD reactor 100 of Fig. 8. Multi Each hood support leg 16 is connected to the hood cap 196, which is connected to the bottom of the hood 14. Most of the hood support legs 16 are solid. Gas is introduced into the hood 1 4 through at least one hollow hood support leg 2 9 8 In this extension, the paper size applies to the Chinese National Standard (CNS) A4 specification (210X297 mm) -40- 540093 A7 B7___ V. Description of the invention (38) Pass the cover 1 9 8 and enter the cover gas passage of the cover 1 4 4 in 0. (Please read the precautions on the back before filling out this page) The cover gas channel 40 is annular and extends completely around the bottom of the cover 14. The hood gas passage 40 is a high-conductivity channel, so that the introduced gas can be evenly distributed around the hood gas passage of the hood 14, and then introduced into the processing chamber 1 2 (Fig. 13). The gas is introduced into the chamber 12 through a plurality of gas flow holes 300, and these holes are spaced apart along the cover gas passage 40 and extend through the inner wall of the cover 14 into the processing chamber 12. The design of the gas introduction path of the hood assembly 2 96 ensures that the gas flows uniformly around the substrate, as discussed in relation to FIG. 4. The introduction of the gas through the hood 14 makes the design of the ALD process extremely flexible. In some embodiments, the same gas introduced through the shower head 172 may be introduced simultaneously through the hood 14 to provide improved cover in the processing chamber 12 and on the substrate 8 (FIG. 13). Or, in some embodiments, a gas may be introduced through the shower head 172, and at the same time, a different gas may be introduced through the cover 14 to improve gas isolation and accelerate gas circulation. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs Before or during the gas inflow, the movement of the cover 14 allows the gas to be introduced into the processing chamber 12 at different planes parallel to the plane of the substrate 8. Hood movement can be used to optimize the gas flow distribution for a particular AL treatment. As discussed previously. Another task of the hood 14 is to limit the plasma 1 9 4 (Figure 13) during processing, which will cause the hood 14 to heat up. To maintain the hood at an acceptable temperature, a cooling / heating channel can be added to the design. This also helps prevent deposition on the cover 14. FIG. 25 is a perspective cross-sectional view of an embodiment of a hood assembly 300, including a hood cooling / heating channel 304 for the ALD reactor of FIG. 8. The paper size is applicable to the Chinese National Standard (CNS) A4 specification ( 210X 297 mm) -41-540093 A7 B7 V. Description of the invention (39) 100. The hood assembly 3 0 2 includes some hood support legs 16, which are solid and connected to the hood cap 196 at the bottom of the hood 14. Similar to the cover assembly 2 9 6 (this contains the gas channel 40) of FIG. 24, a cooling or force D hot fluid flows upward through at least one hollow cover support leg 3 0 6 and enters the cover 1 4, which extends through the cover The cap 19 6 enters the cooling / heating channel 3 0 4 of the cover 14. The hood cooling / heating channel 3 0 4 is annular and extends about two thirds of the length around the bottom of the hood 1 4. Cooling or heating fluid flows out of the hood 1 4 and supports the leg by at least another hollow hood (not shown), which is similar to the hollow hood support leg 3 0 6. The use of fluid flowing in the hood cooling / heating channel 3 0 4 to cool or heat the hood 14 can also improve the control of the temperature of the gas introduced into the processing chamber 12 through the hood 14. Fig. 2v6 is a perspective sectional view of the embodiment of the hood assembly 308, which includes the hood gas passage 40 and the hood cooling / heating passage 304, and is used in the ALD reactor 100 of Fig. 8. In the embodiment shown in Fig. 26, the gas passage 40 is placed above the cooling / heating passage 304. The hollow shroud support leg 3 06 extends through the shroud 196 and enters the shroud cooling / heating channel 3 04, and the radon fluid can flow through. Hollow hood support legs 2 9 8 extend through hood 196 and hood cooling / heating channel 3 0 4 and enter gas channel 40. Radon gas can be introduced from cover 1 4 through gas flow hole 300 and enter processing chamber 12 . The implementer understands that the hood assembly 308 may include a combination of a gas channel 40 and a cooling / heating channel 300, including a plurality of gas channels 40 and / or a plurality of cooling / heating channels 304. The design of the specific hood assembly embodiment is extremely flexible, and the reactor has a paper size of 100. China National Standard (CNS) A4 specification (210X 297 mm) (Please read the precautions on the back before filling this page)
X 經濟部智慧財產局員工消費合作社印製 -42- 540093 A7 _ B7 五、發明説明(40 ) 設計方便各種罩組件之移出,更換,及使用。此可容易弓丨 進可含有氣體移送及冷卻/加熱(即罩組件3 0 8 ),或 僅含此等之一(即罩組件2 9 6或3 0 2 ),或不含氣體 移送亦不含冷卻/加熱之罩組件,視客戶及處理之需求而 定。 靜電卡盤組件設計 所發表之實施例中之A L D處理爲離子誘發(閱例如 以上所提之申請書序號0 9 / 8 1 2 , 3 5 2,申請書序 號09/812, 486,及申請書序號 09/812, 285),而非熱誘發者,使用處理室 1 2中所產生之電漿1 9 4 (圖1 1及1 3 )。此可在較 普通A L D系統爲低之溫度上沉積,可由靜電卡盤( E S C )組件1 〇 6取代普通加熱之接受座,以保持基體 8。E S C組件1 〇 6可另設計,以改善溫度控制及改善 射頻(R F )電力交連。 圖2 7A爲圖8之ALD反應器1 0 〇之靜電卡盤組 件1 0 6之實施例之切開透視圖。E S C組件1 〇 6部份 包含-靜電卡盤(E S C ) 6,一冷卻板1 1 0,及一底 板1 1 2。冷卻板1 1 〇及底板1 1 2可製成環形,具有 重疊之中心孔一起界定一進出口 3 1 0,此提供E S C 6 下面之中心區之進出。 基體8安置於環形密封唇4 6上,該唇在周邊上圍繞 E S C 6之一頂表面5 0。環形密封唇4 6保持基體8於 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) 1裝· 訂 經濟部智慧財產局員工消費合作社印製 -43- 540093 A7 B7 五、發明説明(μ ) 表面5 〇上,界定一背面氣體空間4 8,由表面5 〇,密 Μ # 4 6,及基體8之背面界定。 (請先閲讀背面之注意事項再填寫本頁) 背面氣體經由通至背面氣體閥1 5 4之背面氣體入口 3 1 2提供至氣體空間4 8。氣體閥1 5 4置於反應器 1 〇 〇下面外部,在底板1 1 2之外邊緣處,提供容易進 出(圖8及圖1 1 )。背面氣體沿背面氣體管5 4流,此 沿底板1 1 2之下表面徑向向內延伸。氣體管5 4彎曲向 上通過進出口 3 1 〇,並使用背面氣體管凸緣3 1 4連接 於E S C 6之底表面中心。背面氣體流過一背面氣體通路 5 6 (此在ESC6之中心位置,並延伸通過ESC6) 而至氣體空間4 8。在凸緣3 1 4內之一背面氣體管密封 件3 1 6維持氣體空間4 8之壓力。背面氣體擔負基體8 之溫度控制之重要責任。 經濟部智慧財產局員工消費合作社印製 靜電卡盤通常爲介質材料(例如氮化鋁A 1 Ν或聚醯 亞胺)所製。E S C 6可設計具有其體材料效 Rahbek ( J R )效應,而非庫侖效應主宰,因爲j R效應 提供較強,較有效之靜電吸力。J R E S C普通具有體電 阻係數在1 0 8及1 0 1 2 Ω c m之間,而庫侖E S C則 通常具有體電阻係數大於1 〇 1 3 Ω c m。 至少二電極埋置於E S C 6之介質材料中,接近頂表 面5 0處。一第一電極8 0及一第二電極8 2製成同心環 形板,爲導電性材料,例如鎢或銷所製。第一電極8 〇使 用一第一電極端3 Ί 8偏壓,此連接至第一電極8 0,並 向下延伸通過E S C 6而進入進出口 3 1 0。第二電極 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X297公釐) -44 - 540093 A7 B7 五、發明説明(42 ) (請先閲讀背面之注意事項再填寫本頁) 8 2使用一分開之第二電極端(未顯示)偏壓。一 D C ” 卡住”電壓施加於第一電極8 0及第二電極8 2二者,以 產生靜電吸方於基體8及E S C 6之頂表面5 0之間,俾 在處理期間中保持基體8。同時,R F偏壓電力亦連接至 每一電極8 0及8 2。在調變之離子誘發原子層沉積之期 間中,R F偏壓電力提供電漿且因而離子產生之電力。 除產生電漿外,R F偏壓電力亦誘發一小負電位(例 如,普通一10V至一80V之DC偏置電壓,在 €15〇WRF功率及〇 . 1 — ITorr壓力)於基體 8上。該電位之幅度應爲S 1 5 0V。所誘發之電壓界定 電漿中之正電荷離子,並吸引正電荷離子至基體8之表面 。正電荷離子撞撃於晶圓上,驅動沉積反應,並提高沉積 薄膜之密度。 經濟部智慧財產局員工消費合作社印製 一電阻加熱器7 2亦埋置於E S C 6中。電阻加熱器 7 2製成至少一線圏或帶形狀,此纒繞整個E S C 6,在 電極8 0及8 2及E S C 6之底面間約中途處之平面中。 經由連接至加熱器7 2之至少一電阻加熱器接頭3 2 0控 制加熱器7 2。接頭3 2 0向下延伸通過E S C 6而進入 進出口 3 10。如此,ESC6基本上爲一介質基體支持 座,具有埋置之加熱器7 2,及埋置之電極8 0及8 2用 於DC偏壓及RF電力連接。 E S C 6使用一環形夾環1 7 8保持與冷卻板1 1 〇 接觸,此重疊於E S C 6底部處之一圍繞凸緣之一夾陸 322。一 ESC〇環324產生一真空密封於ESC6 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -45- 540093 A7 B7 五、發明説明(43 ) 及冷卻板1 1 〇之間。多個夾環固定件1 8 〇確保 E s C 6及冷卻板1 1 〇間之連接,各延伸穿過夾環 1 7 8而進入冷卻板1 1 〇中。具有環肘形狀之一處理具 1 8 2完全圍繞夾環1 7 8,覆蓋夾環1 78之頂表面及 側表面。處理具1 8 2包含一處理具斜面2 0 2,用以使 蔭蔽環2 8 (圖1 5 )置於處理具1 8 2之中心位置。處 理具1 8 2可爲介質材料(例如氧化鋁,氮化鋁,或硬陽 極化鋁)所製,使夾環固定件1 8 0與E S C 6及基體8 電隔離。處理具1 8 2亦防止夾環1 7 8及固定件1 8 0 接受處理氣體,方便反應器100之淸潔(圖12)。 冷卻板1 1 0可由多種導熱性材料,例如鋁或不銹鋼 所製(例如機器製造)。冷卻板1 1 0之上表面經刻製圖 案,以產生多個小面積接觸點3 2 6及多個熱裂開點 1 8 4。具有隆起緣形狀之接觸點3 2 6接觸E S C 6之 底表面。熱裂開點1 8 4爲E S C 6及冷卻板1 1 0間之 空隙,此等增加E S C 6及冷卻板1 1 0間之溫度差。冷 卻板1 1 0之溫度可使用流體(例如水)流於多個冷卻劑 通道7 8中加以控制。冷卻劑通道7 8設計使流體可在大 圓圈之方式中流於冷卻板1 1 0之不同直徑上。 冷卻板1 1 0之下表面連接於底板1 1 2之上表面。 底板1 1 2之上表面形成冷卻板1 1 〇中之冷卻劑通道 7 8之下壁。可爲鋁製之底板1 1 2提供E S C組件 1 0 6之結構支持。冷卻板1 1 0之熱裂開點1 8 4可維 持E S C 6之頂表面5 〇 (此可接近3 0 0 °C )及底板 本紙張尺度顧關家辟(CNS ) A4—^21^ 297^ ) ---------裝-- (請先閱讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局員工消費合作社印製 -46- 540093 A7 B7 --——-~— ------------ 五、發明説明(44 ) 1 1 2之底表面(此曝露於空氣中,且可低於5 0 °C )間 之重大溫度差。 (請先閲讀背面之注意事項再填寫本頁) 多個升降銷1 0 8 (此方便載入及卸出基體8)之一 顯示在退出處理位置,升降銷1 0 8之頂端在E S C 6之 頂表面下方。升降銷1 0 8各延伸穿過一升降銷孔3 2 8 ,此等包含在底板112,冷卻板110,及ESC6中 之多個對齊之孔。 E S C組件1 0 6可有其他實施例。例如,在一些實 施例 > 孔之至少一周邊環可用以引進背面氣體,而非僅一 中心位置之孔,如更詳細討論於下。而且,在一些實施例 ,可由普通接受座取代E S C 6,以方便在高溫上之 A L D處理。 圖2 7B顯示交插之電極7 9及8 3,及圖2 7C顧 示D形之電極8 5及8 7,此等可用以取代圖2 7A中之 同心環形板電極8 0及8 2。電極8 5及8 7可爲實心, 並具有一開口,如由虛線所示。實施者明瞭電極可有各種 其他實施例。 經濟部智慧財產局員工消費合作社印製 在一實施例,蓮蓬頭1 7 2 (圖2 3 )並不接地,而 是連接至一RF源,其方式與圖7之RF源連接至ESC 電極相似。供應於蓮蓬頭1 7 2之R F電力及連接至 E S C之電極8 1及8 2之R F電力間之相位差控制離子 密度及能量,以1 8 0 °之相位差產生最大離子密度及能 量。在另一實施例,二R F源具有不同之頻率1 本紙張尺度適用中國國家標準(CNS ) A4規格(210x297公董) -47 - 540093 A7 —~^__ 五、發明説明(45 ) 靜電卡盤組件之溫度控制 (請先閲讀背面之注意事項再填寫本頁} E S C組件1 0 6 (圖2 7 A )之溫度控制在高品質 原、子層沉積上甚重要。置放於E S C 6之環形密封唇4 6 之基體8上之均勻溫度促進先質之均勻化學吸附。如基 體8之溫度太高,會發生先質之分解或解吸附。如基體之 温度太低,阻礙化學吸附及沉積反應。 圖2 8爲圖8之ALD反應器1 〇 0之靜電卡盤( E S C )組件1 〇 6 (圖2 7 A )之控制系統3 3〇之槪 要圖。控制系統3 3 0可應用於圖1之A L D反應器2之 基座4之各種實施例中。控制系統3 3 0爲前述之圖6之 控制系統4 4之一實施例。 經濟部智慧財產局員工消費合作社印製 控制系統3 3 0用以建立及維持基體8上之均勻溫度 。如顯示於圖2 8,基體8安置於環形密封唇4 7上,界 定一背面氣體空間4 8於基體8及E S C 6之頂表面5 0 之間。一背面氣體(例如A r,H e等)通常選自室1 2 中之族群,以防止污染所沉積之薄膜。背面氣體自背面氣 體源5 2沿背面氣體管5 4流過E S C 6中之背面氣體通 路5 6,並進入氣體空間4 8中。 背面氣體由提供一媒質用於基體8及E S C 6間之熱 能轉移,加強基體8及E S C 6間之接觸。熱轉移由增加 背面氣體壓力提高至飽和限度。背面氣體壓力之範圍爲3 —20 t or r,且普通範圍爲6 — 10 t 〇 r r,俾導 熱率良好及基體上溫度均勻。使用所發表之賓施例,基體 上之溫度均勻性可爲$ 5 t。在背面氣體壓力5 t 〇 r r 本紙張尺度適用中國國家標準(CNS ) A4規格(21〇><297公釐) -48- °^〇〇93 A7 B7 、發明説明(46 ) (請先閲讀背面之注意事項再填寫本頁) 以上,普通達成$ 1 5 r之均勻性。一壓力控制器5 8維 @背面氣體於恆定壓力上,如此確保恆定之熱轉移及均勻 之基體溫度。在實際上,環形密封唇4 6可採取若干島形 狀,分散於E S C 6之頂表面上。此引進背面氣體之漏氣 $ ’此需計及。卡盤及基體間之直接接觸量可幾乎爲任何* 量,諸如在1 5 — 5 0 %之間。 基體8之溫度由加熱或冷卻E S C 6調變。一溫度感 測器6 〇 (例如一熱電偶或光感測器)經感測器連接線 6 2連接至一閉合環路反饋控制電路3 3 2中之一溫度監 |硯器6 4。一溫度設定點信號亦提供經設定點電連接線 3 3 4至監視器6 4。一溫度控制器6 6產生一信號,此 經功率放大器或調變器3 3 6放大,並供應經電連接線 7 0至電阻加熱器接頭320 (圖27A),此連接至 E S C 6中所埋置之電阻加熱器7 2。如所廣知,一冷卻 劑溫度及流量控制器7 4控制來自冷卻劑供應器7 6之流 體,此流於基座4中(或圖1 2及圖1 3中之E S C組件 1 0 6中)之多個冷卻劑通道7 8中。 經濟部智慧財產局員工消費合作社印製 控制系統3 3 0設計由在廣大範圍之電力及溫度中加 熱及/或冷卻,控制基體8之溫度。溫度控制可由各種技 術達成,包括調節背面氣體壓力,由電阻加熱器7 2直接 加熱E S C 6,或調節冷卻劑通道7 8中之流體之溫度及 /或流量。在沉積處理之期間中,基體8之溫度可如此定 期或連續改變,以滿足不同之處理要求。有關原子層沉積 中之溫度控制之額外資訊可參閱有關之美申請書序號 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -49 - 540093 A7 B7 五、發明説明(47 ) 09/854, 092,題爲”用以改善原子層沉積中之 溫度控制之方法及裝置,,,於2 〇 〇 1年3月1 0日提出 〇 圖2 8之控制系統可有其他實施例。例如,電路 3 3 2之温度控制系統可具有各種實施例。而且,溫度感 測器6 0可具各種實施例。溫度感測器6 〇可爲一熱電偶 ,此量度E S C 6之溫度。溫度感測器6 0可爲一高溫計 裝置,此在光學上量度基體8之背面之溫度。或且,溫度 感測器6 0可採取其他等效形態。 在圖2 8之控制系統3 3 0之一些實施例中,可包含 其他能量源,作爲控制基體8之溫度之另一選擇。圖2 9 爲控制系統3 3 8之槪要圖,包含其他能量源3 4 0,用 於反應器2 (圖1)之基座4或用於ALD反應器1〇〇 C圖8 )之E S C組件1 〇 6 ( 2 7 A )。控制系統 3 3 8與前述之控制系統4 4 (圖6 )及控制系統3 3〇 (圖2 8 )相似。其他能量源3 4 0置於基座4 (或 E S C組件1 〇 6 )外,在室1 2之頂部附近,並可包含 來自燈,電紫,及其他來源之放射。其他能量源3 4 0可 例如由調節燈或電漿之電力加以控制。其他能量源3 4 〇 可單獨使用,或聯合一或更多之電阻加熱器7 2,冷卻劑 通道78中之流體,或氣體空間48中之背面氣體之壓力 使用。 在一些實施例,一額外冷卻源可加進圖2 8之控制系 統3 3 0中,以提高冷卻容量及/或性能。該額外冷卻源 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) --------裝-- (請先閲讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局員工消費合作社印製 -50- 540093 A7 ——_ — B7_ 五、發明説明(48 ) W馬冷凍系統,加熱管,冷凍液體,或氣體冷卻劑系統, 或其他等效系統。 在圖2 8之控制系統3 3 0之一些實施例,背面氣體 可經由多個孔,而非僅中心位置之一孔引進於氣體空間 48中。圖3〇爲圖8之ALD反應器1 0 ◦之ESC組 件1 0 6 (圖2 7 A )之一部份3 4 2之實施例之透視圖 ° ESc 6包含一中心孔344,以及在基體8之周邊附 近處之一周邊孔環3 4 6。E S C 6之各種實施例包含孔 3 4 4及孔3 4 6之任一或二者。孔3 4 6導致基體8及 E s C 6間壓力均勻性提高,此導致提高基體8上之溫度 均勻性。另一周邊孔環3 4 7可加於孔3 4 6外側,以確 保基體8之邊緣處壓力梯度恆定。該額外之孔環亦用於邊 緣滌淸,以防止反應氣體進入氣體空間4 8 (圖2 8 )中 ,並導致沉積於基體8之背面上。 在圖2 8之控制系統3 3 0之一些實施例中,壓力控 制器5 8可由例如一流量調節器,諸如一計量閥或質量流 量控制器取代。在又其他實施例中,一致動閥可加裝於壓 力控制器5 8及背面氣體空間4 8之間,俾在基體轉移之 期間中,使壓力控制器5 8及氣體源5 2與處理室1 2隔 離。當ESC6之電極80及82無電力時,此閥另可用 以停止背面氣體流,以降低其壓力,使基體”放開”,而 不”跳動”(移動)。此閥另可與一泵聯合使用,俾在” 放開”基體之前,更迅速減小背面氣體壓力。 實施者明暸控制系統3 3 0及其各種組成件可有各種 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X29*7公釐) (請先閲讀背面之注意事項再填寫本頁) 裝. 訂 經濟部智慧財產局員工消費合作社印製 -51 - 540093 A7 B7 五、發明説明(49 ) 其他竇施例。 (請先閱讀背面之注意事項再填寫本頁) 使用靜電卡盤組件之電偏壓及電漿產生 圖31爲用以電偏壓圖8之ALD反應器1〇〇之 ESC組件106 (圖27A)之靜電卡盤(ESC) 6 之電路3 4 8之槪要圖。電路3 4 8亦可應用於圖1之 ALD反應器2之基座4之E S C 6之各種實施例中。電 路3 4 8爲前述圖7之電路8 4之另一實施例。 經濟部智慧財產局員工消費合作社印製 如顯示於圖3 1,ESC 6包含至少一第一電極8 0 及一第二電極8 2。第一及第二電極8 0及8 2 (槪要顯 示於圖3 1 )之電極形狀之一可能實施例顯示於圖2 7 A ,在此,第一及第二電極8 0及8 2顯示爲同心環形板。 亦可使用雙D (即鏡影)構形或交插構形之電極8 0及 82,如前述。在圖31中,第一及第二電極80及82 各由DC電壓偏壓。RF偏壓電力亦連接至二電極8 0及 8 2。E S C 6中之埋置電極8 0及8 2可提高交連至基 體8之RF電力,與施加RF電力於安置ESC6 (圖 2 7 A )之冷卻板1 1 0 (或底板112)相較,具有最 大均勻性及最少功率損失。此仍由於E S C 6中之電極 80及82接近基體8,而冷卻板110 (及底板112 )則離基體8較遠。 第一及第二電極8 0及8 2由不同之D C電位偏壓, 以提供”卡住”行動,在電漿點火之前及在沉積期間中, 保持基體8於E S C 6上。如顯示於圖3 1,第一電極 本紙張尺度適用中國國家標準(CNS ) A4規格(21〇X 297公釐) 一 ~ -52- 54〇〇93 A7 B7 五、發明説明(50 ) (請先閱讀背面之注意事項再填寫本頁) 8 〇經一串連之第一電感器8 8及一第一負載器3 5 0連 接至D c電源86之一端。第二電極82經串連之第二電 感器9 〇及第二負載電阻器3 5 2連接至D C電源8 6之 另〜端。 〜第三電容器3 5 4連接於電感器8 8之一端及一地 %9 4之間。一第四電容器3 5 6連接於電感8之另 〜端及地端9 4之間。一第五電容器3 5 8連接於電感器 9 0之一端及地端9 4之間。一第六電容器3 6 0連接於‘ 電感器9 0之另一端及地端9 4之間。電感器8 8及電容 器3 5 4及3 5 6 —起構成一 RF陷波電路3 6 2,此濾 去D C偏壓中之RF。同樣,電感器9 0及電容器3 5 8 及3 6 0 —起構成另一 R F陷波電路3 6 2。 經濟部智慧財產局員工消費合作社印製 R F電力亦供應至第一電極8 0及第二電極8 2二者 ,使用一 RF產生器92,具有一端連接至地端94。一 第三電感器3 6 4連接於RF產生器9 2之另一端及一第 一可變電容器3 6 6之一端之間。可變電容器3 6 6之另 一端連接至第一電容器9 6之一端及第二電容器9 8之一 端。電容器9 6之另一端連接至第一電極8 0。電容器 9 8之另一端連接至第二電極8 2。一第二可變電容器 3 6 8跨接於RF產生器9 2之二端,在電感器3 6 4之 一端及地端9 4之間。電感器3 6 4及電容器3 6 6及 3 6 8 —起構成一 R F阻抗匹配電路3 7 0,此減少反射 至R F產生器9 2之電力至最低程度。X Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs -42- 540093 A7 _ B7 V. Description of the invention (40) Designed to facilitate the removal, replacement, and use of various cover components. This can be easily fed in. It can contain gas transfer and cooling / heating (ie, the hood assembly 3 0 8), or only one of them (ie, the hood assembly 2 9 6 or 3 0 2), or no gas transfer. Hood assembly with cooling / heating depends on customer and processing needs. The ALD treatment in the example published in the design of the electrostatic chuck assembly is ion-induced (see, for example, the application numbers 0 9/8 1 2, 3 5 2 mentioned above, the application number 09/812, 486, and the application No. 09/812, 285), instead of the heat-induced ones, use the plasma 19 4 produced in the processing chamber 12 (Figures 1 1 and 1 3). This can be deposited at a lower temperature than ordinary ALD systems, and the ordinary heated receiving seat can be replaced by an electrostatic chuck (ESC) component 106 to maintain the substrate 8. E S C module 106 can be designed separately to improve temperature control and radio frequency (R F) power cross-linking. Figure 7A is a cut-away perspective view of an embodiment of the electrostatic chuck assembly 106 of the ALD reactor 100 of Figure 8. The E S C module 106 includes an electrostatic chuck (ESC) 6, a cooling plate 1 10, and a bottom plate 1 12. The cooling plate 1 10 and the bottom plate 1 12 can be made into a ring shape, with overlapping central holes defining an inlet and outlet 3 10 together. This provides access to the central area below E S C 6. The base body 8 rests on an annular sealing lip 46, which surrounds one of the top surfaces 50 of ESC 6 on the periphery. Ring-shaped sealing lip 4 6 Holding base 8 Applicable to Chinese paper standard (CNS) A4 (210X297 mm) at this paper size (please read the precautions on the back before filling this page) Printed by the cooperative-43-540093 A7 B7 V. Description of the invention (μ) On the surface 50, a back gas space 48 is defined, which is defined by the surface 50, the density M # 46, and the back surface of the substrate 8. (Please read the precautions on the back before filling this page.) The back gas is supplied to the gas space 4 8 through the back gas inlet 3 1 2 that leads to the back gas valve 1 5 4. The gas valve 15 4 is placed outside the reactor 100, and is provided at the outer edge of the bottom plate 1 12 to provide easy access (Figure 8 and Figure 1 1). The back gas flows along the back gas pipe 54, which extends radially inward along the lower surface of the bottom plate 1 12. The gas tube 5 4 is bent upwards through the inlet and outlet 3 10 and is connected to the center of the bottom surface of the ES C 6 using the rear gas tube flange 3 1 4. The back gas flows through a back gas path 5 6 (this is at the center of the ESC6 and extends through the ESC6) to the gas space 48. A backside gas tube seal 3 1 6 inside the flange 3 1 4 maintains the pressure in the gas space 4 8. The back gas is responsible for the temperature control of the substrate 8. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. Electrostatic chucks are usually made of dielectric materials (such as aluminum nitride A 1 Ν or polyimide). E S C 6 can be designed to have its bulk effect Rahbek (J R) effect, rather than dominated by Coulomb effect, because the j R effect provides a stronger and more effective electrostatic attraction. J R E S C generally has a bulk resistivity between 108 and 10 12 Ω cm, while Coulomb E S C usually has a bulk resistivity of greater than 103 Ω cm. At least two electrodes are buried in the dielectric material of E S C 6 near the top surface 50. A first electrode 80 and a second electrode 82 are made of a concentric ring plate made of a conductive material such as tungsten or a pin. The first electrode 80 is biased using a first electrode terminal 3Ί8, which is connected to the first electrode 80 and extends downward through E S C 6 to enter the inlet and outlet 3 1 0. The second electrode is applicable to this paper. National Standard (CNS) A4 (210X297 mm) -44-540093 A7 B7 V. Description of the invention (42) (Please read the precautions on the back before filling this page) 8 2 A separate second electrode terminal (not shown) is used for biasing. A DC "stuck" voltage is applied to both the first electrode 80 and the second electrode 82 to generate an electrostatic attraction between the substrate 8 and the top surface 50 of the ESC 6, and the substrate 8 is held during processing. . At the same time, RF bias power is also connected to each of the electrodes 80 and 82. During the modulation of the ion-induced atomic layer deposition, the RF bias power provides the plasma and thus ion-generated power. In addition to generating plasma, RF bias power also induces a small negative potential (for example, a common DC bias voltage of 10V to 80V, at € 150WRF power and 0.1-ITorr pressure) on the substrate 8. The magnitude of this potential should be S 1 50 0V. The induced voltage defines positively charged ions in the plasma and attracts positively charged ions to the surface of the substrate 8. Positively charged ions collide with the wafer, driving the deposition reaction and increasing the density of the deposited film. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs, a resistance heater 7 2 is also buried in E S C 6. The resistance heater 72 is formed into at least one coil or strip shape, which is wound around the entire E S C 6 in a plane about halfway between the bottom surfaces of the electrodes 80 and 82 and E S C 6. The heater 72 is controlled via at least one resistance heater connection 3 2 0 connected to the heater 72. Connector 3 2 0 extends down through E S C 6 and enters inlet and outlet 3 10. In this way, ESC6 is basically a dielectric substrate support, with embedded heater 72, and embedded electrodes 80 and 82 for DC bias and RF power connection. E S C 6 uses an annular clamp ring 1 7 8 to keep contact with the cooling plate 1 10, which overlaps one of the flanges 322 at one of the flanges at the bottom of E S C 6. An ESC0 ring 324 generates a vacuum seal to ESC6. The paper size applies the Chinese National Standard (CNS) A4 specification (210X 297 mm) -45- 540093 A7 B7 V. Description of the invention (43) and the cooling plate 1 1 〇 . A plurality of clamp ring fixing members 180 ensures the connection between E s C 6 and the cooling plate 110, and each extends through the clamp ring 178 and enters the cooling plate 110. One treatment tool 1 8 having a ring elbow shape completely surrounds the clamp ring 1 7 8 and covers the top surface and the side surface of the clamp ring 1 78. The processing tool 1 8 2 includes a processing tool slanted surface 202 for positioning the shadow ring 28 (Figure 15) at the center of the processing tool 1 82. The processing tool 1 8 2 can be made of a dielectric material (such as alumina, aluminum nitride, or hard-polarized aluminum) to electrically isolate the clamp fixing member 1 80 from E S C 6 and the substrate 8. The processing tool 1 2 2 also prevents the clamping ring 1 78 and the fixing member 1 80 from receiving the processing gas, which is convenient for cleaning the reactor 100 (Figure 12). The cooling plate 1 10 can be made of a variety of thermally conductive materials, such as aluminum or stainless steel (for example, machine-made). The upper surface of the cooling plate 1 10 is patterned to generate multiple small area contact points 3 2 6 and multiple thermal cracking points 1 8 4. The contact point 3 2 6 having the shape of a raised edge contacts the bottom surface of E S C 6. The thermal cracking point 1 8 4 is the gap between E S C 6 and the cooling plate 110, which increases the temperature difference between E S C 6 and the cooling plate 110. The temperature of the cooling plate 110 can be controlled using a fluid (e.g., water) flowing through a plurality of coolant channels 78. The coolant channels 78 are designed so that the fluid can flow in a large circle on different diameters of the cooling plate 110. The lower surface of the cooling plate 1 10 is connected to the upper surface of the bottom plate 1 12. The upper surface of the bottom plate 1 1 2 forms the lower wall of the coolant channel 7 8 in the cooling plate 1 10. It can provide structural support for E S C component 1 06 for aluminum base plate 1 12. The thermal cracking point of the cooling plate 1 1 0 1 8 4 can maintain the top surface of ESC 6 5 0 (this can be close to 3 0 ° C) and the bottom plate paper size Gu Guanjiapi (CNS) A4— ^ 21 ^ 297 ^) --------- Equipment-(Please read the notes on the back before filling this page) Order printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs-46- 540093 A7 B7 ------- ~ — ------------ V. Significant temperature difference between the bottom surface of the invention (44) 1 1 2 (this is exposed to the air and can be lower than 50 ° C). (Please read the precautions on the back before filling this page) One of the multiple lifting pins 1 0 8 (this is convenient for loading and unloading the substrate 8) is displayed in the exit processing position, and the top of the lifting pins 1 0 8 is in the ESC 6 Below the top surface. The lift pins 108 each extend through a lift pin hole 3 2 8, and these include a plurality of aligned holes in the bottom plate 112, the cooling plate 110, and the ESC 6. The E S C component 106 can have other embodiments. For example, in some embodiments > at least one peripheral ring of the hole may be used to introduce backside gas, rather than just a centrally located hole, as discussed in more detail below. Moreover, in some embodiments, E S C 6 may be replaced by a common acceptor to facilitate A L D processing at high temperatures. Fig. 2B shows the interleaved electrodes 7 9 and 8 3, and Fig. 2 7C shows the D-shaped electrodes 8 5 and 87, which can be used instead of the concentric annular plate electrodes 8 0 and 8 2 in Fig. 2 7A. The electrodes 85 and 87 may be solid and have an opening, as shown by the dotted line. The practitioner knows that the electrode can have various other embodiments. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. In one embodiment, the shower head 172 (Figure 23) is not connected to the ground, but is connected to an RF source in a similar way to the RF source shown in Figure 7 connected to the ESC electrode. The phase difference between the RF power supplied to the shower head 172 and the RF power connected to the electrodes 8 1 and 8 2 of the ESC controls the ion density and energy, and the maximum ion density and energy are generated with a phase difference of 180 °. In another embodiment, the two RF sources have different frequencies. 1 This paper size applies the Chinese National Standard (CNS) A4 specification (210x297). -47-540093 A7 — ~ ^ __ 5. Description of the invention (45) Electrostatic chuck Module temperature control (please read the precautions on the back before filling out this page) ESC module 1 0 6 (Figure 2 7 A) temperature control is very important for high-quality original and sub-layer deposition. Placed in the ESC 6 ring The uniform temperature on the substrate 8 of the sealing lip 4 6 promotes uniform chemical adsorption of the precursor. If the temperature of the substrate 8 is too high, decomposition or desorption of the precursor will occur. If the temperature of the substrate is too low, the chemical adsorption and deposition reactions will be hindered Fig. 28 is a schematic diagram of the control system 3 30 of the electrostatic chuck (ESC) module 1 06 (Fig. 27 A) of the ALD reactor 100 of Fig. 8. The control system 3 30 can be applied to In various embodiments of the base 4 of the ALD reactor 2 of Fig. 1, the control system 3 3 0 is one of the embodiments of the control system 44 of Fig. 6 described above. The control system 3 is printed by the staff consumer cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. 3 0 is used to establish and maintain a uniform temperature on the substrate 8. As shown in The substrate 8 is disposed on the annular sealing lip 47, and defines a back gas space 4 8 between the substrate 8 and the top surface 50 of the ESC 6. The back gas (such as Ar, He, etc.) is usually selected from Groups in chamber 1 2 to prevent contamination of the deposited film. The back gas flows from the back gas source 5 2 along the back gas pipe 5 4 through the back gas passage 5 6 in the ESC 6 and enters the gas space 4 8. The gas is provided by a medium for the thermal energy transfer between the substrate 8 and the ESC 6, and the contact between the substrate 8 and the ESC 6 is strengthened. The heat transfer is increased from increasing the back gas pressure to the saturation limit. The range of the back gas pressure is 3-20 t or r, and the general range is 6-10 t rr, good thermal conductivity and uniform temperature on the substrate. Using published examples, the temperature uniformity on the substrate can be $ 5 t. Gas pressure on the back side is 5 t 〇 rr This paper size applies Chinese National Standard (CNS) A4 specification (21〇 > < 297mm) -48- ° ^ 〇〇93 A7 B7 、 Invention Note (46) (Please read the notes on the back before filling On this page), the uniformity of $ 1 5 r is usually achieved. The pressure controller 58 is dimensioned on the back side at a constant pressure, so as to ensure constant heat transfer and uniform substrate temperature. In practice, the ring-shaped sealing lip 46 can take the shape of several islands and be scattered on the top surface of the ESC 6. The leakage of the gas introduced from the backside is to be taken into account. The direct contact between the chuck and the substrate can be almost any amount, such as between 15 and 50%. The temperature of the substrate 8 is adjusted by heating or cooling E S C 6. A temperature sensor 6 (for example, a thermocouple or a light sensor) is connected to one of the closed-loop feedback control circuits 3 3 2 via a sensor connecting line 6 2. A temperature setpoint signal is also provided via the setpoint electrical connection 3 3 4 to the monitor 6 4. A temperature controller 66 generates a signal, which is amplified by a power amplifier or a modulator 3 3 6 and is supplied via an electrical connection line 70 to a resistance heater connector 320 (Figure 27A), which is connected to the ESC 6 embedded Placing the resistance heater 7 2. As is well known, a coolant temperature and flow controller 74 controls the fluid from the coolant supplier 76, which flows in the base 4 (or the ESC module 106 in Figs. 12 and 13). ) Of the plurality of coolant channels 7 8. Printed by the Consumer Property Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. The control system 3 3 0 is designed to control the temperature of the substrate 8 by heating and / or cooling in a wide range of electricity and temperature. Temperature control can be achieved by various techniques, including adjusting the back gas pressure, directly heating E S C 6 by the resistance heater 72, or adjusting the temperature and / or flow rate of the fluid in the coolant channel 78. During the deposition process, the temperature of the substrate 8 may be changed periodically or continuously to meet different processing requirements. For additional information on temperature control in atomic layer deposition, please refer to the relevant US application. The paper size is applicable to China National Standard (CNS) A4 (210X297 mm) -49-540093 A7 B7 V. Description of Invention (47) 09 / 854, 092, entitled "Method and Device for Improving Temperature Control in Atomic Layer Deposition", was proposed on March 10, 2001. The control system of Fig. 28 may have other embodiments. For example The temperature control system of the circuit 3 32 can have various embodiments. Moreover, the temperature sensor 60 can have various embodiments. The temperature sensor 60 can be a thermocouple, which measures the temperature of the ESC 6. The temperature sense The sensor 60 may be a pyrometer device, which optically measures the temperature of the back surface of the base 8. Or, the temperature sensor 60 may take other equivalent forms. The control system 3 in FIG. In some embodiments, other energy sources may be included as another option for controlling the temperature of the substrate 8. Figure 2 9 is a schematic diagram of the control system 3 3 8 and includes other energy sources 3 4 0 for the reactor 2 ( Figure 1) Base 4 or for ALD reactor 100 C Figure 8) ESC component 1 06 (2 7 A). The control system 3 38 is similar to the control system 4 4 (Figure 6) and control system 3 30 (Figure 2 8). Other energy sources 3 4 0 is placed outside the pedestal 4 (or ESC module 106), near the top of the chamber 12, and may contain radiation from lamps, electric violet, and other sources. Other energy sources 3 4 0 can be adjusted by, for example, lights Or the power of the plasma. Other energy sources 340 can be used alone or in combination with one or more resistance heaters 72, the fluid in the coolant channel 78, or the pressure of the back gas in the gas space 48. In some embodiments, an additional cooling source may be added to the control system 3 300 of FIG. 28 to improve the cooling capacity and / or performance. The paper size of the additional cooling source is applicable to the Chinese National Standard (CNS) A4 specification ( 210X297mm) -------- install-(Please read the notes on the back before filling this page) Order printed by the Intellectual Property Bureau Staff Consumer Cooperatives of the Ministry of Economics -50- 540093 A7 ——_ — B7_ 5 Description of the invention (48) W horse refrigeration system, heating tube, refrigerated liquid, or gas coolant system, Or other equivalent system. In some embodiments of the control system 3 300 in FIG. 28, the back gas can be introduced into the gas space 48 through multiple holes instead of just one hole in the center. FIG. 30 is FIG. 8 A perspective view of an embodiment of the ALD reactor 1 0 ◦ ESC component 10 6 (Figure 2 7 A) 3 4 2 ° The ESc 6 includes a central hole 344 and a portion near the periphery of the substrate 8 One peripheral hole ring 3 4 6. Various embodiments of E S C 6 include any one or both of holes 3 4 4 and holes 3 4 6. The holes 3 4 6 lead to an increase in the pressure uniformity between the substrate 8 and E s C 6, which leads to an increase in the temperature uniformity on the substrate 8. Another peripheral hole ring 3 4 7 can be added to the outside of the hole 3 4 6 to ensure that the pressure gradient at the edge of the substrate 8 is constant. The additional orifice ring is also used for edge cleaning to prevent the reaction gas from entering the gas space 4 8 (FIG. 28) and cause deposition on the back surface of the substrate 8. In some embodiments of the control system 330 of Figure 28, the pressure controller 58 may be replaced by, for example, a flow regulator, such as a metering valve or a mass flow controller. In still other embodiments, the one-way valve may be installed between the pressure controller 58 and the back gas space 48, so that during the substrate transfer period, the pressure controller 58 and the gas source 52 are connected to the processing chamber. 1 2 isolated. When the electrodes 80 and 82 of the ESC6 are de-energized, this valve can also be used to stop the gas flow on the back side to reduce its pressure and make the substrate "release" instead of "beating" (moving). This valve can also be used in combination with a pump to reduce the back gas pressure more quickly before "releasing" the substrate. The implementer knows that the control system 3 3 0 and its various components can be used in various paper sizes. National National Standard (CNS) A4 specification (210X29 * 7 mm) (Please read the precautions on the back before filling this page) Packing. Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs -51-540093 A7 B7 V. Description of the invention (49) Other sinus examples. (Please read the precautions on the back before filling in this page) Using the electrical bias and plasma generated by the electrostatic chuck assembly Figure 31 shows the ESC component 106 (Figure 27A) used to electrically bias the ALD reactor 100 of Figure 8. ) Of the electrostatic chuck (ESC) 6 Circuit 3 4 8 The circuit 3 4 8 can also be applied to various embodiments of the E S C 6 of the base 4 of the ALD reactor 2 of FIG. 1. The circuit 3 4 8 is another embodiment of the aforementioned circuit 8 4 of FIG. 7. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs As shown in Fig. 31, the ESC 6 includes at least a first electrode 80 and a second electrode 82. One possible embodiment of the electrode shape of the first and second electrodes 80 and 8 2 (to be shown in FIG. 3 1) is shown in FIG. 2 A. Here, the first and second electrodes 80 and 82 are shown. For concentric annular plates. The electrodes 80 and 82 in a double-D (mirror shadow) configuration or an interleaved configuration can also be used, as described above. In FIG. 31, the first and second electrodes 80 and 82 are each biased by a DC voltage. RF bias power is also connected to the two electrodes 80 and 82. The embedded electrodes 8 0 and 8 2 in ESC 6 can increase the RF power cross-linked to the substrate 8 and have a higher cooling power than the cooling plate 1 1 0 (or bottom plate 112) where the RF power is applied to the ESC 6 (Figure 2 7 A). Maximum uniformity and minimum power loss. This is still because the electrodes 80 and 82 in E S C 6 are close to the base body 8, and the cooling plate 110 (and the bottom plate 112) is far away from the base body 8. The first and second electrodes 80 and 82 are biased by different DC potentials to provide a "stuck" action to hold the substrate 8 on ESC 6 before the plasma ignition and during the deposition. As shown in Figure 31, the paper size of the first electrode is applicable to the Chinese National Standard (CNS) A4 specification (21〇X 297 mm) 1 ~ -52- 54〇〇93 A7 B7 V. Description of the invention (50) (Please Read the precautions on the back before filling this page) 8 〇 Connected to one end of DC power source 86 through a series of first inductor 88 and a first loader 350. The second electrode 82 is connected to the other end of the DC power source 86 through a second inductor 9 0 and a second load resistor 35 2 connected in series. ~ The third capacitor 3 5 4 is connected between one end of the inductor 8 8 and one ground% 9 4. A fourth capacitor 3 5 6 is connected between the other terminal of the inductor 8 and the ground terminal 9 4. A fifth capacitor 3 5 8 is connected between one terminal of the inductor 90 and the ground terminal 9 4. A sixth capacitor 36 is connected between the other end of the inductor 90 and the ground end 94. The inductor 88 and the capacitors 3 5 4 and 3 5 6 together form an RF trap circuit 3 6 2, which filters out RF in the DC bias voltage. Similarly, the inductor 90 and the capacitors 3 5 8 and 3 6 0 together form another R F trap circuit 3 6 2. The RF power printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs is also supplied to both the first electrode 80 and the second electrode 82, using an RF generator 92 with one end connected to ground 94. A third inductor 3 6 4 is connected between the other end of the RF generator 92 and one end of a first variable capacitor 3 6 6. The other end of the variable capacitor 3 6 6 is connected to one end of the first capacitor 96 and one end of the second capacitor 98. The other end of the capacitor 96 is connected to the first electrode 80. The other end of the capacitor 98 is connected to the second electrode 82. A second variable capacitor 3 6 8 is connected across the two ends of the RF generator 92, between one end of the inductor 3 6 4 and the ground end 9 4. The inductor 3 6 4 and the capacitors 3 6 6 and 3 6 8 together form an R F impedance matching circuit 3 7 0, which reduces the power reflected to the R F generator 9 2 to a minimum.
圖3 1之電路3 4 8可在處理期間中同時施加一 D C 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -53- 540093 A7 B7 ---------------—-- 五、發明説明(51 ) ”卡住”電壓及用於電漿產生之一 RF電力。同一 & ^^電 力用以產生基體8 (圖1 3 )上之電漿1 9 4及產生基體 (請先閲讀背面之注意事項再填寫本頁) 8上之負誘發DC偏壓。可使用RF電力,因爲在壓力-距離乘積(pxd )之特定Paschen曲線上,使用R F電力產 生電漿1 9 4所需之崩潰電壓遠低於D C情形(例如 10 0V對3 0 0 — 400V)。而且,可使用RF電力 誘發穩定之D C偏壓。當然,亦可使用高D C電壓取代 R F電力,以產生電漿1 9 4,且適當修改偏壓硬體(例 如,閱以下圖4 0之討論)。 在圖3 1中,交連RF電力至電極8 0及8 2可建立 均勻之電位於基體8上,同時使用低R F電力,例如5 0 W至1 5 0W,此小於普通電漿反應器所需之3 5 0W至 6 0 0W。RF偏壓電力之頻率可爲4〇0 kHz, 經濟部智慧財產局員工消費合作社印製 13 . 56MHz,或更高(例如 60MHz,2 0 0 MHz)。然而,低頻率會引起廣大離子能量分佈,具有 高能量尾巴,此會引起過度濺散。較高頻率(例如 1 3 · 5 6 Μ Η z或更高)引起較緊密之離子能量分佈, 具有較低之平均離子能量,此有利於調變之離子誘發之 A L D沉積處理。因爲在離子可碰撞於基體上之前,偏壓 極性切換,離子看到一時間平均之電位,故發生更均勻之 離子能量分佈。 在普通電漿反應器中,R F電力施加於處理室之頂邊 界,通常一蓮蓬頭上。此引起頂邊界之濺散,此爲雜質混 入(普通爲鋁或鎳)及/或微粒混入於普通沉積薄膜中之 本紙張尺度適用中國國家標準(CNS ) A4規格(21〇X297公釐) -54- 540093 A7 B7 五、發明説明(52 ) 主要來源。濺散亦轉移動能至反應器結構中,相當加熱反 應器結構,且需要有效冷卻反應器結構。 (請先聞讀背面之注意事項再填寫本頁) 在本實施例中,R F電力施加於A L D反應器1 〇 〇 (圖1 2 )之E S C組件1 〇 6之E S C 6中所埋置之電 極80及82 (圖31),而非蓮蓬頭172 (圖13) 上。此減少蓮蓬頭1 7 2之測散至最低程度,並可更佳控 制基體8上所誘發之偏壓。此亦避免過度加熱室蓋1 〇, 降低任何冷卻需求至最低程度。 參考圖13,蓮蓬頭172及罩14接地,故較高之 電漿屏蔽電壓降大部份局限於基體8上發生沉積之處。此 仍由於電壓比率V h。t / V。。! d與各別電極面積依據( A。。i d / A h。t ) n成比例之故,在此,N大於1。 經濟部智慧財產局員工消費合作社印製 V h。t爲有電力或”熱”電極,即e S C組件1 〇 6之 ESC6上之電漿屏蔽電壓降。Ve〇ld爲在無電力,或” 冷”電極,即蓮蓬頭1 7 2及罩1 4上之電壓降。蓮蓬頭 1 7 2及罩1 4之聯合面積可連合視爲冷電極之面積。此 仍由於處理室1 2之小空間導致蓮蓬頭1 7 2至E S C 6 之間1¾¾小(標稱0 . 3至0 · 6时),故有電力之電極可 ”視”蓮蓬頭1 7 2及罩1 4爲一單個地基準。此等聯合 面積一起大於基體8之面積,或熱電極之面積。故此,在 此反應器,V c ύ 1 d / V h。t > 1。 而且,由經電極80及82 (圖31)施加RF電力 於E S C 6,可使用低R F電力,以同時產生電漿1 9 4 (圖1 3 ),並保持電漿1 9 4之撞擊離子之能量低且受 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -55- 540093 A7 ______B7___ 五、發明説明(53 ) 控制。離子能量爲E = e I V p I + e I V ^ a s |其中, V p爲電漿電位,及V b ^ a s爲在基體8上所誘發之偏壓。 離子能量應爲S 1 5〇e V,且宜在1 0 — 8〇e V之間 ,以驅動沉積反應。V h a s之幅度應爲$ 1 5 0 V,且宜 V b u s應在一 1 〇及一 8 0 V之間,以防止所沉積之層濺 散。V p之幅度普通爲1 0 - 3 0 V。 誘發之偏壓由所施加之R F電力控制。誘發之偏壓隨 R F電力之增加而增加,及隨R F電力之減少而減少。增 & H F電力通常亦增加所產生之離子數。 控制R F電力亦控制電漿中之離子密度。需要較高之 R F電力於較大之基體直徑上。較宜之電力密度爲 ^ 〇 · 5 W / c ni,此在2 0 0 m m基體需要約$ 1 5 0 w。電力密度-3 W / c m2 (在2 0 0 m m直徑之基體大 於約1 0 0 0 W )會引起所沉積之薄膜之不需要之濺散。 參考圖1 3,冷卻板1 1 0及底板1 1 2接地。故此 ,每一夾環固定件1 8 0亦接地。處理具1 8 2爲絕緣材 料所製,電屏蔽固定件1 8 0,俾電漿1 9 4不受固定件 1 8 0之地電壓之影響。 能以多種方法控制電漿1 9 4。例如,可由改變所施 加之R F電力控制電漿1 9 4。在用以電偏壓A L D反應 器100 (圖12及圖13)之ESC6之電路之一些其 他實施例,例如,R F阻抗匹配電路3 7 0或R F產生器 92 (圖31)中可包含一開關。圖32爲一電路372 之槪要圖,包含一 R F匹配開關3 7 4在R F阻抗匹配電 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) (請先閲讀背面之注意事項再填寫本頁) -裝. 訂 經濟部智慧財產局員工消費合作社印製 -56- 540093 Α7 Β7 五、發明説明(54 ) 路37〇中,用以電偏壓ESC6。圖33爲一電路 3 7 6之槪要圖,包含一 r f電源開關3 7 8在R F電源 3 8 0 (此亦包含11?產生器92)中,用以電偏壓 E s C 6。除開關3 7 4及3 7 8外,電路3 7 2 (圖 32)及電路376 (圖33)與電路348 (圖31) 相似。開關3 7 4及3 7 8可開斷,以隔離R F產生器, 或開關3 7 4及3 7 8可閉合,以供應R F電力至電極 8 〇及8 2。開關3 7 4及3 7 8使電漿反應時間可在 1 0 0 m s時間範圍。 亦可由改變氣體壓力控制電漿1 9 4 (圖1 3 ),同 時使用例如圖3 1之電路3 4 8,以R F電力恆定施加於 電極8 0及82上。參考圖15,圖16,及圖17,如 上述,罩1 4與蓮蓬頭1 7 2及室蓋1 0形成一罩傳導上 徑路2 2。罩1 4亦與蔭蔽環2 8形成一罩傳導下徑路。 上及下徑路2 2及2 4之傳導率由線性馬達1 2 2 (圖8 )精確移動罩1 4改變。 上及下徑路2 2及2 4之傳導率直接影響處理室1 2 中之壓力,且可用以改變該壓力。例如,可使用低傳導率 處理罩位置2 1 0建立高壓力(即與環形泵通道2 0之壓 力相對)於室1 2中,如顯示於圖1 5。高壓力擊發電漿 1 9 4 (圖1 3 ),在室1 2中產生有利環境。可使用一 滌淸罩位置2 1 4建立一低壓力於室1 2中,如顯示於圖 1 7,以曝Μ室1 2於运形泵通道2 0。低壓力有效終止 電漿1 9 4,因爲無充足之氣相碰撞發生,以維持電漿 本紙張尺度適用中國國家標準(CNS ) Α4規格(210x 297公釐) -裝-- (請先閱讀背面之注意事項再填寫本頁) 、1Τ 經濟部智慧財產局員工消費合作社印製 -57- 540093 A7 B7 五、發明説明(55 ) 1 9 4。在不擊發或維持電漿1 9 4之壓力上施加R F電 力於電極8 0及8 2上會引起RF產生器9 2 (圖3 1 ) (請先閱讀背面之注意事項再填寫本頁) 輸出之電力1 0 0 %反射。故此,R F產生器9 2應能吸 收此電力,而無傷害之效應。 亦可由聯合改變氣體壓力及施加R F電力,控制電漿 1 9 4 (圖1 3 )。例如,電漿1 9 4可由室1 2中之高 壓力及有利之環境點火。電漿1 9 4可由開關,諸如圖 3 2之電路3 7 2中之開關3 74或圖3 3之電路3 76 中之開關3 7 8終止。 實施者明瞭用以電偏壓E S C 6之圖3 1之電路 3 4 8及其各種組成件可有各種其他實施例。例如,可使 用多個R F源。 A L D處理:背景及創新之處理 經濟部智慧財產局員工消費合作社印製 圖3 4爲普通A L D處理之槪要顯示。在普通A L D 循環中,此通常包含四步驟,先質(或反應劑)各依次引 進於室中,故無氣相混合發生。首先,一第一氣體先質 3 8 2 (標示A X )引進於沉積室中,及一單層之反應劑 化學吸附(或物理吸附)於基體8之表面上,形成一化學 吸附先質A384,如顯示於圖34 (a)。由先質 Ax382之化學吸附產生一自由配合基χ386。其次 ,多餘之氣體先質Αχ382及配合基χ386可籍助惰 性滌淸氣體抽出,留下單層之化學吸附先質A 3 8 4於基 體8上,如顯示於圖3 4 ( b )。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -58- 540093 A7 B7_ ____ 五、發明説明(56 ) (請先閱讀背面之注意事項再填寫本頁) 第三,一第二氣體先質3 8 8 (標示By )引進於沉 積室中。先質B y 3 8 8與基體8上之化學吸附之先質 A 3 8 4在自我限制表面反應中反應,如顯示於圖3 4 ( c)。一旦初始吸收之先質A384與先質By388完 全反應時,自我限制反應停止。第四,多餘之氣體先質 B y 3 8 8及任何反應副產物亦可籍助惰性滌淸氣體抽出 ,留下所需薄膜之A B單層3 9 0,如顯示於圖3 4 ( d )。由視需要重複沉積循環,獲得所需之薄膜厚度。由簡 單計算沉積循環數,可控制薄膜厚度至原子層(即埃尺度 )精確度。 經濟部智慧財產局員工消費合作社印製 然而,A L D處理較之傳統沉積技術,諸如C V D及 P V D爲慢。爲提高產出,需要較短之沉積循環。縮短沉 積循環之一方法爲縮短個別先質及抽/滌步驟之持續時間 。然而,個別脈波長度不能隨意減小。第一先質脈波需足 夠長,以形成第一先質之吸附層於基體上。第二先質脈波 需足夠長,俾第一及第二先質間可完全反應。先質脈波間 之抽/滌脈波需足夠長,俾不致發生先質之氣相混合。氣 相混合會導致氣相反應及/或微粒形成,各會引起沉積薄 膜中發生品質及可靠性問題。 圖3 5槪要顯示創新之A L D處理。一沉積循環包含 二步驟,而非四步驟,此提高處理產出及可重複性。在基 礎處理中,一基體8維持於一精確之溫度,此促進化學吸 收,而非分解。 在第一步驟,一氣體先質3 9 2引進於處理室中。氣 本紙張尺度適用中關家標準(CNS ) A4規格(21GX 297公慶) "" -59- 540093 A7 五、發明説明(57 ) 體先質3 9 2包含所需之薄膜族群(P )與多個配合基結 合。族群P可爲單個元素(例如Τ 1,W,Τ a,C u ) 或化合物(例如T i N χ,T a N χ,或W N x )。在創新 之A L D處理中,氣體先質3 8 2之分子經由化學鍵合處 理與表面鍵3 9 4反應,以形成一化學吸附先質3 9 6, 此可產生多個自由配合基3 9 8,如顯示於圖3 5 ( a ) 。由於第一步驟之結果,一單層之化學吸附先質3 9 6形 成於基體8上,如顯示於圖3 5 ( b ) ° 在第二步驟,一惰性滌淸氣體引進於處理室中,以滌 淸多餘之氣體先質3 9 2。滌淸氣體可包含例如氬(A r ),雙原子氫(Η 2 ),其他可選擇之族群,諸如氨(H e )。在此第二步驟之期間中,施加R F電力(例如使用電 腦同步開關),以產生電漿於處理室中,或由在恆定R F 電力下增加氣體壓力,以擊發電漿。如顯示於圖3 5 ( c ),電漿1 9 4包含多個活力離子4 0 0 (例如A r +離子 )及多個反應性原子4 0 2 (例如Η原子)。一些反應性 原子4 0 2可實際爲離子。 離子4 0 0及原子4 0 2撞擊於基體表面8上。活力 離子4 0 0轉移能量於基體8上,使反應性原子4 0 2可 與化學吸附之先質3 9 6反應,並在自淸除處理中剝去不 需要之配合基(此等形成多個揮發性配合基4 0 4 )。反 應性原子4 0 2聯合活力離子4 0 0如此可視爲作用如” 第二”先質。當電漿電力終止時,一單層4 〇 6,通常約 爲一原子層之所需族群Ρ留於基體8上,如顯示於圖3 5 本紙張尺度適用中國國家標準(CNS ) Α4規格(210^297公楚) (請先閲讀背面之注意事項再填寫本頁) 裝· 經濟部智慧財產局員工消費合作社印製 -60- 540093 A7 B7 五、發明説明(58 ) (d )。此二步驟之沉積循環可依需要重複,直至達成所 需之厚度爲止。每一循環所沉積之薄膜厚度取決於所沉積 之材料。普通薄膜厚度範圍自1 〇 - 1 5 0 A。 用於鉅(T a )化合物之普通先質包括p d E A T ( pentakis ( diethyUmido)钽),P E M A T ( pentakis ( e t h y 1 m e t h y 1 a m i d o )钽),T a B r 5,T a C 1 5,及 T B T D E T ( t - b u t y 1 i m i η o t r i s ( d i e t h y 1 i m i η o )钽)。用於 鈦(T i )化合物之普通先質包括Ti C 14,TDMAT (tetrakis ( dimethylamido)鈦),及 T D E A T ( tetrakis (die thy la mino)鈦)。用於銅(C u )化合物之普通先質 包括 C u C 1 及 Cupraselect ( ( trimethylvinylsilyl) h e x a f 1 u ο ι· o a c e t y 1 a c e t ο n a t o 銅 I )。用於鎢(W )化合物之 普通先質包括W (C〇)6及WF6。與普通ALD處理相 較,創新之A L D處理中可使用有機金屬先質。 滌淸脈波包含氣體,此等爲惰性(例如氬,氫,及 或氯)以防止氣相與氣體先質3 9 2反應。而且,滌淸脈 波可包含產生活力離子4 0 0 (例如A r +離子)及反應性 原子4 0 2 (例如Η原子)所需之同一氣體。此減少創新 A L D處理所需之切換至最低程度。作用一起,反應性原 子4 0 2與化學吸附先質3 9 6反應,同時活力離子 4〇0提供驅動表面反應所需之能量。如此,創新之 A L D處理可在較普通A L D處理(例如T = 4 0 0 -5 0 〇 °C )爲低之溫度(例如T < 3 0 0 °C )上發生。此 對已含有低溫穩定性材料,諸如低k介質之基體特別重要 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) 、-5t» 經濟部智慧財產局員工消費合作社印製Figure 3 1 circuit 3 4 8 can apply a DC at the same time during processing This paper size applies Chinese National Standard (CNS) A4 specification (210X 297 mm) -53- 540093 A7 B7 --------- --------- 5. Description of the invention (51) "Stuck" voltage and one of the RF power used for plasma generation. The same & ^^ electric power is used to generate the plasma 1 94 on the substrate 8 (Figure 13) and to generate the substrate (please read the precautions on the back before filling this page). RF power can be used because on a specific Paschen curve of pressure-distance product (pxd), the breakdown voltage required to generate plasma 1 9 4 using RF power is much lower than the DC case (eg 10 0V to 3 0 0 — 400V) . Moreover, RF power can be used to induce a stable DC bias. Of course, you can also use high DC voltage instead of RF power to generate plasma 194, and modify the bias hardware appropriately (for example, see the discussion in Figure 40 below). In Figure 31, cross-linking RF power to the electrodes 80 and 82 can establish a uniform electric power on the substrate 8 while using low RF power, such as 50 W to 150 W, which is less than that required for ordinary plasma reactors. From 3 5 0W to 60 0W. The frequency of the RF bias power can be 400 kHz, printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs, 13.56MHz, or higher (eg 60MHz, 200MHz). However, low frequencies cause a large ion energy distribution with high energy tails, which can cause excessive spatter. Higher frequencies (such as 1 3 · 56 6 Η z or higher) cause a tighter ion energy distribution with a lower average ion energy, which is beneficial for modulated ion induced A L D deposition processing. Because the bias polarity is switched before the ions can hit the substrate, the ions see a time-averaged potential, so a more uniform ion energy distribution occurs. In a conventional plasma reactor, RF power is applied to the top edge of the processing chamber, usually a showerhead. This causes spattering of the top boundary. This is the size of the paper in which the impurities are mixed (usually aluminum or nickel) and / or the particles are mixed in the ordinary deposited film. The Chinese national standard (CNS) A4 specification (21 × 297 mm) is applicable 54-540093 A7 B7 V. Description of Invention (52) Main source. Spattering also transfers energy into the reactor structure, which considerably heats the reactor structure and requires effective cooling of the reactor structure. (Please read the notes on the back before filling this page.) In this example, RF power is applied to the electrodes embedded in ESC 6 and ESC 6 of ALD reactor 100 (Figure 12). 80 and 82 (Figure 31) instead of shower head 172 (Figure 13). This reduces the dispersion of the shower head 172 to a minimum, and can better control the bias voltage induced on the substrate 8. This also avoids overheating the chamber lid 10, reducing any cooling requirements to a minimum. Referring to FIG. 13, the shower head 172 and the cover 14 are grounded, so the higher plasma shielding voltage drop is mostly limited to the place where the substrate 8 is deposited. This is still due to the voltage ratio V h. t / V. . !! Because d is proportional to the area of each electrode (A ... i d / Ah. t) n, here, N is greater than 1. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. t is the electric or "hot" electrode, that is, the plasma shielding voltage drop on ESC6 of eS C module 106. VeOld is the voltage drop across the no-power, or "cold" electrodes, the shower head 172 and the hood 14. The combined area of the shower head 1 7 2 and the cover 14 can be regarded as the area of the cold electrode. This is still because the small space in the processing room 12 leads to a small 1¾¾ between the shower head 1 72 to ESC 6 (nominal 0.3 to 0 · 6), so the electrode with electricity can "see" the shower head 1 7 2 and the cover 14 is a single ground reference. Together, these combined areas are larger than the area of the substrate 8, or the area of the hot electrode. Therefore, in this reactor, V c ύ 1 d / V h. t > 1. Furthermore, by applying RF power to the ESC 6 via the electrodes 80 and 82 (Fig. 31), low RF power can be used to simultaneously generate the plasma 19 4 (Fig. 1 3), and to maintain the plasma ion 1 94's impact ion Low energy and controlled by Chinese paper standard (CNS) A4 (210X 297 mm) -55- 540093 A7 ______B7___ of this paper size 5. Control of invention (53). The ion energy is E = e I V p I + e I V ^ a s | where V p is the plasma potential and V b ^ a s is the bias voltage induced on the substrate 8. The ion energy should be S 1 50 e V, and preferably between 10 and 80 e V to drive the deposition reaction. The magnitude of V h a s should be $ 150 V, and V b u s should be between 10 and 80 V to prevent the deposited layer from splashing. The amplitude of V p is generally 10-30 V. The induced bias is controlled by the RF power applied. The induced bias voltage increases with increasing RF power, and decreases with decreasing RF power. Increasing & H F power also generally increases the number of ions produced. Controlling the RF power also controls the ion density in the plasma. Higher R F power is required for larger substrate diameters. The preferred power density is ^ 〇 · 5 W / c ni, which requires about $ 150 w for a 200 mm substrate. Power density-3 W / c m2 (substrates larger than 200 mm in diameter over 2000 mm) will cause unwanted spattering of the deposited film. Referring to FIG. 13, the cooling plate 110 and the bottom plate 1 12 are grounded. Therefore, each clamping ring fixing member 180 is also grounded. The processing tool 1 2 8 is made of insulating material, and the electric shielding fixing member 1 80 and the concrete plasma 1 9 4 are not affected by the ground voltage of the fixing member 1 80. The plasma can be controlled in a variety of ways. For example, the plasma can be controlled by changing the applied RF power. In some other embodiments of the ESC6 circuit for electrically biasing the ALD reactor 100 (Figures 12 and 13), for example, the RF impedance matching circuit 370 or the RF generator 92 (Figure 31) may include a switch . Figure 32 is a schematic diagram of a circuit 372, which includes an RF matching switch 3 7 4 The paper size of the RF impedance matching paper is applicable to the Chinese National Standard (CNS) A4 specification (210X 297 mm) (Please read the precautions on the back first (Fill in this page again)-Binding. Printed by the Consumers' Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs-56- 540093 Α7 Β7 V. Description of the Invention (54) Road 37, used to electrically bias the ESC6. Figure 33 is a schematic diagram of a circuit 3 7 6 including an r f power switch 3 7 8 in an R F power supply 3 8 0 (this also includes an 11? Generator 92) for electrically biasing E s C 6. Except for switches 3 7 4 and 3 7 8, circuit 3 7 2 (Figure 32) and circuit 376 (Figure 33) are similar to circuit 348 (Figure 31). Switches 3 7 4 and 3 7 8 can be opened to isolate the RF generator, or switches 3 7 4 and 3 7 8 can be closed to supply RF power to the electrodes 8 0 and 8 2. The switches 3 7 4 and 3 7 8 enable the plasma reaction time to be in the 100 m s time range. It is also possible to control the plasma 19 4 (Fig. 13) by changing the gas pressure, while using, for example, the circuit 3 4 8 of Fig. 31, and constantly applying R F power to the electrodes 80 and 82. Referring to Fig. 15, Fig. 16, and Fig. 17, as described above, the cover 14 and the shower head 17 2 and the chamber cover 10 form a cover conductive upper path 22. The cover 14 also forms a cover conductive lower path with the shadow ring 28. The conductivity of the upper and lower diameter paths 2 2 and 24 is changed by the linear motor 1 2 2 (Fig. 8) by precisely moving the cover 14. The conductivity of the upper and lower path 22 and 24 directly affects the pressure in the processing chamber 12 and can be used to change the pressure. For example, a low conductivity processing hood position 2 10 can be used to establish a high pressure (ie, relative to the pressure of the annular pump channel 20) in chamber 12 as shown in Figure 15. The high pressure electric power generation slurry 1 9 4 (FIG. 13) creates a favorable environment in the chamber 12. A polyester hood position 2 1 4 can be used to establish a low pressure in the chamber 12 as shown in FIG. 17 to expose the M chamber 12 to the transport pump channel 20. Low pressure effectively terminates the plasma 1 4 because no sufficient gas-phase collision occurs to maintain the plasma. The paper size is in accordance with the Chinese National Standard (CNS) Α4 specification (210x 297 mm)-installed-(Please read the back first Please note this page and fill in this page again), printed by 1T Intellectual Property Bureau of the Ministry of Economic Affairs Consumer Cooperatives-57- 540093 A7 B7 V. Description of Invention (55) 1 94. Applying RF power to the electrodes 1 0 and 4 without firing or maintaining the plasma will cause the RF generator 9 2 (Figure 3 1) (Please read the precautions on the back before filling this page) Output The power is 100% reflected. Therefore, the R F generator 92 should be able to absorb this power without harm. It is also possible to control the plasma 1 9 4 by jointly changing the gas pressure and applying R F power (Figure 1 3). For example, the plasma 19 4 can be ignited by the high pressure and favorable environment in the chamber 12. The plasma 1 9 4 can be terminated by a switch, such as the switch 3 74 in the circuit 3 7 2 of FIG. 3 or the switch 3 7 8 in the circuit 3 76 of FIG. 3. The implementer understands that the circuit 3 4 8 of FIG. 31 and its various components for electrically biasing E S C 6 can have various other embodiments. For example, multiple RF sources can be used. A L D processing: background and innovation processing. Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs. Figure 3 4 is a summary of common A L D processing. In the ordinary A L D cycle, this usually involves four steps. The precursors (or reactants) are introduced into the chamber one by one, so no gas phase mixing occurs. First, a first gas precursor 3 8 2 (labeled AX) is introduced into the deposition chamber, and a single-layer reactant is chemically adsorbed (or physically adsorbed) on the surface of the substrate 8 to form a chemisorption precursor A384, As shown in Figure 34 (a). A free complex χ386 was generated from the chemical adsorption of the precursor Ax382. Secondly, the excess gas precursor Ax382 and the complexing group χ386 can be extracted with the help of inert polyester gas, leaving a single-layered chemisorption precursor A 3 8 4 on the substrate 8, as shown in Fig. 3 4 (b). This paper size applies Chinese National Standard (CNS) A4 specification (210X297 mm) -58- 540093 A7 B7_ ____ V. Description of the invention (56) (Please read the notes on the back before filling this page) Third, first and second The gas precursor 3 8 8 (labeled By) is introduced into the deposition chamber. The precursor B y 3 8 8 reacts with the chemisorbed precursor A 3 8 4 on the substrate 8 in a self-limiting surface reaction, as shown in Figure 3 4 (c). Once the initial absorption of precursor A384 and precursor By388 completely react, the self-limiting reaction stops. Fourth, the excess gas precursor B y 3 8 8 and any reaction by-products can also be extracted by inert polyester gas, leaving the AB monolayer 3 9 0 of the desired film, as shown in Figure 3 4 (d) . Repeat the deposition cycle as needed to obtain the required film thickness. By simply calculating the number of deposition cycles, the accuracy of the film thickness to the atomic layer (ie, Angstrom scale) can be controlled. Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs However, A L D processing is slower than traditional deposition techniques such as C V D and P V D. To increase output, shorter deposition cycles are required. One way to shorten the sedimentation cycle is to shorten the duration of individual precursors and pumping / cleaning steps. However, the length of individual pulses cannot be reduced arbitrarily. The first precursor pulse must be long enough to form an adsorption layer of the first precursor on the substrate. The pulse of the second precursor must be long enough so that the first and second precursors can fully react. The pumping / polyester pulses between the precursor pulses must be long enough so that the precursor gas phase mixing does not occur. Gas phase mixing can cause gas phase reactions and / or particle formation, each of which can cause quality and reliability issues in the deposited film. Figure 3 5 shows the innovative A L D process. A deposition cycle consists of two steps instead of four, which improves processing yield and repeatability. In the basic treatment, a substrate 8 is maintained at a precise temperature, which promotes chemical absorption rather than decomposition. In the first step, a gas precursor 3 92 is introduced into the processing chamber. The size of the paper is applicable to the Zhongguanjia Standard (CNS) A4 specification (21GX 297 public holiday) " " -59- 540093 A7 V. Description of the invention (57) The precursor 3 9 2 contains the required film group (P ) In combination with multiple ligands. The group P may be a single element (for example, Ti, W, Ta, Cu) or a compound (for example, TiNx, TaNx, or WNx). In the innovative ALD process, the molecule of the gas precursor 3 8 2 reacts with the surface bond 3 9 4 through chemical bonding treatment to form a chemisorption precursor 3 9 6, which can generate multiple free complexes 3 9 8. As shown in Figure 3 5 (a). As a result of the first step, a single-layer chemisorption precursor 3 9 6 is formed on the substrate 8 as shown in FIG. 3 5 (b) ° In the second step, an inert scrubber gas is introduced into the processing chamber. Clean up excess gas precursor 3 9 2. The purge gas may include, for example, argon (A r), diatomic hydrogen (Kr 2), and other alternative groups, such as ammonia (H e). During this second step, RF power is applied (eg, using a computer synchronized switch) to generate a plasma in the processing chamber, or by increasing the gas pressure at a constant RF power to strike the power plasma. As shown in FIG. 3 (c), the plasma 194 includes a plurality of active ions 4 0 0 (for example, Ar + ions) and a plurality of reactive atoms 4 2 2 (for example, a europium atom). Some reactive atoms 4 02 can actually be ions. Ions 4 0 0 and atoms 4 2 hit the surface 8 of the substrate. The active ion 4 0 0 transfers energy to the substrate 8 so that the reactive atom 40 2 can react with the chemisorption precursor 3 9 6 and strip off unwanted ligands in the self-elimination process (these forms many Volatile ligands 4 0 4). The reactive atom 4 0 2 combined with the active ion 4 0 0 can thus be regarded as acting as a "second" precursor. When the plasma power is terminated, a single layer of 406, usually about one atomic layer, of the required population P remains on the substrate 8, as shown in Figure 3 5 This paper size applies the Chinese National Standard (CNS) A4 specification ( 210 ^ 297 Gongchu) (Please read the precautions on the back before filling out this page.) Equipment · Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs -60- 540093 A7 B7 V. Description of Invention (58) (d). The two-step deposition cycle can be repeated as needed until the desired thickness is achieved. The thickness of the film deposited in each cycle depends on the material deposited. Common film thicknesses range from 10 to 150 A. Common precursors for T a compounds include pd EAT (pentakis (diethyUmido) tantalum), PEMAT (pentakis (ethy 1 methy 1 amido) tantalum), T a B r 5, T a C 1 5, and TBTDET (t-buty 1 imi η otris (diethy 1 imi η o) tantalum). Common precursors for titanium (Ti) compounds include Ti C 14, TDMAT (tetrakis (dimethylamido) titanium), and T D E A T (tetrakis (die thy la mino) titanium). Common precursors for copper (C u) compounds include C u C 1 and Cupraselect ((trimethylvinylsilyl) h e x a f 1 u ο · a c e t y 1 a c e t ο n a t o copper I). Common precursors for tungsten (W) compounds include W (C〇) 6 and WF6. Compared with ordinary ALD processing, organic metal precursors can be used in the innovative A L D processing. The polyester pulse contains a gas, which is inert (such as argon, hydrogen, and or chlorine) to prevent the gas phase from reacting with the gas precursor 3 9 2. Moreover, the terbium pulse may contain the same gas required to generate active ions (such as Ar + ions) and reactive atoms (such as osmium atoms). This minimizes the switching required for innovative A L D processing. Together, the reactive atom 4 02 reacts with the chemisorption precursor 3 9 6, while the active ion 400 provides the energy required to drive the surface reaction. In this way, the innovative A L D process can occur at a lower temperature (eg T < 300 ° C) than the ordinary A L D process (e.g. T = 400-500 ° C). This is especially important for substrates that already contain low-temperature-stable materials, such as low-k media. This paper is suitable for use in this paper. National Standard (CNS) A4 (210X297 mm) (Please read the precautions on the back before filling this page), -5t »Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs
-61 - 540093 A7 ___ B7 _ 五、發明説明(59 ) 〇 (請先閲讀背面之注意事項再填寫本頁) 由於表面反應之活化能量由基體8上方之電漿中所產 生之活力離子4 0 0提供,故如無由離子轟擊所提供之能 量,通常不發生反應,因爲處理溫度保持較之熱活化所需 之溫度爲低。故此,創新之原子層沉積處理爲離子誘發, 而非熱誘發者。沉積反應由調變活力離子4 0 0之能量, 由調變活力離子4 0 0及撞擊於基體8上之反應性原子之 流率,或由調變能量及流率二者控制。活力離子4 0 0之 能量(例如1 0 e V至1 0 0 e V )應夠高,以驅動表面 反應,但夠低,以防止基體8之重大濺散。 (a )普通先行技藝A L D處理及(b )創新之 A L D處理之時間圖在圖3 6中比較。圖3 6 ( a )顯示 經濟部智慧財產局員工消費合作社印製 在普通A L D處理中之一沉積循環包含一第一先質脈波 408, 一滌/泵脈波410, 一第二先質脈波412, 及另一滌/泵脈波4 1 0。每一脈波後隨一延遲4 1 4, 此具有通常非爲零之一持續時間。延遲4 1 4另確保防止 第一先質脈波4 0 8及第二先質脈波4 1 2之氣相混合, 在此期間中,僅發生抽氣,且無氣體流入。延遲4 1 4亦 提供時間,由普通閥系統切換氣體。 第一及第二先質脈波4 0 8及4 1 2之持續時間可在 2 0 0 m s及1 5秒之間。滌/抽脈波4 1 0之持續時間 可爲5 - 1 5秒。延遲4 1 4之持續時間可爲2 0 0 m s 至5秒。此導致沉積循環自1 1秒至7 5秒。如此,5〇 循環沉積處理費時超過一小時。 木纸張尺度適用中國國家標準(CNS 規格(210X297公釐) ' — ' -62- 540093 A7 B7 五、發明説明(60 ) 圖3 6 ( b )顯示創新之A L D處理之二沉積循環。 (請先閱讀背面之注意事項再填寫本頁) 一沉積循環包含一第一先質週波4 1 6及一滌淸氣體脈波 4 1 8。每一脈波後隨一延遲4 2 0。當與普通ALD處 理相較時,該創新之處理之一沉積循環所費之時間大爲縮 短,從而增加處理產出。 如延遲4 2 0具有零長度,則處理產出可進一步增加 。零長度延遲可使用三路閥(尤其是圖8之蓮蓬頭三路閥 1 4 8 )或啓閉閥及配件之相似構造(此等可快速氣體切 換)達成。在由有效使用滌淸氣體脈波4 1 8之創新 ALD處理中,進一步方便零長度之延遲42 0,此可包 含一種以上氣體之混合。例如,滌淸氣體可包含”第二” 先質源氣體(即如圖3 5 ( c )所示,反應性原子4 0 2 與在滌淸氣體脈波4 1 8期間中所產生之活力離子4 0 0 聯合作用)。而且。第一先質之攜帶氣體(即在第一先質 脈波4 1 4之期間流入)可爲”第二”先質之源氣體之一 〇 經濟部智慧財產局員工消費合作社印製 實施者明瞭創新之A L D處理可有其他實施例。例如 ,在一些實施例,可使用多個先質於化合物薄膜上。在其 他實施例,圖3 6 ( b )之沉積循環可由滌淸氣體脈波 4 1 8開始,包含一電漿,用作當場淸除,以移去含碳之 殘留物,天然氧化物,或其他雜質。在此等實施例中,反 應性原子C例如圖3 5 ( c )中之Η原子)與碳及氧反應 ,以產生揮發性族群(例如C Η 3及〇Η X族群)。活力離 子4 0 0 (例如圖3 5 ( c )中之A r +及/或H e +離子 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -63- 540093 Α7 Β7 五、發明説明(61 ) (請先閱讀背面之注意事項再填寫本頁) )加強分解(例如Η 2 ),並增加物理淸除(例如,經由電 發中所產生之A r +離子濺散)。在又其他實施例,可不需 要反應性原子4 〇 2,及電漿1 9 4可不含反應性原子 4 0 2° 有關原子層沉積之當場淸除之其他資訊可閱有關美臨 時申請書序號60/255, 812,題爲,,在單個處理 室內整合當場淸除及其後原子層沉積之方法,,,於 2000年12月15日提出。 其他創新之A L D處理 前述創新之A L D處理可加以修改,以進一步增加性 能。其他創新之A L D處理可應付先質之更快速滌淸,處 理室之傳導率之快速改變,自一至次一步驟之狀態基礎之 改變,處理步驟之自我同步,及/或各種電漿產生及終止 選擇。此等改變可用以進一步減小沉積循環長度,從而增 加產出。 經濟部智慧財產局員工消費合作社印製 例如,在一些創新之A L D處理實施例,在構製一單 層之化學吸附先質於基體8 (圖3 5 ( b ))上後,需迅 速滌淸處理室中之一氣體先質。此可使用由罩14 (圖 1 3 )所達成之處理中可調傳導率完成,該罩可在沉積循 環之期間中移動。參考圖1 5,圖1 6,及圖1 7,如前 述,罩1 4與蓮蓬頭1 7 2及室蓋1 0構成罩傳導上徑路 2 2。罩1 4亦與蔭蔽環2 8構成罩傳導下徑路2 4。上 及下徑路之傳導率由線性馬達1 2 2精確移動罩1 4改變 本紙張尺度適用中國國家標準(CNS ) A4規格(210Χ 297公釐) -64 - ^4〇〇93-61-540093 A7 ___ B7 _ V. Description of the invention (59) 〇 (Please read the precautions on the back before filling out this page) The activation energy generated by the surface reaction is generated by the active ions in the plasma above the substrate 8 4 0 0, so without the energy provided by ion bombardment, the reaction usually does not occur, because the treatment temperature is kept lower than the temperature required for thermal activation. Therefore, the innovative atomic layer deposition process is ion-induced, not heat-induced. The deposition reaction is controlled by modulating the energy of the active ion 400, by modulating the flow rate of the active ion 400 and the reactive atom impinging on the substrate 8, or by both modulating energy and flow rate. The energy of the active ion 4 0 0 (for example, 10 e V to 100 e V) should be high enough to drive the surface reaction, but low enough to prevent significant splashing of the substrate 8. (a) The time chart of the conventional advanced technology A L D processing and (b) the innovative A L D processing are compared in FIG. 36. Figure 36 (a) shows that one of the deposition cycles printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs in the ordinary ALD process includes a first precursory pulse 408, a polyester / pump pulse 410, and a second precursory pulse Wave 412, and another polyester / pump pulse 4 1 0. Each pulse is followed by a delay of 4 1 4, which has a duration that is usually non-zero. The delay 4 1 4 also ensures that the gas phase mixing of the first precursory pulse wave 408 and the second precursory pulse wave 4 12 is prevented. During this period, only evacuation occurred and no gas flowed in. Delay 4 1 4 also provides time for gas switching by a common valve system. The duration of the first and second precursory pulse waves 408 and 4 12 may be between 2000 ms and 15 seconds. The duration of the washing / pumping wave 4 1 0 can be 5-15 seconds. The duration of the delay 4 1 4 can be from 200 ms to 5 seconds. This results in a deposition cycle from 11 seconds to 75 seconds. As such, the 50-cycle deposition process takes more than an hour. Wood paper scales are in accordance with Chinese national standards (CNS specifications (210X297 mm) '-' -62- 540093 A7 B7 V. Description of the invention (60) Figure 36 (b) shows the second deposition cycle of the innovative ALD process. (Please (Please read the notes on the back before filling in this page.) A deposition cycle includes a first precursory cycle 4 1 6 and a polyester gas pulse 4 1 8. Each pulse is followed by a delay 4 2 0. When normal Compared with ALD processing, the time taken for one of the innovative processing deposition cycles is greatly reduced, thereby increasing the processing output. If the delay 4 2 0 has zero length, the processing output can be further increased. Zero length delay can be used Three-way valves (especially the three-way valve 1 4 8 of the shower head in Figure 8) or similar structures of on-off valves and accessories (these can be quickly switched gas) are achieved. The innovation by the effective use of polyester gas pulse 4 1 8 In the ALD process, a zero-length delay of 42 0 is further facilitated, which may include a mixture of more than one gas. For example, the polyester gas may include a "second" precursor gas (ie, as shown in Figure 3 5 (c), the reaction is Sex atom 4 0 2 and during the terbium gas pulse 4 1 8 The generated active ions (4 0 0 combined effect). Moreover, the carrier gas of the first precursor (inflowing during the first precursor pulse 4 1 4) can be one of the "second" precursor gases 〇 The employee of the Intellectual Property Bureau of the Ministry of Economic Affairs, the consumer co-operative printed the implementation of the implementation of the ALD process, there are other embodiments. For example, in some embodiments, multiple precursors can be used on the compound film. In other embodiments, Figure 3 6 (b) The deposition cycle can start with the polyester gas pulse 4 1 8 and contains a plasma for on-site removal to remove carbon-containing residues, natural oxides, or other impurities. In these examples In reaction, a reactive atom C, such as the fluorene atom in FIG. 3 5 (c), reacts with carbon and oxygen to generate a volatile group (such as the C Η 3 and 〇Η X groups). Active ions 4 0 0 (for example, A r + and / or He + ions in Figure 3 5 (c). The paper size applies to the Chinese National Standard (CNS) A4 specification (210X297 mm) -63- 540093 Α7 Β7 V. Description of the Invention (61) (Please read the notes on the back before filling out this page)) Strengthen the decomposition (such as Η 2), and increase the physical elimination (for example, by scattering of A r + ions generated in the hair). In still other embodiments, reactive atoms 4 02 may not be needed, and plasma 194 may not contain reactive atoms 4 0 2 ° For additional information on the spot elimination of atomic layer deposition, see the related US provisional application number 60 / 255, 812, entitled, "Integrating on-site eradication and subsequent atomic layer deposition in a single processing chamber," was proposed on December 15, 2000. A L D treatment of other innovations The A L D treatment of the aforementioned innovations can be modified to further increase performance. Other innovative ALD processes can cope with faster cleaning of precursors, rapid changes in the conductivity of the processing chamber, changes in the state basis from one step to the next, self-synchronization of processing steps, and / or the generation and termination of various plasmas select. These changes can be used to further reduce the length of the deposition cycle and increase output. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs One of the gas precursors in the processing chamber. This can be done using the adjustable conductivity in the process achieved by the hood 14 (Figure 13), which can be moved during the deposition cycle. Referring to FIG. 15, FIG. 16, and FIG. 17, as described above, the cover 14 and the shower head 17 2 and the chamber cover 10 constitute a cover conduction upper path 22. The cover 1 4 and the shadow ring 2 8 also form a cover conductive lower path 24. The conductivity of the upper and lower paths is changed by the linear motor 1 2 2 precision moving cover 1 4 The paper size applies the Chinese National Standard (CNS) A4 specification (210 × 297 mm) -64-^ 4〇〇93
五、發明説明(62 ) (圖 8 )。 (請先閲讀背面之注意事項再填寫本頁) 故此,在曝露基體8於氣體先質3 9 2後,可由降下 胃1 4迅速增加室傳導率。例如,可使用一滌淸罩位置( 7)。降下罩14打通罩傳導上及下徑路22及24 至環形泵通道2 0。泵通道2 0之低壓力快速移去處理室 1 2中多餘之氣體先質3 0 2及諸如自由配合基3 9 8之 副產物(圖3 5 ( b ))。同時,滌淸氣體(例如A r, Η 2,及/或H e )流入,以協助滌淸室1 2中多餘之氣體 先質及副產物。降下罩1 4亦經由曝露室1 2於環形泵通 道2 0,導致室12中之壓力下降。罩14然後可向上移 回例如至與圖1 6之罩位置2 1 2相似之位置,以減小傳 導率,並增加室1 2中之壓力(採取恆定氣體流率),以 擊發電漿1 9 4 (圖3 f ( c ))。 經濟部智慧財產局員工消費合作社印製 明確言之,可產生電漿1 9 4,同時使用例如圖3 1 之電路3 4 5。R F電力之施加可與罩1 4 (圖1 5 -1 7 )之位置同步(例如由電腦控制),以產生電漿於室 12中C圖13)。或且,如使用電路348 (圖31) 恆定施加R F偏壓電力於電極8 0及8 2上,可使用處理 室1 2中之高壓力(即與環形泵通道2 0之壓力相對), 以觸發電漿1 9 4 (圖1 3 )。低壓力(即接近環形泵通 道200之壓力)有效終止電漿194,因爲不發生足夠 之碰撞,以維持電漿1 9 4。 圖3 7顯示另一 A L D處理實施例之時間圖,如以上 所討論。圖3 7 ( a )顯示二沉積循環,包含一第一先質 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -65- 540093 A7 ___ ΒΊ 五、發明説明(63 ) (請先閱讀背面之注意事項再填寫本頁) 脈波4 1 6,後隨一滌淸氣體脈波4 1 8,每一脈波後具 有零長度延遲。圖3 7 ( b )顯示對應之室傳導率。多個 低傳導率週期4 2 2 (相當於升起之罩位置)由多個高傳 導率週期4 2 4 (相當於降下之罩位置)相互分開。高傳 導率週期4 2 4發生於每一滌淸氣體脈波4 1 8之開始及 終止,以助滌淸室1 2中(圖1 3 )中殘留之氣體。 圖3 7 ( c )顯示室1 2 (圖1 3 )中之對應壓力。 一低傳導率週期4 2 2產生一高壓力週期4 2 6。一高傳 導率週期424產生一低壓力週期428。圖37 (c) 並顯示多個”電漿通”週期4 3 0及多個”電漿斷”週期 4 3 2。電漿通週期4 3 0在每一高壓力週期4 2 6之期 間中發生,在滌淸氣體脈波4 1 8之期間中。如所討論, 用以產生電漿1 9 4之R F電力(圖1 3 )可與罩位置同 步。或且,電漿可由高壓力點火(在有滌淸氣體存在時) ,及由低壓力終止,同時R F偏壓電力恆定施加於 ESC6 (圖3 1)中所埋置之電極80及82上。 經濟部智慧財產局員工消費合作社印製 普通A L D硬體及處理依賴個別先質脈波4 0 8及 4 1 2及滌/泵脈波4 1 2 (圖3 6 ( a ))之精確時間 ,以減小沉積循環長度,並確保適當之處理性能。此等時 間基礎之處理依賴若干假設,包括有定態情況存在,所有 A L D反應行爲相似,及所有氣體及處理”按時”。 反之,一些創新之A L D處理實施例可使用狀態基礎 之方法,而非時間基礎之方法,以同步各個別脈波。此可 在提高處理速度,控制,及可靠性上提供個別脈波之自我 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -66 - 540093 A7 B7 五、發明説明(64 ) (請先閱讀背面之注意事項再填寫本頁) 同步。在引進先固定持續時間氣體脈波後之一預定時間, 取代引進次-氣體脈波(具有固定持續時間)者,可根據 處理室1 2 (圖1 3 )之壓力狀態之改變,觸發次氣體脈 波。此可使用裝於室體1 8中能感測處理室1 2中之壓力 改變之一壓力開關達成。可由處理中可調整之傳導率調變 該壓力,由能在沉積循環中移動之一罩1 4達成,如前述 〇 圖3 8顯示創新之A L D處理之另一實施例之時間圖 。圖3 8之A L D處理與圖3 7之A L D處理相似,但具 有另一電漿終止技術。故此,爲免重複,討論集中於二實 施例之不同。 經濟部智慧財產局員工消費合作社印製 在圖38之ALD處理中,罩14僅在每一先質脈波 4 1 6後下降,以協助滌淸室1 2 (亦閱圖1 7及圖3 5 Cb))中多餘之氣體先質392及自由配合基398。 圖38 (b)中高傳導率週期424之數(與圖38(c )中之低壓力週期4 2 8相對應)減少。故此,圖3 8 ( b)中一低傳導率週期434 (與圖38 (c)中之一高 壓力週期4 3 6相對應)自滌淸氣體脈波4 1 8延伸進入 圖3 8 ( a )中之隨後先質脈波4 1 6中。在此實施例, 電獎由室1 2 (圖1 3 )中之高壓力點火,或與其同步。 在滌淸氣體脈波4 1 8之期間中在每一高壓力週期4 3 6 之期間中,電漿在週期4 3 0上發生。電漿1 9 4 (圖 1 3 )在其後電漿斷週期4 3 2 (在先質脈波4 1 6之期 間中)中由壓力改變以外之方法終止,此可包括例如使用 本紙張尺度適用中國國家榡準(CNS ) A4規格(210X297公釐) - 67- 540093 A7 一一..______ B7 五、發明説明(65 ) 開關中斷R F電力,或設定R F輸出至零。可置一開關例 如於:R F阻抗匹配電路3 7 0中或R F電源3 8 0 (圖 3 2及圖3 3 )中。此一開關之致動例如由電腦與沉積步 驟同步。 A L D處理之創新化學吸附技術 在第一先質脈波4 1 6之期間中(圖3 6 ( b )), 氣^體先質(例如圖35(a)中之先質 3 9 2 )之化學吸 附*於基體8上可由偏壓基體8加強。如前有關圖3 5 ( a )所討論,當氣體先質3 9 2之一分子到達經加熱之基體 8上時,一弱鍵合配合基 裂離該分子,形成自由配合基3 9 8。此實際離開先質分 子,具有一淨電荷(正或負)。施加於基體8上之一相反 極性,低D C偏壓(例如,| 5 0 V丨< | V b i a s丨< 〇 V )吸引荷電之先質分子於基體上,且其朝向在使所需之原 子鍵合於基體8上,以形成化學吸附之先質3 9 6。需要 最低可能偏壓(例如,I 5 0 V丨< I V b i a s | < 〇 V ) ,此產生一力矩於荷電之先質分子上,以基體8之最少充 電,使何電之先質分子朝正確方向。 A L D處理之此創新化學吸附技術促進由介質及金屬 表面上之特定朝向,均勻及完全(即飽和)化學吸附,故 可使用A L D,達成高品質,可再生之逐層生長。該創新 之化學吸附技術對首少數先質單層特別有效,在此,在無 此技術時,先質分子可在隨意朝向上化學吸附。此方法在 本纸張尺度適用中.國國家標準(CNS ) A4規格(210X29?公釐) (請先閲讀背面之注意事項再填寫本頁) -裝· 訂 經濟部智慧財產局員工消費合作社印製 -68- 540093 A7 __ B7 "^____- ____ —-_ 五、發明説明(66 ) $機金屬先質,諸如前述者之情形亦特別有效。 (請先閲讀背面之注意事項再填寫本頁) _ 3 9槪要顯示創新之化學吸附技術,用於A L D處 iS,以沉積薄膜,例如用於銅互接技術上。用於銅互接技 術1上之二薄膜爲一障壁/黏著層及一銅種子層。圖3 9 ( a )顯示T a N,一普通障壁/黏著層材料之化學吸附。 在先質TBTDET438之情形,Bu之配合基可裂離 °由負荷電之氮4 4 2 (例如N —)使一新負荷電之先質 4 4 〇朝向正偏壓之基體8,以便化學吸附。如N E t 2裂 離,則T a變爲正荷電,及施加於基體8上之一負偏壓使 T a朝向基體,以便化學吸附。 圖 3 9 ( b )顯示 Cuprasejet(CuhfacTMVS),一普通 銅種子層材料之化學吸附。在先質CuhfacTMVS 4 4 4之情 形,Τ Μ V S基裂離。然後由正荷電之銅4 4 8 (例如 C u + 1 )使一新正荷電之先質4 4 6朝向負偏壓之基體8 ,以便化學吸附。 經濟部智慧財產局員工消費合作社印製 在一些實施例,該創新之化學吸附技術可包含一當場 淸除,然後引進第一先質,以促進高品質之薄膜沉積。如 以上有關圖3 6 ( b )所述,可使用一滌淸氣體脈波 4 1 8 (例如包含A r,Η 2,及/或H e )於當場淸除, 以移去含碳之殘留物,天然氧化物,或其他雜質(例如, 閱上述之申請書序號60/255, 812)。在薄膜至 基體8 (圖3 9 )之低電阻及良機械附著力之情形,移去 金屬層上之天然氧化物特別重要。Η原子可與碳及氧反應 ,以產生揮發性族群(例如C Η X及〇Η χ族群)。A r + 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X297公釐) -69- 54〇〇93 A7 B7 i '發明説明(67 ) (請先閱讀背面之注意事項再填寫本頁) 或H e +離子促進分解(例如Η 2 ),並加一物理淸除(例 如,由電漿中所產生之A r +濺散)。可修改氣體比率,以 2夂變當場淸除之物理對化學組成份。 圖4 0爲用以電偏壓上述創新之化學吸附技術之 ALd反應器10〇(圖12)之ESC6之電路450 之槪要圖。E S C 6之使用有助於提供一均勻之偏壓至基 體8 (停39)。圖40之電路450與圖32之電路 372及圖33之電路376相似。故此,爲避免重複, 討論集中於電路4 5 0及電路3 7 2及3 7 6間之不同。 在圖40中,由開斷RF電力開關452中斷RF電 力與RF產生器92之連接,一第一DC電源454及一 第二D C電源4 5 6 (此等爲串連匹配之電源)執行圖 3 2及3 3中D C電源8 6之功能,以維持電極8 0及 8 2間之電位差。此電位差提供”卡住”行動,保持基體 8 (圖3 9 )於E S C 6上。一電流抑制電阻器4 6 0, 一 DC電力開關402,及一 DC基準電壓源464串連 於D C電源4 5 4及4 5 6之公共陽極(標示A )及地端 經濟部智慧財產局員工消費合作社印製 4 5 8之間。地端4 5 8可爲與地端9 4同一地基準。 DC電力開關462閉合時,電極80及82 (且故 此,在化學吸附期間中之基體8,如顯示於圖3 9 )之基 準電壓由D C基準電壓源4 6 4建立。電流抑制電阻器 4 6 0限制來自DC基準電壓源4 6 4之電流。DC基準 電壓源4 6 4能視需要,提供正或負電壓,用以偏壓基體 8 (圖3 9 0 )。由DC基準電壓源4 6 4所提供之電壓 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -70- 540093 A7 ___ B7 五、發明説明(68 ) 位準另可減少化學吸附一完全單層所需之時間。此可減少 第一先質脈波4 1 6之持續時間(圖3 6 ( b )),及/ (請先閱讀背面之注意事項再填寫本頁) 或降低第一先質脈波4 1 6期間中之先質部份壓力。 一旦化學吸附完成,D C電力開關4 6 2開斷,以隔 離電壓源4 64,並電浮動第一及第二DC電源454及 4 5 6。R F電力開關閉合,俾再連接R F產生器。 A L D之其餘處理如上述繼續。 經濟部智慧財產局員工消費合作社印製 在A L D處理之一些實施例,可使用與圖4 0之電路 4 5 〇相似之電路,由使用高D C電壓(例如5 0 0 V或 更高)偏壓E S C 6,以產生電漿於基體8上方。在此情 形,RF產生器92, RF阻抗匹配電路370,及電容 器9 6及9 8不用。D C基準電壓源4 6 4供應至少二不 同之電壓,或開關4 6 2交替於二不同電壓源之間。第一 電壓爲低D C電壓,在電漿斷期間4 3 2中(圖3 7 )連 接至電極8 0及8 2。低D C電壓可爲零伏,或非零低電 壓,用以定向先質分子,俾促進化學吸附,如上述。第二 電壓爲高DC電壓,在電漿通期間4 3 0 (圖3 7)中連 接至電極8 0及8 2,以產生電漿。 該創新之A L D反應器特別適用於薄膜沉積,諸如障 壁層及種子層沉積,但此處之述說可應用於許多其他型式 之反應器,及許多其他型式之薄膜(例如,低k介質,閘 介質,光薄膜等)。A L D反應器之以上實施例及所有其 構成部份,以及此處所述之A L D處理爲例解性,且非限 制本發明之廣泛原理。精於本藝之人士明瞭許多其他實施 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公楚1 _ " -71 - 540093 A7 B7 五、發明説明(69 內 圍 $ 等 相 及 向 橫 之 圍 A-E 利 亩寸 主円 1三口 申 附 後 在 含 明。 發者 本有 。 所 例之 ---------裝-- (請先閲讀背面之注意事項再填寫本頁) -、11 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -72-5. Description of the invention (62) (Figure 8). (Please read the precautions on the back before filling this page.) Therefore, after exposing the matrix 8 to the gas precursor 3 9 2, you can lower the stomach 1 4 to quickly increase the chamber conductivity. For example, a polyester cover position (7) can be used. The lower cover 14 opens the cover to conduct the upper and lower paths 22 and 24 to the annular pump passage 20. The low pressure of the pump channel 20 quickly removes the excess gas precursors 3 0 2 and the by-products such as the free complex 3 9 8 in the processing chamber 12 (Fig. 3 5 (b)). At the same time, polyester gas (such as Ar, K2, and / or He) flows in to assist the excess gas precursors and by-products in the polyester chamber 12. The lower cover 14 also passes through the exposure chamber 12 to the annular pump passage 20, causing the pressure in the chamber 12 to decrease. The hood 14 can then be moved back up, for example, to a position similar to the hood position 2 1 2 of FIG. 16 to reduce the conductivity and increase the pressure in the chamber 12 (taking a constant gas flow rate) to strike the power generation slurry 1 9 4 (Fig. 3 f (c)). Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs. To be clear, a plasma 1 9 4 can be generated, while using the circuit 3 4 5 of FIG. 3 1 for example. The application of RF power can be synchronized with the position of the cover 14 (Figures 15-17) (for example, controlled by a computer) to generate plasma in the chamber 12 (Figure 13). Or, if the circuit 348 (Fig. 31) is used to apply a constant RF bias power to the electrodes 80 and 82, the high pressure in the processing chamber 12 can be used (that is, the pressure in the ring pump channel 20 is opposite), so that Trigger plasma 1 9 4 (Figure 1 3). The low pressure (ie, the pressure near the annular pump channel 200) effectively terminates the plasma 194 because there is not enough collision to maintain the plasma 194. Figure 37 shows a timing diagram of another A L D processing embodiment, as discussed above. Figure 37 (a) shows two deposition cycles, including a first precursor. The paper size is applicable to the Chinese National Standard (CNS) A4 specification (210X297 mm) -65- 540093 A7 ___ ΒΊ V. Description of the invention (63) (Please Read the notes on the back before filling this page) Pulse 4 1 6 followed by a polyester gas pulse 4 1 8 with zero length delay after each pulse. Figure 37 (b) shows the corresponding chamber conductivity. Multiple low-conductivity periods 4 2 2 (equivalent to the raised hood position) are separated from each other by multiple high-conductivity periods 4 2 4 (equivalent to the lowered hood position). The high-conductivity cycle 4 2 4 occurs at the beginning and end of each of the scrubber gas pulses 4 1 8 to assist the scrubber gas in the scrubber chamber 12 (Fig. 13). Fig. 37 (c) shows the corresponding pressure in the chamber 12 (Fig. 13). A low conductivity period 4 2 2 produces a high pressure period 4 2 6. A high conductivity period 424 produces a low pressure period 428. Figure 37 (c) shows multiple “plasma on” cycles 4 3 0 and multiple “plasma off” cycles 4 3 2. The plasma flow period 4 3 0 occurs during each high pressure period 4 2 6 and during the cleaning of the gas pulse 4 1 8. As discussed, the RF power (Figure 13) used to generate the plasma 194 can be synchronized with the hood position. Alternatively, the plasma can be ignited by high pressure (in the presence of polyester gas) and terminated by low pressure, while the RF bias power is constantly applied to the electrodes 80 and 82 embedded in ESC6 (Figure 31). The consumer cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs prints ordinary ALD hardware and processes the precise time depending on the individual precursor pulses 4 0 8 and 4 1 2 and the polyester / pump pulses 4 1 2 (Figure 36 (a)). To reduce the length of the deposition cycle and ensure proper processing performance. The processing of these time bases relies on a number of assumptions, including the existence of steady state conditions, the similar behavior of all A L D reactions, and all gases and treatments "on time". Conversely, some innovative A L D processing embodiments may use a state-based approach rather than a time-based approach to synchronize individual pulses. This can provide individual pulses in improving processing speed, control, and reliability. The paper size applies Chinese National Standard (CNS) A4 specifications (210X297 mm) -66-540093 A7 B7 V. Description of the invention (64) ( Please read the notes on the back before filling out this page) Sync. At a predetermined time after the introduction of the first fixed duration gas pulse, instead of the introduction of the sub-gas pulse (with a fixed duration), the secondary gas can be triggered according to the change in the pressure state of the processing chamber 1 2 (Figure 13) Pulse wave. This can be achieved using a pressure switch installed in the chamber body 18 which can sense the pressure change in the processing chamber 12. This pressure can be adjusted by the adjustable conductivity in the process, and this pressure is achieved by moving one of the masks 14 during the deposition cycle, as described above. Figure 38 shows a time chart of another embodiment of the innovative A L D process. The A L D process of Figure 38 is similar to the A L D process of Figure 37, but with another plasma termination technique. Therefore, to avoid repetition, the discussion focuses on the differences between the two embodiments. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs in the ALD process of Fig. 38, the hood 14 only descends after each of the precursory pulses 4 1 6 to assist the cleaning room 1 2 (see also Fig. 17 and Fig. 3 5 Cb)) Excess gas precursor 392 and free ligand 398. The number of high-conductivity periods 424 in FIG. 38 (b) (corresponding to the low-pressure periods 4 2 8 in FIG. 38 (c)) decreases. Therefore, a low-conductivity period 434 (corresponding to one of the high-pressure periods 4 3 6 in FIG. 38 (c)) extends from the terbium gas pulse 4 1 8 into FIG. 3 8 (a ) Followed by the protoplasmic pulse 4 1 6. In this embodiment, the electric prize is ignited by, or synchronized with, the high pressure in the chamber 12 (Fig. 13). In the period of the purging gas pulse 4 1 8, during each high pressure period 4 3 6, the plasma occurs at the period 4 3 0. Plasma 1 9 4 (Figure 1 3) is terminated by a method other than pressure change in the subsequent plasma interruption cycle 4 3 2 (during the period of the precursor pulse 4 1 6), which may include, for example, using the paper size Applicable to China National Standards (CNS) A4 specifications (210X297 mm)-67- 540093 A7-One .. ______ B7 V. Description of the invention (65) The switch interrupts the RF power, or sets the RF output to zero. A switch can be set, for example, in RF impedance matching circuit 370 or RF power supply 380 (Figure 32 and Figure 33). The actuation of this switch is synchronized, for example, by a computer with the deposition step. The innovative chemical adsorption technology of ALD treatment is in the period of the first precursor pulse 4 16 (Fig. 3 6 (b)), the gas precursor (such as the precursor 3 9 2 in Fig. 35 (a)). Chemisorption * on the substrate 8 can be enhanced by the biased substrate 8. As previously discussed in relation to Figure 3 5 (a), when one of the molecules of the gas precursor 3 9 2 reaches the heated substrate 8, a weakly bonded complex breaks apart the molecule to form a free complex 3 98. This actually leaves the proton molecule and has a net charge (positive or negative). One of the opposite polarity, low DC bias (for example, | 5 0 V 丨 < | V bias 丨 < 〇V) applied to the substrate 8 attracts the charged precursor molecules to the substrate, and its orientation is such that The atoms are bonded to the substrate 8 to form a chemisorption precursor 3 9 6. Requires the lowest possible bias (for example, I 5 0 V 丨 < IV bias | < 〇V), which generates a moment on the charged precursor molecule, with the least charge of the matrix 8, so that the charged precursor molecule In the right direction. This innovative chemisorption technology of A L D treatment promotes uniform and complete (ie saturated) chemisorption from a specific orientation on the medium and metal surface, so A L D can be used to achieve high-quality, renewable layer-by-layer growth. This innovative chemisorption technology is particularly effective for the first few precursor monolayers. Here, without this technology, the precursor molecules can be chemisorbed in arbitrary orientations. This method is applicable in this paper standard. National Standard (CNS) A4 Specification (210X29? Mm) (Please read the precautions on the back before filling this page) -68- 540093 A7 __ B7 " ^ ____- ____ —-_ V. Description of the invention (66) $ Mechanical metal precursors, such as the aforementioned case are also particularly effective. (Please read the notes on the back before filling out this page) _ 3 9 槪 To show innovative chemical adsorption technology for iS at A L D to deposit thin films, such as for copper interconnect technology. The two films used in copper interconnect technology 1 are a barrier / adhesive layer and a copper seed layer. Figure 39 (a) shows the chemical adsorption of T a N, a common barrier / adhesive layer material. In the case of the precursor TBTDET438, the complexing group of Bu can be detached. The nitrogen 4 4 2 (for example, N —) with a load of electricity makes a precursor 4 4 0 with a new load of electricity towards the substrate 8 with a positive bias for chemical adsorption. . If N E t 2 is split, T a becomes positively charged, and a negative bias applied to the substrate 8 causes T a to face the substrate for chemical adsorption. Figure 39 (b) shows Cuprasejet (CuhfacTMVS), a chemical adsorption of a common copper seed layer material. In the case of the precursor CuhfacTMVS 4 4 4, the TM VS base was cleaved. A new positively charged precursor 4 4 6 is then directed toward the negatively biased substrate 8 by positively charged copper 4 4 8 (for example, C u + 1) for chemical adsorption. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs In some embodiments, the innovative chemisorption technology may include on-site elimination, and then introduce the first precursor to promote high-quality thin film deposition. As described above with reference to FIG. 3 6 (b), a polyester gas pulse 4 1 8 (for example, containing Ar, Η 2, and / or He) can be removed on the spot to remove carbon-containing residues. Substances, natural oxides, or other impurities (for example, see application number 60/255, 812 above). In the case of low resistance and good mechanical adhesion from the film to the substrate 8 (Fig. 39), it is particularly important to remove the natural oxide from the metal layer. The europium atom can react with carbon and oxygen to generate volatile groups (such as the C Η X and 〇 Η χ groups). A r + This paper size is applicable. National National Standards (CNS) A4 specifications (210X297 mm) -69- 54〇〇93 A7 B7 i 'Invention Note (67) (Please read the precautions on the back before filling this page ) Or He + ions promote decomposition (for example, Η 2), and add a physical depletion (for example, A r + spatter generated from the plasma). The gas ratio can be modified to change the physical-to-chemical composition on the spot by 2%. Figure 40 is a schematic diagram of the ESC6 circuit 450 of the ALd reactor 100 (Figure 12) used to electrically bias the aforementioned innovative chemisorption technology. The use of E S C 6 helps to provide a uniform bias to the substrate 8 (stop 39). Circuit 450 of Fig. 40 is similar to circuit 372 of Fig. 32 and circuit 376 of Fig. 33. Therefore, to avoid repetition, the discussion focuses on the differences between circuits 450 and circuits 3 72 and 37. In FIG. 40, the connection between the RF power and the RF generator 92 is interrupted by opening and closing the RF power switch 452. A first DC power supply 454 and a second DC power supply 4 5 6 (these are a series matching power supply) are executed The functions of the DC power supply 86 in 3 2 and 3 3 are to maintain the potential difference between the electrodes 80 and 82. This potential difference provides a "stuck" action, keeping the substrate 8 (Figure 39) on the ESC6. A current suppression resistor 4 6 0, a DC power switch 402, and a DC reference voltage source 464 are connected in series to the common anode (labeled A) of the DC power supply 4 5 4 and 4 5 6 and the employee of the Intellectual Property Bureau of the Ministry of Economic Affairs Consumer cooperatives print between 4 5 8. Ground terminal 4 5 8 may be the same ground reference as ground terminal 9 4. When the DC power switch 462 is closed, the reference voltage of the electrodes 80 and 82 (and therefore, the substrate 8 during the chemisorption period, as shown in Fig. 3 9) is established by the DC reference voltage source 4 6 4. The current suppressing resistor 4 6 0 limits the current from the DC reference voltage source 4 6 4. The DC reference voltage source 4 6 4 can provide a positive or negative voltage as needed to bias the substrate 8 (Figure 390). The voltage provided by the DC reference voltage source 4 6 4 The paper size is applicable to the Chinese National Standard (CNS) A4 specifications (210X 297 mm) -70- 540093 A7 ___ B7 V. Description of the invention (68) The level can also reduce chemistry The time required to adsorb a complete monolayer. This can reduce the duration of the first precursory pulse 4 1 6 (Figure 3 6 (b)), and / (Please read the precautions on the back before filling this page) or reduce the first precursory pulse 4 1 6 The precursory part of the period is under pressure. Once the chemical adsorption is completed, the DC power switch 4 6 2 is opened to isolate the voltage source 4 64 and electrically float the first and second DC power sources 454 and 4 5 6. The R F power switch is closed, and then the R F generator is connected. The remaining processing of A L D continues as described above. Some embodiments of the ALD process printed by the Intellectual Property Bureau employee consumer cooperative of the Ministry of Economic Affairs can use a circuit similar to the circuit of FIG. 4 450, biased by using a high DC voltage (eg, 500 V or higher) ESC 6 to generate a plasma above the substrate 8. In this case, the RF generator 92, the RF impedance matching circuit 370, and the capacitors 96 and 98 are not used. DC reference voltage source 4 6 4 supplies at least two different voltages, or switch 4 6 2 alternates between two different voltage sources. The first voltage is a low DC voltage and is connected to the electrodes 80 and 82 during the plasma interruption period 4 32 (Fig. 37). The low DC voltage can be zero volts or a non-zero low voltage to orient precursor molecules and promote chemisorption, as described above. The second voltage is a high DC voltage and is connected to the electrodes 80 and 82 during the plasma conduction period 4 30 (Fig. 37) to generate a plasma. This innovative ALD reactor is particularly suitable for thin film deposition, such as barrier and seed layer deposition, but the description here can be applied to many other types of reactors, and many other types of thin films (for example, low-k media, gate media , Light film, etc.). The above embodiment of the A L D reactor and all of its constituent parts, as well as the A L D process described herein, are illustrative and do not limit the broad principles of the invention. Those skilled in the art understand that many other implementations of this paper standard are applicable to the Chinese National Standard (CNS) A4 specifications (210X297 Gongchu 1 _ " -71-540093 A7 B7 V. Description of the invention (69 Inner Dimensions $ and so on.) Zhiwei AE Limu inch masters 1 and 3 are attached after the application. The sender has it. The example is --------- install-(Please read the precautions on the back before filling this page) -、 11 The paper size printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs applies to the Chinese National Standard (CNS) A4 specification (210X 297 mm) -72-
Claims (1)
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US28162801P | 2001-04-05 | 2001-04-05 | |
US09/902,080 US6800173B2 (en) | 2000-12-15 | 2001-07-09 | Variable gas conductance control for a process chamber |
US09/970,867 US20020144657A1 (en) | 2001-04-05 | 2001-10-03 | ALD reactor employing electrostatic chuck |
US09/999,532 US20020076507A1 (en) | 2000-12-15 | 2001-10-24 | Process sequence for atomic layer deposition |
US09/999,499 US20020144655A1 (en) | 2001-04-05 | 2001-10-24 | Gas valve system for a reactor |
Publications (1)
Publication Number | Publication Date |
---|---|
TW540093B true TW540093B (en) | 2003-07-01 |
Family
ID=29587908
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW91106623A TW540093B (en) | 2001-04-05 | 2002-04-02 | Atomic layer deposition system and method |
Country Status (1)
Country | Link |
---|---|
TW (1) | TW540093B (en) |
Cited By (282)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI480415B (en) * | 2013-11-27 | 2015-04-11 | Ind Tech Res Inst | A muti-mode membrane deposition apparatus and a membrane deposition method |
CN105483651A (en) * | 2014-10-07 | 2016-04-13 | Asmip控股有限公司 | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US10561975B2 (en) | 2014-10-07 | 2020-02-18 | Asm Ip Holdings B.V. | Variable conductance gas distribution apparatus and method |
USD876504S1 (en) | 2017-04-03 | 2020-02-25 | Asm Ip Holding B.V. | Exhaust flow control ring for semiconductor deposition apparatus |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10604847B2 (en) | 2014-03-18 | 2020-03-31 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10622375B2 (en) | 2016-11-07 | 2020-04-14 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10665452B2 (en) | 2016-05-02 | 2020-05-26 | Asm Ip Holdings B.V. | Source/drain performance through conformal solid state doping |
US10672636B2 (en) | 2017-08-09 | 2020-06-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10707106B2 (en) | 2011-06-06 | 2020-07-07 | Asm Ip Holding B.V. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10714335B2 (en) | 2017-04-25 | 2020-07-14 | Asm Ip Holding B.V. | Method of depositing thin film and method of manufacturing semiconductor device |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10720322B2 (en) | 2016-02-19 | 2020-07-21 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on top surface |
US10720331B2 (en) | 2016-11-01 | 2020-07-21 | ASM IP Holdings, B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10734223B2 (en) | 2017-10-10 | 2020-08-04 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10734244B2 (en) | 2017-11-16 | 2020-08-04 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by the same |
US10734497B2 (en) | 2017-07-18 | 2020-08-04 | Asm Ip Holding B.V. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10741385B2 (en) | 2016-07-28 | 2020-08-11 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755923B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10784102B2 (en) | 2016-12-22 | 2020-09-22 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10787741B2 (en) | 2014-08-21 | 2020-09-29 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
US10804098B2 (en) | 2009-08-14 | 2020-10-13 | Asm Ip Holding B.V. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US10832903B2 (en) | 2011-10-28 | 2020-11-10 | Asm Ip Holding B.V. | Process feed management for semiconductor substrate processing |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10847371B2 (en) | 2018-03-27 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10844486B2 (en) | 2009-04-06 | 2020-11-24 | Asm Ip Holding B.V. | Semiconductor processing reactor and components thereof |
US10851456B2 (en) | 2016-04-21 | 2020-12-01 | Asm Ip Holding B.V. | Deposition of metal borides |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867786B2 (en) | 2018-03-30 | 2020-12-15 | Asm Ip Holding B.V. | Substrate processing method |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US10914004B2 (en) | 2018-06-29 | 2021-02-09 | Asm Ip Holding B.V. | Thin-film deposition method and manufacturing method of semiconductor device |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10928731B2 (en) | 2017-09-21 | 2021-02-23 | Asm Ip Holding B.V. | Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same |
US10934619B2 (en) | 2016-11-15 | 2021-03-02 | Asm Ip Holding B.V. | Gas supply unit and substrate processing apparatus including the gas supply unit |
USD913980S1 (en) | 2018-02-01 | 2021-03-23 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11001925B2 (en) | 2016-12-19 | 2021-05-11 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11004977B2 (en) | 2017-07-19 | 2021-05-11 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11056567B2 (en) | 2018-05-11 | 2021-07-06 | Asm Ip Holding B.V. | Method of forming a doped metal carbide film on a substrate and related semiconductor device structures |
US11069510B2 (en) | 2017-08-30 | 2021-07-20 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11094582B2 (en) | 2016-07-08 | 2021-08-17 | Asm Ip Holding B.V. | Selective deposition method to form air gaps |
US11094546B2 (en) | 2017-10-05 | 2021-08-17 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US11101370B2 (en) | 2016-05-02 | 2021-08-24 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
US11114294B2 (en) | 2019-03-08 | 2021-09-07 | Asm Ip Holding B.V. | Structure including SiOC layer and method of forming same |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
US11127617B2 (en) | 2017-11-27 | 2021-09-21 | Asm Ip Holding B.V. | Storage device for storing wafer cassettes for use with a batch furnace |
US11127589B2 (en) | 2019-02-01 | 2021-09-21 | Asm Ip Holding B.V. | Method of topology-selective film formation of silicon oxide |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
US11171025B2 (en) | 2019-01-22 | 2021-11-09 | Asm Ip Holding B.V. | Substrate processing device |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
US11205585B2 (en) | 2016-07-28 | 2021-12-21 | Asm Ip Holding B.V. | Substrate processing apparatus and method of operating the same |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
US11222772B2 (en) | 2016-12-14 | 2022-01-11 | Asm Ip Holding B.V. | Substrate processing apparatus |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227789B2 (en) | 2019-02-20 | 2022-01-18 | Asm Ip Holding B.V. | Method and apparatus for filling a recess formed within a substrate surface |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11233133B2 (en) | 2015-10-21 | 2022-01-25 | Asm Ip Holding B.V. | NbMC layers |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11242598B2 (en) | 2015-06-26 | 2022-02-08 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US11251040B2 (en) | 2019-02-20 | 2022-02-15 | Asm Ip Holding B.V. | Cyclical deposition method including treatment step and apparatus for same |
US11251068B2 (en) | 2018-10-19 | 2022-02-15 | Asm Ip Holding B.V. | Substrate processing apparatus and substrate processing method |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11274369B2 (en) | 2018-09-11 | 2022-03-15 | Asm Ip Holding B.V. | Thin film deposition method |
US11282698B2 (en) | 2019-07-19 | 2022-03-22 | Asm Ip Holding B.V. | Method of forming topology-controlled amorphous carbon polymer film |
US11289326B2 (en) | 2019-05-07 | 2022-03-29 | Asm Ip Holding B.V. | Method for reforming amorphous carbon polymer film |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US11315794B2 (en) | 2019-10-21 | 2022-04-26 | Asm Ip Holding B.V. | Apparatus and methods for selectively etching films |
US11339476B2 (en) | 2019-10-08 | 2022-05-24 | Asm Ip Holding B.V. | Substrate processing device having connection plates, substrate processing method |
US11342216B2 (en) | 2019-02-20 | 2022-05-24 | Asm Ip Holding B.V. | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
US11345999B2 (en) | 2019-06-06 | 2022-05-31 | Asm Ip Holding B.V. | Method of using a gas-phase reactor system including analyzing exhausted gas |
US11355338B2 (en) | 2019-05-10 | 2022-06-07 | Asm Ip Holding B.V. | Method of depositing material onto a surface and structure formed according to the method |
US11361990B2 (en) | 2018-05-28 | 2022-06-14 | Asm Ip Holding B.V. | Substrate processing method and device manufactured by using the same |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11378337B2 (en) | 2019-03-28 | 2022-07-05 | Asm Ip Holding B.V. | Door opener and substrate processing apparatus provided therewith |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US11390945B2 (en) | 2019-07-03 | 2022-07-19 | Asm Ip Holding B.V. | Temperature control assembly for substrate processing apparatus and method of using same |
US11393690B2 (en) | 2018-01-19 | 2022-07-19 | Asm Ip Holding B.V. | Deposition method |
US11390946B2 (en) | 2019-01-17 | 2022-07-19 | Asm Ip Holding B.V. | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
US11401605B2 (en) | 2019-11-26 | 2022-08-02 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11410851B2 (en) | 2017-02-15 | 2022-08-09 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US11414760B2 (en) | 2018-10-08 | 2022-08-16 | Asm Ip Holding B.V. | Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same |
US11424119B2 (en) | 2019-03-08 | 2022-08-23 | Asm Ip Holding B.V. | Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer |
US11430640B2 (en) | 2019-07-30 | 2022-08-30 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11437241B2 (en) | 2020-04-08 | 2022-09-06 | Asm Ip Holding B.V. | Apparatus and methods for selectively etching silicon oxide films |
US11443926B2 (en) | 2019-07-30 | 2022-09-13 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
US11469098B2 (en) | 2018-05-08 | 2022-10-11 | Asm Ip Holding B.V. | Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11476109B2 (en) | 2019-06-11 | 2022-10-18 | Asm Ip Holding B.V. | Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
US11482418B2 (en) | 2018-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Substrate processing method and apparatus |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
US11488819B2 (en) | 2018-12-04 | 2022-11-01 | Asm Ip Holding B.V. | Method of cleaning substrate processing apparatus |
US11488854B2 (en) | 2020-03-11 | 2022-11-01 | Asm Ip Holding B.V. | Substrate handling device with adjustable joints |
US11495459B2 (en) | 2019-09-04 | 2022-11-08 | Asm Ip Holding B.V. | Methods for selective deposition using a sacrificial capping layer |
US11492703B2 (en) | 2018-06-27 | 2022-11-08 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11499226B2 (en) | 2018-11-02 | 2022-11-15 | Asm Ip Holding B.V. | Substrate supporting unit and a substrate processing device including the same |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
US11499222B2 (en) | 2018-06-27 | 2022-11-15 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11515187B2 (en) | 2020-05-01 | 2022-11-29 | Asm Ip Holding B.V. | Fast FOUP swapping with a FOUP handler |
US11515188B2 (en) | 2019-05-16 | 2022-11-29 | Asm Ip Holding B.V. | Wafer boat handling device, vertical batch furnace and method |
US11521851B2 (en) | 2020-02-03 | 2022-12-06 | Asm Ip Holding B.V. | Method of forming structures including a vanadium or indium layer |
US11527400B2 (en) | 2019-08-23 | 2022-12-13 | Asm Ip Holding B.V. | Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11530876B2 (en) | 2020-04-24 | 2022-12-20 | Asm Ip Holding B.V. | Vertical batch furnace assembly comprising a cooling gas supply |
US11530483B2 (en) | 2018-06-21 | 2022-12-20 | Asm Ip Holding B.V. | Substrate processing system |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US11551912B2 (en) | 2020-01-20 | 2023-01-10 | Asm Ip Holding B.V. | Method of forming thin film and method of modifying surface of thin film |
US11551925B2 (en) | 2019-04-01 | 2023-01-10 | Asm Ip Holding B.V. | Method for manufacturing a semiconductor device |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
US11557474B2 (en) | 2019-07-29 | 2023-01-17 | Asm Ip Holding B.V. | Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11594450B2 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Method for forming a structure with a hole |
US11594600B2 (en) | 2019-11-05 | 2023-02-28 | Asm Ip Holding B.V. | Structures with doped semiconductor layers and methods and systems for forming same |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
US11605528B2 (en) | 2019-07-09 | 2023-03-14 | Asm Ip Holding B.V. | Plasma device using coaxial waveguide, and substrate treatment method |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
US11610774B2 (en) | 2019-10-02 | 2023-03-21 | Asm Ip Holding B.V. | Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process |
US11610775B2 (en) | 2016-07-28 | 2023-03-21 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
US11615970B2 (en) | 2019-07-17 | 2023-03-28 | Asm Ip Holding B.V. | Radical assist ignition plasma system and method |
US11626316B2 (en) | 2019-11-20 | 2023-04-11 | Asm Ip Holding B.V. | Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure |
US11626308B2 (en) | 2020-05-13 | 2023-04-11 | Asm Ip Holding B.V. | Laser alignment fixture for a reactor system |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11629407B2 (en) | 2019-02-22 | 2023-04-18 | Asm Ip Holding B.V. | Substrate processing apparatus and method for processing substrates |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
US11637011B2 (en) | 2019-10-16 | 2023-04-25 | Asm Ip Holding B.V. | Method of topology-selective film formation of silicon oxide |
US11639548B2 (en) | 2019-08-21 | 2023-05-02 | Asm Ip Holding B.V. | Film-forming material mixed-gas forming device and film forming device |
US11639811B2 (en) | 2017-11-27 | 2023-05-02 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US11644758B2 (en) | 2020-07-17 | 2023-05-09 | Asm Ip Holding B.V. | Structures and methods for use in photolithography |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
US11646184B2 (en) | 2019-11-29 | 2023-05-09 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11646204B2 (en) | 2020-06-24 | 2023-05-09 | Asm Ip Holding B.V. | Method for forming a layer provided with silicon |
US11649546B2 (en) | 2016-07-08 | 2023-05-16 | Asm Ip Holding B.V. | Organic reactants for atomic layer deposition |
US11658030B2 (en) | 2017-03-29 | 2023-05-23 | Asm Ip Holding B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US11658029B2 (en) | 2018-12-14 | 2023-05-23 | Asm Ip Holding B.V. | Method of forming a device structure using selective deposition of gallium nitride and system for same |
US11658035B2 (en) | 2020-06-30 | 2023-05-23 | Asm Ip Holding B.V. | Substrate processing method |
US11664245B2 (en) | 2019-07-16 | 2023-05-30 | Asm Ip Holding B.V. | Substrate processing device |
US11664199B2 (en) | 2018-10-19 | 2023-05-30 | Asm Ip Holding B.V. | Substrate processing apparatus and substrate processing method |
US11664267B2 (en) | 2019-07-10 | 2023-05-30 | Asm Ip Holding B.V. | Substrate support assembly and substrate processing device including the same |
US11674220B2 (en) | 2020-07-20 | 2023-06-13 | Asm Ip Holding B.V. | Method for depositing molybdenum layers using an underlayer |
US11680839B2 (en) | 2019-08-05 | 2023-06-20 | Asm Ip Holding B.V. | Liquid level sensor for a chemical source vessel |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
US11688603B2 (en) | 2019-07-17 | 2023-06-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium structures |
US11705333B2 (en) | 2020-05-21 | 2023-07-18 | Asm Ip Holding B.V. | Structures including multiple carbon layers and methods of forming and using same |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11725277B2 (en) | 2011-07-20 | 2023-08-15 | Asm Ip Holding B.V. | Pressure transmitter for a semiconductor processing environment |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
US11735422B2 (en) | 2019-10-10 | 2023-08-22 | Asm Ip Holding B.V. | Method of forming a photoresist underlayer and structure including same |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
US11742189B2 (en) | 2015-03-12 | 2023-08-29 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US11767589B2 (en) | 2020-05-29 | 2023-09-26 | Asm Ip Holding B.V. | Substrate processing device |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
US11781221B2 (en) | 2019-05-07 | 2023-10-10 | Asm Ip Holding B.V. | Chemical source vessel with dip tube |
US11804364B2 (en) | 2020-05-19 | 2023-10-31 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11814747B2 (en) | 2019-04-24 | 2023-11-14 | Asm Ip Holding B.V. | Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly |
US11823876B2 (en) | 2019-09-05 | 2023-11-21 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11823866B2 (en) | 2020-04-02 | 2023-11-21 | Asm Ip Holding B.V. | Thin film forming method |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11830738B2 (en) | 2020-04-03 | 2023-11-28 | Asm Ip Holding B.V. | Method for forming barrier layer and method for manufacturing semiconductor device |
US11828707B2 (en) | 2020-02-04 | 2023-11-28 | Asm Ip Holding B.V. | Method and apparatus for transmittance measurements of large articles |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11827981B2 (en) | 2020-10-14 | 2023-11-28 | Asm Ip Holding B.V. | Method of depositing material on stepped structure |
US11840761B2 (en) | 2019-12-04 | 2023-12-12 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
US11873557B2 (en) | 2020-10-22 | 2024-01-16 | Asm Ip Holding B.V. | Method of depositing vanadium metal |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US11885023B2 (en) | 2018-10-01 | 2024-01-30 | Asm Ip Holding B.V. | Substrate retaining apparatus, system including the apparatus, and method of using same |
US11885020B2 (en) | 2020-12-22 | 2024-01-30 | Asm Ip Holding B.V. | Transition metal deposition method |
US11887857B2 (en) | 2020-04-24 | 2024-01-30 | Asm Ip Holding B.V. | Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element |
US11885013B2 (en) | 2019-12-17 | 2024-01-30 | Asm Ip Holding B.V. | Method of forming vanadium nitride layer and structure including the vanadium nitride layer |
US11891696B2 (en) | 2020-11-30 | 2024-02-06 | Asm Ip Holding B.V. | Injector configured for arrangement within a reaction chamber of a substrate processing apparatus |
US11901179B2 (en) | 2020-10-28 | 2024-02-13 | Asm Ip Holding B.V. | Method and device for depositing silicon onto substrates |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
US11915929B2 (en) | 2019-11-26 | 2024-02-27 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
TWI834331B (en) * | 2021-10-11 | 2024-03-01 | 美商應用材料股份有限公司 | Dynamic processing chamber baffle |
US11923181B2 (en) | 2019-11-29 | 2024-03-05 | Asm Ip Holding B.V. | Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing |
US11929251B2 (en) | 2019-12-02 | 2024-03-12 | Asm Ip Holding B.V. | Substrate processing apparatus having electrostatic chuck and substrate processing method |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
US11959168B2 (en) | 2020-04-29 | 2024-04-16 | Asm Ip Holding B.V. | Solid source precursor vessel |
US11961741B2 (en) | 2020-03-12 | 2024-04-16 | Asm Ip Holding B.V. | Method for fabricating layer structure having target topological profile |
US11967488B2 (en) | 2013-02-01 | 2024-04-23 | Asm Ip Holding B.V. | Method for treatment of deposition reactor |
US11976359B2 (en) | 2020-01-06 | 2024-05-07 | Asm Ip Holding B.V. | Gas supply assembly, components thereof, and reactor system including same |
TWI842123B (en) * | 2021-10-11 | 2024-05-11 | 美商應用材料股份有限公司 | Dynamic processing chamber baffle |
US11987881B2 (en) | 2020-05-22 | 2024-05-21 | Asm Ip Holding B.V. | Apparatus for depositing thin films using hydrogen peroxide |
US11986868B2 (en) | 2020-02-28 | 2024-05-21 | Asm Ip Holding B.V. | System dedicated for parts cleaning |
US11993843B2 (en) | 2017-08-31 | 2024-05-28 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
US11996292B2 (en) | 2019-10-25 | 2024-05-28 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11996309B2 (en) | 2019-05-16 | 2024-05-28 | Asm Ip Holding B.V. | Wafer boat handling device, vertical batch furnace and method |
US12006572B2 (en) | 2019-10-08 | 2024-06-11 | Asm Ip Holding B.V. | Reactor system including a gas distribution assembly for use with activated species and method of using same |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
US12020934B2 (en) | 2020-07-08 | 2024-06-25 | Asm Ip Holding B.V. | Substrate processing method |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
US12027365B2 (en) | 2020-11-24 | 2024-07-02 | Asm Ip Holding B.V. | Methods for filling a gap and related systems and devices |
US12033885B2 (en) | 2020-01-06 | 2024-07-09 | Asm Ip Holding B.V. | Channeled lift pin |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US12051567B2 (en) | 2020-10-07 | 2024-07-30 | Asm Ip Holding B.V. | Gas supply unit and substrate processing apparatus including gas supply unit |
US12057314B2 (en) | 2020-05-15 | 2024-08-06 | Asm Ip Holding B.V. | Methods for silicon germanium uniformity control using multiple precursors |
US12074022B2 (en) | 2020-08-27 | 2024-08-27 | Asm Ip Holding B.V. | Method and system for forming patterned structures using multiple patterning process |
US12087586B2 (en) | 2020-04-15 | 2024-09-10 | Asm Ip Holding B.V. | Method of forming chromium nitride layer and structure including the chromium nitride layer |
US12107005B2 (en) | 2020-10-06 | 2024-10-01 | Asm Ip Holding B.V. | Deposition method and an apparatus for depositing a silicon-containing material |
US12106944B2 (en) | 2020-06-02 | 2024-10-01 | Asm Ip Holding B.V. | Rotating substrate support |
US12112940B2 (en) | 2019-07-19 | 2024-10-08 | Asm Ip Holding B.V. | Method of forming topology-controlled amorphous carbon polymer film |
US12125700B2 (en) | 2021-01-13 | 2024-10-22 | Asm Ip Holding B.V. | Method of forming high aspect ratio features |
-
2002
- 2002-04-02 TW TW91106623A patent/TW540093B/en not_active IP Right Cessation
Cited By (355)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10844486B2 (en) | 2009-04-06 | 2020-11-24 | Asm Ip Holding B.V. | Semiconductor processing reactor and components thereof |
US10804098B2 (en) | 2009-08-14 | 2020-10-13 | Asm Ip Holding B.V. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US10707106B2 (en) | 2011-06-06 | 2020-07-07 | Asm Ip Holding B.V. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US11725277B2 (en) | 2011-07-20 | 2023-08-15 | Asm Ip Holding B.V. | Pressure transmitter for a semiconductor processing environment |
US10832903B2 (en) | 2011-10-28 | 2020-11-10 | Asm Ip Holding B.V. | Process feed management for semiconductor substrate processing |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US11501956B2 (en) | 2012-10-12 | 2022-11-15 | Asm Ip Holding B.V. | Semiconductor reaction chamber showerhead |
US11967488B2 (en) | 2013-02-01 | 2024-04-23 | Asm Ip Holding B.V. | Method for treatment of deposition reactor |
US9023693B1 (en) | 2013-11-27 | 2015-05-05 | Industrial Technology Research Institute | Multi-mode thin film deposition apparatus and method of depositing a thin film |
TWI480415B (en) * | 2013-11-27 | 2015-04-11 | Ind Tech Res Inst | A muti-mode membrane deposition apparatus and a membrane deposition method |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10604847B2 (en) | 2014-03-18 | 2020-03-31 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US10787741B2 (en) | 2014-08-21 | 2020-09-29 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US11795545B2 (en) | 2014-10-07 | 2023-10-24 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US10561975B2 (en) | 2014-10-07 | 2020-02-18 | Asm Ip Holdings B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
CN105483651A (en) * | 2014-10-07 | 2016-04-13 | Asmip控股有限公司 | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US11742189B2 (en) | 2015-03-12 | 2023-08-29 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US11242598B2 (en) | 2015-06-26 | 2022-02-08 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US11233133B2 (en) | 2015-10-21 | 2022-01-25 | Asm Ip Holding B.V. | NbMC layers |
US11956977B2 (en) | 2015-12-29 | 2024-04-09 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10720322B2 (en) | 2016-02-19 | 2020-07-21 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on top surface |
US11676812B2 (en) | 2016-02-19 | 2023-06-13 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on top/bottom portions |
US10851456B2 (en) | 2016-04-21 | 2020-12-01 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US11101370B2 (en) | 2016-05-02 | 2021-08-24 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10665452B2 (en) | 2016-05-02 | 2020-05-26 | Asm Ip Holdings B.V. | Source/drain performance through conformal solid state doping |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US11749562B2 (en) | 2016-07-08 | 2023-09-05 | Asm Ip Holding B.V. | Selective deposition method to form air gaps |
US11649546B2 (en) | 2016-07-08 | 2023-05-16 | Asm Ip Holding B.V. | Organic reactants for atomic layer deposition |
US11094582B2 (en) | 2016-07-08 | 2021-08-17 | Asm Ip Holding B.V. | Selective deposition method to form air gaps |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10741385B2 (en) | 2016-07-28 | 2020-08-11 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US11694892B2 (en) | 2016-07-28 | 2023-07-04 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US11107676B2 (en) | 2016-07-28 | 2021-08-31 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US11205585B2 (en) | 2016-07-28 | 2021-12-21 | Asm Ip Holding B.V. | Substrate processing apparatus and method of operating the same |
US11610775B2 (en) | 2016-07-28 | 2023-03-21 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US10943771B2 (en) | 2016-10-26 | 2021-03-09 | Asm Ip Holding B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US11810788B2 (en) | 2016-11-01 | 2023-11-07 | Asm Ip Holding B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10720331B2 (en) | 2016-11-01 | 2020-07-21 | ASM IP Holdings, B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10644025B2 (en) | 2016-11-07 | 2020-05-05 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10622375B2 (en) | 2016-11-07 | 2020-04-14 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US11396702B2 (en) | 2016-11-15 | 2022-07-26 | Asm Ip Holding B.V. | Gas supply unit and substrate processing apparatus including the gas supply unit |
US10934619B2 (en) | 2016-11-15 | 2021-03-02 | Asm Ip Holding B.V. | Gas supply unit and substrate processing apparatus including the gas supply unit |
US11222772B2 (en) | 2016-12-14 | 2022-01-11 | Asm Ip Holding B.V. | Substrate processing apparatus |
US12000042B2 (en) | 2016-12-15 | 2024-06-04 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11851755B2 (en) | 2016-12-15 | 2023-12-26 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11970766B2 (en) | 2016-12-15 | 2024-04-30 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11001925B2 (en) | 2016-12-19 | 2021-05-11 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11251035B2 (en) | 2016-12-22 | 2022-02-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10784102B2 (en) | 2016-12-22 | 2020-09-22 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US12043899B2 (en) | 2017-01-10 | 2024-07-23 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US11410851B2 (en) | 2017-02-15 | 2022-08-09 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US12106965B2 (en) | 2017-02-15 | 2024-10-01 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US11658030B2 (en) | 2017-03-29 | 2023-05-23 | Asm Ip Holding B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
USD876504S1 (en) | 2017-04-03 | 2020-02-25 | Asm Ip Holding B.V. | Exhaust flow control ring for semiconductor deposition apparatus |
US10714335B2 (en) | 2017-04-25 | 2020-07-14 | Asm Ip Holding B.V. | Method of depositing thin film and method of manufacturing semiconductor device |
US10950432B2 (en) | 2017-04-25 | 2021-03-16 | Asm Ip Holding B.V. | Method of depositing thin film and method of manufacturing semiconductor device |
US11848200B2 (en) | 2017-05-08 | 2023-12-19 | Asm Ip Holding B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11976361B2 (en) | 2017-06-28 | 2024-05-07 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US10734497B2 (en) | 2017-07-18 | 2020-08-04 | Asm Ip Holding B.V. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US11695054B2 (en) | 2017-07-18 | 2023-07-04 | Asm Ip Holding B.V. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US11164955B2 (en) | 2017-07-18 | 2021-11-02 | Asm Ip Holding B.V. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US11004977B2 (en) | 2017-07-19 | 2021-05-11 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11802338B2 (en) | 2017-07-26 | 2023-10-31 | Asm Ip Holding B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US11587821B2 (en) | 2017-08-08 | 2023-02-21 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11417545B2 (en) | 2017-08-08 | 2022-08-16 | Asm Ip Holding B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10672636B2 (en) | 2017-08-09 | 2020-06-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11069510B2 (en) | 2017-08-30 | 2021-07-20 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11581220B2 (en) | 2017-08-30 | 2023-02-14 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11993843B2 (en) | 2017-08-31 | 2024-05-28 | Asm Ip Holding B.V. | Substrate processing apparatus |
US10928731B2 (en) | 2017-09-21 | 2021-02-23 | Asm Ip Holding B.V. | Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US11387120B2 (en) | 2017-09-28 | 2022-07-12 | Asm Ip Holding B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US12033861B2 (en) | 2017-10-05 | 2024-07-09 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US11094546B2 (en) | 2017-10-05 | 2021-08-17 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10734223B2 (en) | 2017-10-10 | 2020-08-04 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US12040184B2 (en) | 2017-10-30 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10734244B2 (en) | 2017-11-16 | 2020-08-04 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by the same |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
US11682572B2 (en) | 2017-11-27 | 2023-06-20 | Asm Ip Holdings B.V. | Storage device for storing wafer cassettes for use with a batch furnace |
US11639811B2 (en) | 2017-11-27 | 2023-05-02 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US11127617B2 (en) | 2017-11-27 | 2021-09-21 | Asm Ip Holding B.V. | Storage device for storing wafer cassettes for use with a batch furnace |
US11501973B2 (en) | 2018-01-16 | 2022-11-15 | Asm Ip Holding B.V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
US11972944B2 (en) | 2018-01-19 | 2024-04-30 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
US12119228B2 (en) | 2018-01-19 | 2024-10-15 | Asm Ip Holding B.V. | Deposition method |
US11393690B2 (en) | 2018-01-19 | 2022-07-19 | Asm Ip Holding B.V. | Deposition method |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD913980S1 (en) | 2018-02-01 | 2021-03-23 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11735414B2 (en) | 2018-02-06 | 2023-08-22 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11387106B2 (en) | 2018-02-14 | 2022-07-12 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US11482418B2 (en) | 2018-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Substrate processing method and apparatus |
US11939673B2 (en) | 2018-02-23 | 2024-03-26 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
US11398382B2 (en) | 2018-03-27 | 2022-07-26 | Asm Ip Holding B.V. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US12020938B2 (en) | 2018-03-27 | 2024-06-25 | Asm Ip Holding B.V. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US10847371B2 (en) | 2018-03-27 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10867786B2 (en) | 2018-03-30 | 2020-12-15 | Asm Ip Holding B.V. | Substrate processing method |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
US11469098B2 (en) | 2018-05-08 | 2022-10-11 | Asm Ip Holding B.V. | Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures |
US11056567B2 (en) | 2018-05-11 | 2021-07-06 | Asm Ip Holding B.V. | Method of forming a doped metal carbide film on a substrate and related semiconductor device structures |
US11361990B2 (en) | 2018-05-28 | 2022-06-14 | Asm Ip Holding B.V. | Substrate processing method and device manufactured by using the same |
US11908733B2 (en) | 2018-05-28 | 2024-02-20 | Asm Ip Holding B.V. | Substrate processing method and device manufactured by using the same |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11837483B2 (en) | 2018-06-04 | 2023-12-05 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US11530483B2 (en) | 2018-06-21 | 2022-12-20 | Asm Ip Holding B.V. | Substrate processing system |
US11296189B2 (en) | 2018-06-21 | 2022-04-05 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
US11952658B2 (en) | 2018-06-27 | 2024-04-09 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11499222B2 (en) | 2018-06-27 | 2022-11-15 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11814715B2 (en) | 2018-06-27 | 2023-11-14 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11492703B2 (en) | 2018-06-27 | 2022-11-08 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US10914004B2 (en) | 2018-06-29 | 2021-02-09 | Asm Ip Holding B.V. | Thin-film deposition method and manufacturing method of semiconductor device |
US11168395B2 (en) | 2018-06-29 | 2021-11-09 | Asm Ip Holding B.V. | Temperature-controlled flange and reactor system including same |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US11646197B2 (en) | 2018-07-03 | 2023-05-09 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US11923190B2 (en) | 2018-07-03 | 2024-03-05 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755923B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11804388B2 (en) | 2018-09-11 | 2023-10-31 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11274369B2 (en) | 2018-09-11 | 2022-03-15 | Asm Ip Holding B.V. | Thin film deposition method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11885023B2 (en) | 2018-10-01 | 2024-01-30 | Asm Ip Holding B.V. | Substrate retaining apparatus, system including the apparatus, and method of using same |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11414760B2 (en) | 2018-10-08 | 2022-08-16 | Asm Ip Holding B.V. | Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
US11251068B2 (en) | 2018-10-19 | 2022-02-15 | Asm Ip Holding B.V. | Substrate processing apparatus and substrate processing method |
US11664199B2 (en) | 2018-10-19 | 2023-05-30 | Asm Ip Holding B.V. | Substrate processing apparatus and substrate processing method |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US11735445B2 (en) | 2018-10-31 | 2023-08-22 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11499226B2 (en) | 2018-11-02 | 2022-11-15 | Asm Ip Holding B.V. | Substrate supporting unit and a substrate processing device including the same |
US11866823B2 (en) | 2018-11-02 | 2024-01-09 | Asm Ip Holding B.V. | Substrate supporting unit and a substrate processing device including the same |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US11244825B2 (en) | 2018-11-16 | 2022-02-08 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US11798999B2 (en) | 2018-11-16 | 2023-10-24 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US11411088B2 (en) | 2018-11-16 | 2022-08-09 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
US11488819B2 (en) | 2018-12-04 | 2022-11-01 | Asm Ip Holding B.V. | Method of cleaning substrate processing apparatus |
US11769670B2 (en) | 2018-12-13 | 2023-09-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
US11658029B2 (en) | 2018-12-14 | 2023-05-23 | Asm Ip Holding B.V. | Method of forming a device structure using selective deposition of gallium nitride and system for same |
US11390946B2 (en) | 2019-01-17 | 2022-07-19 | Asm Ip Holding B.V. | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
US11959171B2 (en) | 2019-01-17 | 2024-04-16 | Asm Ip Holding B.V. | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
US11171025B2 (en) | 2019-01-22 | 2021-11-09 | Asm Ip Holding B.V. | Substrate processing device |
US11127589B2 (en) | 2019-02-01 | 2021-09-21 | Asm Ip Holding B.V. | Method of topology-selective film formation of silicon oxide |
US11227789B2 (en) | 2019-02-20 | 2022-01-18 | Asm Ip Holding B.V. | Method and apparatus for filling a recess formed within a substrate surface |
US11615980B2 (en) | 2019-02-20 | 2023-03-28 | Asm Ip Holding B.V. | Method and apparatus for filling a recess formed within a substrate surface |
US11798834B2 (en) | 2019-02-20 | 2023-10-24 | Asm Ip Holding B.V. | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
US11342216B2 (en) | 2019-02-20 | 2022-05-24 | Asm Ip Holding B.V. | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
US11251040B2 (en) | 2019-02-20 | 2022-02-15 | Asm Ip Holding B.V. | Cyclical deposition method including treatment step and apparatus for same |
US11629407B2 (en) | 2019-02-22 | 2023-04-18 | Asm Ip Holding B.V. | Substrate processing apparatus and method for processing substrates |
US11424119B2 (en) | 2019-03-08 | 2022-08-23 | Asm Ip Holding B.V. | Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer |
US11114294B2 (en) | 2019-03-08 | 2021-09-07 | Asm Ip Holding B.V. | Structure including SiOC layer and method of forming same |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
US11901175B2 (en) | 2019-03-08 | 2024-02-13 | Asm Ip Holding B.V. | Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer |
US11378337B2 (en) | 2019-03-28 | 2022-07-05 | Asm Ip Holding B.V. | Door opener and substrate processing apparatus provided therewith |
US11551925B2 (en) | 2019-04-01 | 2023-01-10 | Asm Ip Holding B.V. | Method for manufacturing a semiconductor device |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11814747B2 (en) | 2019-04-24 | 2023-11-14 | Asm Ip Holding B.V. | Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly |
US11781221B2 (en) | 2019-05-07 | 2023-10-10 | Asm Ip Holding B.V. | Chemical source vessel with dip tube |
US11289326B2 (en) | 2019-05-07 | 2022-03-29 | Asm Ip Holding B.V. | Method for reforming amorphous carbon polymer film |
US11355338B2 (en) | 2019-05-10 | 2022-06-07 | Asm Ip Holding B.V. | Method of depositing material onto a surface and structure formed according to the method |
US11996309B2 (en) | 2019-05-16 | 2024-05-28 | Asm Ip Holding B.V. | Wafer boat handling device, vertical batch furnace and method |
US11515188B2 (en) | 2019-05-16 | 2022-11-29 | Asm Ip Holding B.V. | Wafer boat handling device, vertical batch furnace and method |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
US11345999B2 (en) | 2019-06-06 | 2022-05-31 | Asm Ip Holding B.V. | Method of using a gas-phase reactor system including analyzing exhausted gas |
US11476109B2 (en) | 2019-06-11 | 2022-10-18 | Asm Ip Holding B.V. | Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method |
US11908684B2 (en) | 2019-06-11 | 2024-02-20 | Asm Ip Holding B.V. | Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
US11390945B2 (en) | 2019-07-03 | 2022-07-19 | Asm Ip Holding B.V. | Temperature control assembly for substrate processing apparatus and method of using same |
US11746414B2 (en) | 2019-07-03 | 2023-09-05 | Asm Ip Holding B.V. | Temperature control assembly for substrate processing apparatus and method of using same |
US11605528B2 (en) | 2019-07-09 | 2023-03-14 | Asm Ip Holding B.V. | Plasma device using coaxial waveguide, and substrate treatment method |
US11664267B2 (en) | 2019-07-10 | 2023-05-30 | Asm Ip Holding B.V. | Substrate support assembly and substrate processing device including the same |
US12107000B2 (en) | 2019-07-10 | 2024-10-01 | Asm Ip Holding B.V. | Substrate support assembly and substrate processing device including the same |
US11996304B2 (en) | 2019-07-16 | 2024-05-28 | Asm Ip Holding B.V. | Substrate processing device |
US11664245B2 (en) | 2019-07-16 | 2023-05-30 | Asm Ip Holding B.V. | Substrate processing device |
US11615970B2 (en) | 2019-07-17 | 2023-03-28 | Asm Ip Holding B.V. | Radical assist ignition plasma system and method |
US11688603B2 (en) | 2019-07-17 | 2023-06-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium structures |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
US12112940B2 (en) | 2019-07-19 | 2024-10-08 | Asm Ip Holding B.V. | Method of forming topology-controlled amorphous carbon polymer film |
US11282698B2 (en) | 2019-07-19 | 2022-03-22 | Asm Ip Holding B.V. | Method of forming topology-controlled amorphous carbon polymer film |
US11557474B2 (en) | 2019-07-29 | 2023-01-17 | Asm Ip Holding B.V. | Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation |
US11443926B2 (en) | 2019-07-30 | 2022-09-13 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11430640B2 (en) | 2019-07-30 | 2022-08-30 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11876008B2 (en) | 2019-07-31 | 2024-01-16 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11680839B2 (en) | 2019-08-05 | 2023-06-20 | Asm Ip Holding B.V. | Liquid level sensor for a chemical source vessel |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
US11639548B2 (en) | 2019-08-21 | 2023-05-02 | Asm Ip Holding B.V. | Film-forming material mixed-gas forming device and film forming device |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
US12040229B2 (en) | 2019-08-22 | 2024-07-16 | Asm Ip Holding B.V. | Method for forming a structure with a hole |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
US11594450B2 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Method for forming a structure with a hole |
US12033849B2 (en) | 2019-08-23 | 2024-07-09 | Asm Ip Holding B.V. | Method for depositing silicon oxide film having improved quality by PEALD using bis(diethylamino)silane |
US11527400B2 (en) | 2019-08-23 | 2022-12-13 | Asm Ip Holding B.V. | Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane |
US11827978B2 (en) | 2019-08-23 | 2023-11-28 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
US11898242B2 (en) | 2019-08-23 | 2024-02-13 | Asm Ip Holding B.V. | Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
US11495459B2 (en) | 2019-09-04 | 2022-11-08 | Asm Ip Holding B.V. | Methods for selective deposition using a sacrificial capping layer |
US11823876B2 (en) | 2019-09-05 | 2023-11-21 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
US11610774B2 (en) | 2019-10-02 | 2023-03-21 | Asm Ip Holding B.V. | Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process |
US12006572B2 (en) | 2019-10-08 | 2024-06-11 | Asm Ip Holding B.V. | Reactor system including a gas distribution assembly for use with activated species and method of using same |
US11339476B2 (en) | 2019-10-08 | 2022-05-24 | Asm Ip Holding B.V. | Substrate processing device having connection plates, substrate processing method |
US11735422B2 (en) | 2019-10-10 | 2023-08-22 | Asm Ip Holding B.V. | Method of forming a photoresist underlayer and structure including same |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
US11637011B2 (en) | 2019-10-16 | 2023-04-25 | Asm Ip Holding B.V. | Method of topology-selective film formation of silicon oxide |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
US11315794B2 (en) | 2019-10-21 | 2022-04-26 | Asm Ip Holding B.V. | Apparatus and methods for selectively etching films |
US11996292B2 (en) | 2019-10-25 | 2024-05-28 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
US11594600B2 (en) | 2019-11-05 | 2023-02-28 | Asm Ip Holding B.V. | Structures with doped semiconductor layers and methods and systems for forming same |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
US11626316B2 (en) | 2019-11-20 | 2023-04-11 | Asm Ip Holding B.V. | Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure |
US11401605B2 (en) | 2019-11-26 | 2022-08-02 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11915929B2 (en) | 2019-11-26 | 2024-02-27 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
US11923181B2 (en) | 2019-11-29 | 2024-03-05 | Asm Ip Holding B.V. | Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing |
US11646184B2 (en) | 2019-11-29 | 2023-05-09 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11929251B2 (en) | 2019-12-02 | 2024-03-12 | Asm Ip Holding B.V. | Substrate processing apparatus having electrostatic chuck and substrate processing method |
US11840761B2 (en) | 2019-12-04 | 2023-12-12 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11885013B2 (en) | 2019-12-17 | 2024-01-30 | Asm Ip Holding B.V. | Method of forming vanadium nitride layer and structure including the vanadium nitride layer |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US12119220B2 (en) | 2019-12-19 | 2024-10-15 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11976359B2 (en) | 2020-01-06 | 2024-05-07 | Asm Ip Holding B.V. | Gas supply assembly, components thereof, and reactor system including same |
US12033885B2 (en) | 2020-01-06 | 2024-07-09 | Asm Ip Holding B.V. | Channeled lift pin |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
US11551912B2 (en) | 2020-01-20 | 2023-01-10 | Asm Ip Holding B.V. | Method of forming thin film and method of modifying surface of thin film |
US11521851B2 (en) | 2020-02-03 | 2022-12-06 | Asm Ip Holding B.V. | Method of forming structures including a vanadium or indium layer |
US11828707B2 (en) | 2020-02-04 | 2023-11-28 | Asm Ip Holding B.V. | Method and apparatus for transmittance measurements of large articles |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
US11986868B2 (en) | 2020-02-28 | 2024-05-21 | Asm Ip Holding B.V. | System dedicated for parts cleaning |
US11837494B2 (en) | 2020-03-11 | 2023-12-05 | Asm Ip Holding B.V. | Substrate handling device with adjustable joints |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
US11488854B2 (en) | 2020-03-11 | 2022-11-01 | Asm Ip Holding B.V. | Substrate handling device with adjustable joints |
US11961741B2 (en) | 2020-03-12 | 2024-04-16 | Asm Ip Holding B.V. | Method for fabricating layer structure having target topological profile |
US11823866B2 (en) | 2020-04-02 | 2023-11-21 | Asm Ip Holding B.V. | Thin film forming method |
US11830738B2 (en) | 2020-04-03 | 2023-11-28 | Asm Ip Holding B.V. | Method for forming barrier layer and method for manufacturing semiconductor device |
US11437241B2 (en) | 2020-04-08 | 2022-09-06 | Asm Ip Holding B.V. | Apparatus and methods for selectively etching silicon oxide films |
US12087586B2 (en) | 2020-04-15 | 2024-09-10 | Asm Ip Holding B.V. | Method of forming chromium nitride layer and structure including the chromium nitride layer |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
US11887857B2 (en) | 2020-04-24 | 2024-01-30 | Asm Ip Holding B.V. | Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
US11530876B2 (en) | 2020-04-24 | 2022-12-20 | Asm Ip Holding B.V. | Vertical batch furnace assembly comprising a cooling gas supply |
US11959168B2 (en) | 2020-04-29 | 2024-04-16 | Asm Ip Holding B.V. | Solid source precursor vessel |
US11798830B2 (en) | 2020-05-01 | 2023-10-24 | Asm Ip Holding B.V. | Fast FOUP swapping with a FOUP handler |
US11515187B2 (en) | 2020-05-01 | 2022-11-29 | Asm Ip Holding B.V. | Fast FOUP swapping with a FOUP handler |
US11626308B2 (en) | 2020-05-13 | 2023-04-11 | Asm Ip Holding B.V. | Laser alignment fixture for a reactor system |
US12057314B2 (en) | 2020-05-15 | 2024-08-06 | Asm Ip Holding B.V. | Methods for silicon germanium uniformity control using multiple precursors |
US11804364B2 (en) | 2020-05-19 | 2023-10-31 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11705333B2 (en) | 2020-05-21 | 2023-07-18 | Asm Ip Holding B.V. | Structures including multiple carbon layers and methods of forming and using same |
US11987881B2 (en) | 2020-05-22 | 2024-05-21 | Asm Ip Holding B.V. | Apparatus for depositing thin films using hydrogen peroxide |
US11767589B2 (en) | 2020-05-29 | 2023-09-26 | Asm Ip Holding B.V. | Substrate processing device |
US12106944B2 (en) | 2020-06-02 | 2024-10-01 | Asm Ip Holding B.V. | Rotating substrate support |
US11646204B2 (en) | 2020-06-24 | 2023-05-09 | Asm Ip Holding B.V. | Method for forming a layer provided with silicon |
US11658035B2 (en) | 2020-06-30 | 2023-05-23 | Asm Ip Holding B.V. | Substrate processing method |
US12020934B2 (en) | 2020-07-08 | 2024-06-25 | Asm Ip Holding B.V. | Substrate processing method |
US11644758B2 (en) | 2020-07-17 | 2023-05-09 | Asm Ip Holding B.V. | Structures and methods for use in photolithography |
US12055863B2 (en) | 2020-07-17 | 2024-08-06 | Asm Ip Holding B.V. | Structures and methods for use in photolithography |
US11674220B2 (en) | 2020-07-20 | 2023-06-13 | Asm Ip Holding B.V. | Method for depositing molybdenum layers using an underlayer |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
US12074022B2 (en) | 2020-08-27 | 2024-08-27 | Asm Ip Holding B.V. | Method and system for forming patterned structures using multiple patterning process |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
US12107005B2 (en) | 2020-10-06 | 2024-10-01 | Asm Ip Holding B.V. | Deposition method and an apparatus for depositing a silicon-containing material |
US12051567B2 (en) | 2020-10-07 | 2024-07-30 | Asm Ip Holding B.V. | Gas supply unit and substrate processing apparatus including gas supply unit |
US11827981B2 (en) | 2020-10-14 | 2023-11-28 | Asm Ip Holding B.V. | Method of depositing material on stepped structure |
US11873557B2 (en) | 2020-10-22 | 2024-01-16 | Asm Ip Holding B.V. | Method of depositing vanadium metal |
US11901179B2 (en) | 2020-10-28 | 2024-02-13 | Asm Ip Holding B.V. | Method and device for depositing silicon onto substrates |
US12027365B2 (en) | 2020-11-24 | 2024-07-02 | Asm Ip Holding B.V. | Methods for filling a gap and related systems and devices |
US11891696B2 (en) | 2020-11-30 | 2024-02-06 | Asm Ip Holding B.V. | Injector configured for arrangement within a reaction chamber of a substrate processing apparatus |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
US11885020B2 (en) | 2020-12-22 | 2024-01-30 | Asm Ip Holding B.V. | Transition metal deposition method |
US12125700B2 (en) | 2021-01-13 | 2024-10-22 | Asm Ip Holding B.V. | Method of forming high aspect ratio features |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
TWI834331B (en) * | 2021-10-11 | 2024-03-01 | 美商應用材料股份有限公司 | Dynamic processing chamber baffle |
TWI842123B (en) * | 2021-10-11 | 2024-05-11 | 美商應用材料股份有限公司 | Dynamic processing chamber baffle |
US12119209B2 (en) | 2021-10-11 | 2024-10-15 | Applied Materials, Inc. | Dynamic processing chamber baffle |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TW540093B (en) | Atomic layer deposition system and method | |
US7806983B2 (en) | Substrate temperature control in an ALD reactor | |
US6800173B2 (en) | Variable gas conductance control for a process chamber | |
US6630201B2 (en) | Adsorption process for atomic layer deposition | |
US20020076507A1 (en) | Process sequence for atomic layer deposition | |
US20020076481A1 (en) | Chamber pressure state-based control for a reactor | |
US20020144655A1 (en) | Gas valve system for a reactor | |
US20020073924A1 (en) | Gas introduction system for a reactor | |
US20020144657A1 (en) | ALD reactor employing electrostatic chuck | |
US7959985B2 (en) | Method of integrating PEALD Ta-containing films into Cu metallization | |
US7645484B2 (en) | Method of forming a metal carbide or metal carbonitride film having improved adhesion | |
US7407876B2 (en) | Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper | |
US7601393B2 (en) | Controlling the temperature of a substrate in a film deposition apparatus | |
KR101563030B1 (en) | Combinatorial plasma enhanced deposition techniques | |
TW578212B (en) | Atomic layer deposition reactor | |
US6878402B2 (en) | Method and apparatus for improved temperature control in atomic layer deposition | |
US8053372B1 (en) | Method of reducing plasma stabilization time in a cyclic deposition process | |
US20080081464A1 (en) | Method of integrated substrated processing using a hot filament hydrogen radical souce | |
US20080078325A1 (en) | Processing system containing a hot filament hydrogen radical source for integrated substrate processing | |
TW201629253A (en) | Selective inhibition in atomic layer deposition of silicon-containing films | |
WO2005103323A1 (en) | Method and apparatus for forming a metal layer | |
US7829158B2 (en) | Method for depositing a barrier layer on a low dielectric constant material | |
JP2007138295A (en) | Method and system for performing different deposition processes within single chamber | |
WO2007024341A2 (en) | Method of preparing a film layer-by-layer using plasma enhanced atomic layer deposition | |
KR20080044901A (en) | A method of forming a tantalum-containing layer from a metalorganic precursor |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
GD4A | Issue of patent certificate for granted invention patent | ||
MK4A | Expiration of patent term of an invention patent |