US6800173B2 - Variable gas conductance control for a process chamber - Google Patents

Variable gas conductance control for a process chamber Download PDF

Info

Publication number
US6800173B2
US6800173B2 US09/902,080 US90208001A US6800173B2 US 6800173 B2 US6800173 B2 US 6800173B2 US 90208001 A US90208001 A US 90208001A US 6800173 B2 US6800173 B2 US 6800173B2
Authority
US
United States
Prior art keywords
gas
process chamber
shield
substrate
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime, expires
Application number
US09/902,080
Other versions
US20020076490A1 (en
Inventor
Tony P. Chiang
Karl F. Leeser
Jeffrey A. Brown
Jason E. Babcoke
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Assigned to ANGSTRON SYSTEMS, INC. reassignment ANGSTRON SYSTEMS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BABCOKE, JASON E., BROWN, JEFFREY A., CHIANG, TONY P., LEESER, KARL F.
Priority to US09/902,080 priority Critical patent/US6800173B2/en
Priority to US09/970,867 priority patent/US20020144657A1/en
Priority to US10/000,382 priority patent/US20020073924A1/en
Priority to US09/999,532 priority patent/US20020076507A1/en
Priority to US10/004,488 priority patent/US20020076481A1/en
Priority to US09/999,499 priority patent/US20020144655A1/en
Priority to US10/000,825 priority patent/US20020144786A1/en
Priority to US09/999,636 priority patent/US6630201B2/en
Priority to US10/027,592 priority patent/US7189432B2/en
Priority to PCT/US2002/009999 priority patent/WO2002081771A2/en
Priority to EP02731204A priority patent/EP1436443A1/en
Priority to TW91106623A priority patent/TW540093B/en
Publication of US20020076490A1 publication Critical patent/US20020076490A1/en
Assigned to NOVELLUS SYSTEMS, INC. reassignment NOVELLUS SYSTEMS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ANGSTRON SYSTEMS, INC.
Priority to US10/921,604 priority patent/US7806983B2/en
Priority to US10/930,536 priority patent/US7318869B2/en
Publication of US6800173B2 publication Critical patent/US6800173B2/en
Application granted granted Critical
Adjusted expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4486Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by producing an aerosol and subsequent evaporation of the droplets or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Definitions

  • the present invention relates to advanced thin film deposition apparatus and methods used in semiconductor processing and related technologies.
  • Thin film layers are used, for example, as MOSFET gate dielectrics, DRAM capacitor dielectrics, adhesion promoting layers, diffusion barrier layers, and seed layers for subsequent deposition steps.
  • Low temperature processing is desired, for example, to prevent unwanted diffusion of shallow junctions, to better control certain reactions, and to prevent degradation of previously deposited materials and their interfaces.
  • trenches and vias such as those used in metallization layers of semiconductor chips.
  • copper interconnect technology requires a continuous thin film barrier layer and a continuous thin film copper seed layer to coat the surfaces of trenches and vias patterned in an insulating dielectric prior to filling the features with copper by electrochemical deposition (ECD or electroplating).
  • ECD electrochemical deposition
  • a highly conformal, continuous barrier layer is required to prevent copper diffusion into the adjacent semiconductor (i.e., silicon) material or dielectric.
  • the barrier layer also often acts as an adhesion layer to promote adhesion between the dielectric and the copper seed layer.
  • Low dielectric constant (i.e., low-k) dielectrics are typically used to reduce inter- and intra-line capacitance and cross-talk, but often suffer from poorer adhesion and lower thermal stability than traditional oxide dielectrics, making the choice of a suitable adhesion layer more critical.
  • a non-conformal barrier layer can lead to copper diffusion and current leakage between adjacent metal lines or to delamination at either the barrier-to-dielectric or barrier-to-seed layer interfaces, both of which adversely affect product lifetime and performance.
  • the barrier layer should also be uniformly thin, to most accurately transfer the underlying trench and via sidewall profile to the subsequent seed layer, and have a low film resistivity (e.g., ⁇ 500 ⁇ -cm) to lessen its impact on the overall conductance of the copper interconnect structures.
  • a highly conformal, uniformly thin, continuous seed layer with low defect density is required to prevent void formation in the copper wires.
  • the seed layer carries the plating current and acts as a nucleation layer.
  • Voids can form from discontinuities or other defects in the seed layer, or they can form from pinch-off due to gross overhang of the seed layer at the top of features, both trenches and vias. Voids adversely impact the resistance, electromigration, and reliability of the copper lines, which ultimately affects the product lifetime and performance.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • ALD Atomic layer deposition
  • A-CVD atomic layer chemical vapor deposition
  • PVD physical vapor deposition
  • ALD has several advantages over PVD and traditional CVD. ALD can be performed at comparatively lower temperatures (which is compatible with the industry's trend toward lower temperatures), has high precursor utilization efficiency, can produce conformal thin film layers (i.e., 100% step coverage is theoretically possible), can control film thickness on an atomic scale, and can be used to “nano-engineer” complex thin films.
  • a typical ALD process differs significantly from traditional CVD processes.
  • two or more reactant gases are mixed together in the deposition chamber where either they react in the gas phase and deposit on the substrate surface, or they react on the substrate surface directly.
  • Deposition by CVD occurs for a specified length of time, based on the desired thickness of the deposited film. Since this specified time is a function of the flux of reactants into the chamber, the required time may vary from chamber to chamber.
  • each reactant gas is introduced sequentially into the chamber, so that no gas phase intermixing occurs.
  • a monolayer of a first reactant is physi- or chemisorbed onto the substrate surface. Excess first reactant is pumped out, possibly with the aid of an inert purge gas.
  • a second reactant is introduced to the deposition chamber and reacts with the first reactant to form a monolayer of the desired thin film via a self-limiting surface reaction. The self-limiting reaction halts once the initially adsorbed first reactant fully reacts with the second reactant. Excess second reactant is pumped out, again possibly with the aid of an inert purge gas.
  • a desired film thickness is obtained by repeating the deposition cycle as necessary. The film thickness can be controlled to atomic layer (i.e., angstrom scale) accuracy by simply counting the number of deposition cycles.
  • Physisorbed precursors are only weakly attached to the substrate. Chemisorption results in a stronger, more desirable bond. Chemisorption occurs when adsorbed precursor molecules chemically react with active surface sites. Generally, chemisorption involves cleaving a weakly bonded ligand (a portion of the precursor) from the precursor, leaving an unsatisfied bond available for reaction with an active surface site.
  • the substrate material can influence chemisorption.
  • a barrier layer such as tantalum (Ta) or tantalum nitride (TaN) must often simultaneously cover silicon dioxide (SiO 2 ), low-k dielectrics, nitride etch stops, and any underlying metals such as copper. Materials often exhibit different chemical behavior, especially oxides versus metals.
  • surface cleanliness is important for proper chemisorption, since impurities can occupy surface bonding sites. Incomplete chemisorption can lead to porous films, incomplete step coverage, poor adhesion between the deposited films and the underlying substrate, and low film density.
  • the ALD process temperature must be selected carefully so that the first reactant is sufficiently adsorbed (e.g., chemisorbed) on the substrate surface, and the deposition reaction occurs with adequate growth rate and film purity.
  • a temperature that is too high can result in desorption or decomposition (causing impurity incorporation) of the first reactant.
  • a temperature that is too low may result in incomplete chemisorption of the first precursor, a slow or incomplete deposition reaction, no deposition reaction, or poor film quality (e.g., high resistivity, low density, poor adhesion, and/or high impurity content).
  • Plasma-enhanced ALD also called radical enhanced atomic layer deposition (REALD) was proposed to address the temperature limitations of traditional thermal ALD.
  • REALD radical enhanced atomic layer deposition
  • the second reactant passes through a radio frequency (RF) glow discharge, or plasma, to dissociate the second reactant and to form reactive radical species to drive deposition reactions at lower process temperatures.
  • RF radio frequency
  • More information on plasma-enhanced ALD is included in “Plasma-enhanced atomic layer deposition of Ta and Ti for interconnect diffusion barriers,” by S. M. Rossnagel, et al., Journal of Vacuum Science and Technology B 18(4) July/August 2000 pp. 2016-2020.
  • Plasma enhanced ALD however, still has several disadvantages.
  • metal precursors, particularly for tantalum (Ta) often still contain chlorine as well as oxygen impurities, which results in low density or porous films with poor barrier behavior and chemical instability.
  • the plasma enhanced ALD process like the conventional sequential ALD process described above, is fundamentally slow since it includes at least two reactant gases and at least two purge or evacuation steps, which can take up to several minutes with conventional valve and chamber technology.
  • ALD reactors including plasma enhanced ALD reactors, include a vertically-translatable pedestal to achieve a small process volume, which is important for ALD.
  • a small volume is more easily and quickly evacuated (e.g., of excess reactants) than a large volume, enabling fast switching of process gases. Also, less precursor is needed for complete chemisorption during deposition.
  • the reactors of U.S. Pat. No. 6,174,377 and European Patent No. 1,052,309 A2 feature a reduced process volume located above a larger substrate transfer volume.
  • a typical transfer sequence includes transporting a substrate into the transfer volume and placing it on top of a moveable pedestal. The pedestal is then elevated vertically to form the bottom of the process volume and thereby move the substrate into the process volume.
  • the moveable pedestal has at least a vertical translational and possibly a second rotational degree of freedom (for high temperature process uniformity).
  • Typical ALD reactors have significant disadvantages.
  • First, conventional ALD reactors suffer from complex pedestal requirements, since the numerous facilities (e.g., heater power lines, temperature monitor lines, and coolant channels) must be connected to and housed within a pedestal that moves.
  • H atomic hydrogen
  • improved ALD reactors are desirable to make ALD better suited for commercial IC manufacturing. Desirable characteristics of such reactors might include higher throughput, improved deposited film characteristics, better temperature control for narrow process temperature windows, and wider processing windows (e.g., in particular with respect to process temperature and reactant species).
  • a deposition system in accordance with one embodiment of the present invention includes a process chamber, a stationary pedestal for supporting a substrate in the process chamber, and a moveable shield forming at least a portion of an enclosure defining the process chamber. Motion of the shield with respect to the stationary pedestal controls a variable gas conductance path for gases flowing through the process chamber thereby modulating the pressure of the process chamber with respect to an external volume.
  • the moveable shield in accordance with an embodiment of the present invention may include several gas channel openings for introducing various process gases into the process chamber. In some embodiments, the moveable shield may alternatively or additionally include an interior cooling or heating channel for temperature control.
  • the stationary pedestal in accordance with an embodiment of the present invention may include an electrostatic chuck for improved coupling of RF power to the substrate, enabling improved ion generation, ion energy control, and uniform delivery of ions. Additionally, the use of an electrostatic chuck in conjunction with a suitable gas medium inserted in the region between the electrostatic chuck and the substrate provides improved temperature control and uniformity.
  • the deposition system may be a portion of a reactor for atomic layer deposition of barrier layers, adhesion layers, seed layers, low dielectric constant (low-k) films, high dielectric constant (high-k) films, and other thin films used in advanced integrated circuit fabrication technologies.
  • a deposition system in accordance with an embodiment of the present invention provides several advantages.
  • the system allows triggering of the deposition reaction by a non-thermal mechanism, leading to higher quality films deposited at lower temperatures.
  • the deposition process parameters including pressure during processing, can be modulated quickly and more efficiently than is conventionally possible, leading to self-synchronization of the deposition and higher throughput.
  • By coupling RF power to the stationary pedestal the system allows improved ion generation, ion energy control, ion spatial uniformity, and uniform ion delivery for modulated, ion-induced deposition.
  • the stationary pedestal/moveable shield configuration simplifies the overall system design.
  • a shield in one embodiment of the present invention can be quickly and precisely positioned by a linear motor for improved performance.
  • the system allows gas introduction through multiple points, possibly including through the shield, which increases the flexibility of deposition process design.
  • a smaller total system volume is achievable with the stationary pedestal/moveable shield configuration.
  • FIG. 1 is a schematic diagram of a novel ALD reactor.
  • FIG. 2 shows various embodiments of the shield and shadow ring overlap region of FIG. 1 .
  • FIG. 3 is a schematic diagram showing top introduction of gas into the process chamber of the ALD reactor of FIG. 1 .
  • FIG. 4 is (a) a schematic diagram and (b) a plan view schematic diagram showing side introduction of gas into the process chamber of the ALD reactor of FIG. 1 .
  • FIG. 5 is (a) a schematic diagram and (b) a plan view schematic diagram showing both top and side introduction of gas into the process chamber of the ALD reactor of FIG. 1 .
  • FIG. 6 is a schematic diagram of a control system for the pedestal of FIG. 1 .
  • FIG. 7 is a schematic diagram of a circuit for electrical biasing of the electrostatic chuck of FIG. 1 .
  • FIG. 8 is a front-side perspective view of a novel ALD reactor.
  • FIG. 9 is a back-side perspective view of the ALD reactor of FIG. 8 .
  • FIG. 10 is a back-side perspective view, from below, of the ALD reactor of FIG. 8 .
  • FIG. 11 is a front-side cutaway perspective view of the ALD reactor of FIG. 8 .
  • FIG. 12 is a front-side cutaway perspective view of the ALD reactor of FIG. 8 .
  • FIG. 13 is a cross-sectional view of a chamber portion of the ALD reactor along line 13 — 13 of FIG. 8 .
  • FIG. 14 is a detailed cross-sectional view of the right side of the chamber portion of FIG. 13 showing a load shield position.
  • FIG. 15 is a detailed cross-sectional view of the right side of the chamber portion of FIG. 13 showing a low conductance process shield position.
  • FIG. 16 is a detailed cross-sectional view of the right side of the chamber portion of FIG. 13 showing a high conductance process shield position.
  • FIG. 17 is a detailed cross-sectional view of the right side of the chamber portion of FIG. 13 showing a purge shield position.
  • FIG. 18 is a schematic diagram of a valve system for gas delivery in the ALD reactor of FIG. 8 .
  • FIG. 19 is a schematic diagram of a valve system for gas delivery in the ALD reactor of FIG. 8 .
  • FIG. 20 is a schematic diagram of a valve system for gas delivery in the ALD reactor of FIG. 8 .
  • FIG. 21 is a schematic diagram of a valve system for gas delivery in the ALD reactor of FIG. 8 .
  • FIG. 22 is a schematic diagram of a valve system for gas delivery in the ALD reactor of FIG. 8 .
  • FIG. 23 is a perspective cross-section of two embodiments of a showerhead for gas distribution.
  • FIG. 24 is a perspective cross-section of an embodiment of a shield assembly for the ALD reactor of FIG. 8 .
  • FIG. 25 is a perspective cross-section of an embodiment of a shield assembly for the ALD reactor of FIG. 8 .
  • FIG. 26 is a perspective cross-section of an embodiment of a shield assembly for the ALD reactor of FIG. 8 .
  • FIG. 27 is a cutaway perspective view of an embodiment of an electrostatic chuck assembly for the ALD reactor of FIG. 8 .
  • FIG. 28 is a schematic diagram of a control system for the electrostatic chuck assembly of FIG. 27 of the ALD reactor of FIG. 8 .
  • FIG. 29 is a schematic diagram of a control system including an alternative energy source for the electrostatic chuck assembly of FIG. 27 of the ALD reactor of FIG. 8 .
  • FIG. 30 is a perspective view of an embodiment of a portion of an electrostatic chuck assembly for the ALD reactor of FIG. 8 .
  • FIG. 31 is a schematic diagram of a circuit for electrical biasing of the electrostatic chuck of the ALD reactor of FIG. 8 .
  • FIG. 32 is a schematic diagram of a circuit for electrical biasing of the electrostatic chuck of the ALD reactor of FIG. 8 .
  • FIG. 33 is a schematic diagram of a circuit for electrical biasing of the electrostatic chuck of the ALD reactor of FIG. 8 .
  • FIG. 34 is a schematic illustration of a conventional ALD process.
  • FIG. 35 is a schematic illustration of a novel ALD process.
  • FIG. 36 shows timing diagrams for (a) a typical prior art ALD process and (b) a novel ALD process.
  • FIG. 37 shows timing diagrams for an alternative embodiment of a novel ALD process.
  • FIG. 38 shows timing diagrams for an alternative embodiment of a novel ALD process.
  • FIG. 39 is a schematic illustration of a novel chemisorption technique for ALD processes.
  • FIG. 40 is a schematic diagram of a circuit for electrical biasing of the electrostatic chuck of the ALD reactor of FIG. 8 for improved chemisorption.
  • FIG. 1 is a schematic diagram of a novel ALD reactor 2 .
  • Reactor 2 includes a stationary pedestal 4 , which may include an electrostatic chuck (ESC) 6 on top of which a substrate 8 rests.
  • Substrate 8 is usually a semiconductor wafer (e.g., silicon), but may be a metallized glass substrate or other substrate.
  • a chamber lid 10 and ESC 6 define the top and bottom boundaries, respectively, of a process chamber 12 .
  • the surrounding wall of chamber 12 is defined by a moveable shield 14 , which is attached to a plurality of shield support legs 16 .
  • the volume of process chamber 12 is smaller than prior art batch reactors, but may be similar in size to prior art single wafer systems.
  • the configuration of reactor 2 provides an overall volume of reactor 2 that can be smaller than that of prior art reactors, while providing the small volume of process chamber 12 .
  • the small volume of process chamber 12 achieves the advantages of small process volumes discussed above, including quick evacuation, fast switching of process gases, and less precursor required for complete chemisorption.
  • the volume of process chamber 12 cannot be made arbitrarily small, however, since substrate 8 must still be transferred into, and out of, process chamber 12 .
  • the fixed position of pedestal 4 including its supporting hardware, simplifies overall design of reactor 2 , allowing ease of use and maintenance as well as improved performance.
  • shield 14 includes less associated hardware and is much lighter, which allows precision positioning of shield 14 to adjust the conductance of, and facilitate pumping of, chamber 12 with rapid response.
  • a chamber body 18 surrounds shield 14 , chamber lid 10 , and pedestal 4 (including ESC 6 ), defining an annular pumping channel 20 exterior to shield 14 .
  • shield 14 separates process chamber 12 , at low pressure, from annular pumping channel 20 , which is maintained at a lower pressure than the chamber to maintain a clean background ambient in reactor 2 .
  • the volume of chamber 12 is coupled to annular pumping channel 20 via a shield conductance upper path 22 and a shield conductance lower path 24 .
  • Upper path 22 and lower path 24 are each defined by portions of shield 14 and corresponding features of stationary components of reactor 2 .
  • upper path 22 typically a variable low leakage path during processing, is bounded by an inner wall of shield 14 and chamber lid 10 .
  • Lower path 24 a variable high leakage path through a shield and shadow ring overlap region 26 , is bounded by a portion of shield 14 and a shadow ring 28 .
  • Shadow ring 28 is actually separate from ESC 6 and is shown in greater detail in subsequent figures.
  • shield 14 and shadow ring 28 may vary to provide different conductances of lower path 24 as shown in FIG. 2, which shows various embodiments of the shield and shadow ring overlap region 26 of FIG. 1 .
  • the conductance of a flow path is related to the length of the restriction as well as the physical dimensions of the path. For example, a shorter path with a large cross-sectional area has a higher conductance.
  • the structural configurations of shield 14 and shadow ring 28 result in a highest conductance path 30 , a second highest conductance path 32 , a third highest conductance path 34 , and a lowest conductance path 36 . Practitioners in the art will appreciate that many other embodiments of shield and shadow ring overlap region 26 are possible.
  • shield positions are employed throughout a novel ALD process. Raising shield 14 to its highest position (along with shadow ring 28 ) allows for introduction or removal of substrate 8 . Dropping shield 14 to its lowest position allows rapid evacuation of chamber 12 via upper path 22 by exposure to the vacuum of annular pumping region 20 . Shield 14 is positioned at intermediate positions during processing depending on gas delivery and conductance requirements.
  • the motion of shield 14 can be used to precisely control the spatial relationship between shield 14 and shadow ring 28 , thereby providing a tunable conductance for chamber 12 primarily via lower path 24 .
  • This allows quick, precise control of the pressure in chamber 12 , even during processing, which is not possible in prior art methods that employ a moveable pedestal since vertical motion of substrate 8 is undesirable during processing.
  • the tunable conductance also allows quick, precise control of the residence time of gases introduced to chamber 12 for multiple flow rates, and it allows minimal waste of process gases.
  • Reactor 2 of FIG. 1 supports gas introduction through multiple points, including top introduction, side introduction, or a combination of both top and side introductions.
  • FIG. 3 is a schematic diagram showing top introduction of gas into process chamber 12 of ALD reactor 2 of FIG. 1.
  • a top mount feed (not shown) has a single introduction point (or multiple introduction points) with an optional added device (not shown), such as a showerhead and/or a baffle, to ensure that a top introduction flow distribution 38 is uniform over the substrate.
  • the added device includes at least one passage, and may include many.
  • the added device may also include intermediate passages to regulate gas distribution and velocity.
  • FIG. 4 is (a) a schematic diagram and (b) a plan view schematic diagram showing side introduction of gas into process chamber 12 of ALD reactor 2 of FIG. 1 .
  • Gas is introduced from a gas channel 40 in shield 14 into process chamber 12 through orifices in an inner wall of shield 14 .
  • Gas is introduced in a symmetric geometry around substrate 8 designed to ensure that a side introduction flow distribution 42 is even.
  • the plane of the gas introduction may be adjusted vertically relative to substrate 8 before or during gas introduction, which can be used to optimize flow distribution 42 .
  • FIG. 5 is (a) a schematic diagram and (b) a plan view schematic diagram showing both top and side introduction of gas into process chamber 12 of ALD reactor 2 of FIG. 1 .
  • gases for novel ALD processes including precursor and purge gases, can be introduced through the same introduction path or separate paths as desired for optimal performance and layer quality.
  • Reactor 2 of FIG. 1 can be used in a deposition process where the activation energy for the surface reaction is provided by ions created in a plasma above the substrate.
  • atomic layer deposition can be ion-induced, rather than thermally induced. This allows deposition at much lower temperatures than conventional ALD systems.
  • pedestal 4 may include an electrostatic chuck (ESC) 6 for improved temperature control and improved radio frequency (RF) power coupling.
  • ESC electrostatic chuck
  • RF radio frequency
  • FIG. 6 is a schematic diagram of a control system 44 for pedestal 4 of FIG. 1 .
  • Substrate 8 rests on an annular sealing lip 46 defining a backside gas volume 48 between substrate 8 and a top surface 50 of ESC 6 of pedestal 4 .
  • the backside gas flows from a backside gas source 52 along a backside gas line 54 , through a backside gas passageway 56 in ESC 6 , and into gas volume 48 .
  • the backside gas improves the thermal communication between substrate 8 and ESC 6 by providing a medium for thermal energy transfer between substrate 8 and ESC 6 .
  • a means of flow control such as a pressure controller 58 , maintains the backside gas at a constant pressure, thus ensuring a uniform substrate temperature.
  • Substrate temperature is modulated by heating or cooling ESC 6 .
  • a temperature sensor 60 is coupled via a sensor connection 62 to a temperature monitor 64 .
  • a temperature controller 66 controls a heater power supply 68 applied via an electrical connection 70 to a resistive heater 72 embedded in ESC 6 .
  • a coolant temperature and flow controller 74 controls the coolant from a coolant supply 76 as it flows in a plurality of coolant channels 78 in pedestal 4 .
  • FIG. 7 is a schematic diagram of a circuit 84 for electrical biasing of electrostatic chuck 6 of pedestal 4 of FIG. 1 .
  • First electrode 80 and second electrode 82 are biased with different DC potentials to provide the “chucking” action that holds substrate 8 (FIG. 1) to ESC 6 prior to plasma ignition and during deposition.
  • the biasing scheme of FIG. 7 allows establishment of the electrostatic attraction (i.e., “chucking”) at low biases that would be insufficient to generate enough electrostatic attraction with a conventional monopolar chuck.
  • one terminal of a DC power supply 86 is coupled via a first inductor 88 to first electrode 80 .
  • the other terminal of DC power supply 86 is coupled via a second inductor 90 to second electrode 82 .
  • Inductors 88 and 90 serve as RF filters.
  • RF power (e.g., at 13.56 MHz) is also supplied simultaneously to both first electrode 80 and second electrode 82 using an RF generator 92 coupled to a ground terminal 94 .
  • a first capacitor 96 and a second capacitor 98 are respectively coupled between RF generator 92 and first electrode 80 and second electrode 82 .
  • Capacitors 96 and 98 serve as DC filters to block the DC voltage from power supply 86 .
  • Circuit 84 allows improved coupling of RF power to substrate 8 during processing due to the close proximity (e.g., 0.6 mm-2 mm spacing) of substrate 8 to first electrode 80 and second electrode 82 embedded in ESC 6 .
  • the transmission efficiency of RF power through the intervening dielectric of ESC 6 is higher than in conventional reactors where RF power is applied to electrodes at a greater distance from the substrate.
  • less power is needed to achieve sufficient RF power coupling to substrate 8 in novel ALD reactor 2 (FIG. 1 ), and the same power to generate the bias on substrate 8 can also be used to create a plasma above substrate 8 at very low powers (e.g., ⁇ 600W, and typically ⁇ 150W).
  • FIG. 8, FIG. 9, FIG. 10, FIG. 1, and FIG. 12 show external views and internal cutaway views of a novel ALD reactor 100 .
  • FIG. 8 is a front-side perspective view of reactor 100 .
  • FIG. 9 is a back-side perspective view of reactor 100 .
  • FIG. 10 is a back-side perspective view, from below, of reactor 100 .
  • FIG. 11 is a front-side cutaway perspective view of reactor 100 .
  • FIG. 12 is another front-side cutaway perspective view of reactor 100 .
  • a substrate 8 (FIG. 12) is transferred into or out of a process chamber 12 (FIG. 11 and FIG. 12) of reactor 100 through a substrate entry slot 102 in a slit valve 104 .
  • Substrate 8 is loaded onto or unloaded from the pedestal (e.g., an electrostatic chuck assembly 106 as seen in FIG. 11 and FIG. 12) by a plurality of lift pins 108 .
  • the tips of lift pins 108 extend through orifices in an electrostatic chuck (ESC) 6 to hold substrate 8 above the top surface of ESC 6 .
  • the tips of lift pins 108 retract below the top surface of ESC 6 allowing contact between substrate 8 and ESC 6 (FIG. 11 and FIG. 12 ).
  • lift pins 108 extend downward from process chamber 12 in the interior of reactor 100 through an electrostatic chuck assembly 106 (including ESC 6 , a cooling plate 110 , and a baseplate 112 ) to the exterior under-side of reactor 100 .
  • Each of lift pins 108 is attached to a lift pin spider 114 to coordinate their motion.
  • Vertical translation of lift pin spider 114 is accomplished with an off-axis lift pin actuator 116 (e.g., a pneumatic cylinder), which controls motion of a the rod 118 that is coupled to lift pin spider 114 by a spherical joint 120 as seen in FIG. 10 .
  • Spherical joint 120 transmits lifting forces to lift pin spider 114 but no moments.
  • a moveable shield 14 must be in a load position.
  • Shield 14 is raised or lowered using a linear motor 122 , which moves a linear motor output rod 124 attached to a shield lift spider 126 by a collet clamp 128 (best seen in FIG. 10 ).
  • Each one of a plurality of shield support legs 16 extends through a shield support leg seal 130 and is coupled between shield lift spider 126 and shield 14 .
  • the axis of linear motor 122 is aligned with the axis of process chamber 12 resulting in no net moments on shield lift spider 126 .
  • Lift pin spider 114 rides a portion of linear motor output rod 124 , coaxial with output rod 124 and shield lift spider 126 . Lift pin spider 114 , however, is unaffected by movement of rod 124 , and this arrangement results in no net moments on lift pins 108 .
  • linear motor 122 provides actuation of shield 14 . This is in contrast to conventional moveable pedestals wherein slower stepper motors are used for actuation. Conventional rotational stepper motors use lead screws (possibly in conjunction with a gear train), which are slow but capable of moving heavy masses, to effect movement of the heavy pedestal. Linear motor 122 does not use a gear train, but instead directly drives the load. Linear motor 122 includes a plurality of alternating magnets to effect motion of output rod 124 .
  • Linear motor 122 can be a commercially available linear motor and typically includes a sleeve having a coil and a moveable rod enclosing the series of alternating magnets. The movement of the rod through the sleeve is precisely controlled, using a Hall Effect magnetic sensor, by a signal applied to the coil. In one embodiment, pulses applied to the coil precisely control the position of the rod with respect to the sleeve, as is well known. Since shield 14 is a light weight compared to conventional heavy pedestals, linear motor 122 provides high performance positioning, with response times on the order of milliseconds. Linear motor 122 thus provides a quicker response and more accurate shield positioning than is achievable with conventional stepper or servo motors used to actuate the pedestal of conventional ALD reactors.
  • a pump such as a turbomolecular pump 132 maintains a background ambient pressure as low as a few microtorr or less in an annular pumping channel 20 surrounding shield 14 .
  • Pump 132 is attached to reactor 100 at an angle such that a circular pump throat 134 is fully exposed to a narrow pumping slot 136 aft of process chamber 12 , maximizing the conductance between them.
  • pump 132 with a diameter, d has maximum exposure to pumping slot 136 of height, h (where h ⁇ d), with minimum restriction between pump 132 and chamber 12 (see also FIG. 13 discussed below).
  • a pumping speed restrictor 138 can be inserted at pump throat 134 to restrict the conductance as needed.
  • a pressure controlling throttle valve e.g., a butterfly valve
  • pressure in pumping slot 136 and annular pumping channel 20 is monitored by a pump pressure sensor 140 mounted on the top surface of reactor 100 .
  • Process chamber 12 is bounded on top by a chamber lid 10 .
  • Pressure in process chamber 12 of reactor 100 may be on the order of a few microtorr up to several torr.
  • the pressure of chamber 12 is monitored by a fast chamber pressure sensor 142 and a precision chamber pressure sensor 144 , both of which are mounted on an upper peripheral flange of chamber lid 10 (FIG. 8 ).
  • the temperature of chamber lid 10 is controlled by fluid flowing in a plurality of lid cooling/heating channels 146 (FIG. 11 ).
  • One possible path of gas introduction to process chamber 12 is through a showerhead three-way valve 148 mounted centrally on chamber lid 10 .
  • Another possible method of gas introduction to process chamber 12 is through a shield gas channel 40 .
  • RF power is transferred to electrodes in ESC 6 via an RF conductor 150 shielded within an RF insulator tube 152 .
  • a gas medium (commonly referred to as a backside gas) is provided via a backside gas valve 154 to ESC 6 to improve the thermal coupling between ESC 6 and substrate 8 .
  • an optional shadow ring 28 rests on a portion of ESC 6 fully surrounding a peripheral edge of substrate 8 .
  • FIG. 13 is a cross-sectional view of a chamber portion 156 of ALD reactor 100 along line 13 — 13 of FIG. 8 .
  • Substrate entry slot 102 is shown on the left hand side extending through a chamber body 18 .
  • Pumping slot 136 is shown on the right hand side extending through chamber body 18 to pump throat 134 , of diameter d.
  • the temperature of chamber body 18 is controlled by fluid flowing in a chamber cooling/heating channel 158 .
  • Chamber lid 10 rests atop chamber body 18 .
  • a vacuum seal to maintain low pressure in the interior of reactor 100 , is maintained through the use of an upper O-ring 160 between chamber lid 10 and chamber body 18 .
  • the temperature of chamber lid 10 is controlled by fluid flowing in lid cooling/heating channels 146 .
  • the temperature of chamber lid 10 may be controlled by an electric or resistive heater or other cooling/heating means.
  • the pressure in process chamber 12 is monitored, in part, by fast chamber pressure sensor 142 , which is mounted on an upper peripheral flange of chamber lid 10 .
  • Pressure sensor 142 monitors the pressure in a pressure tap volume 164 , which is coupled to process chamber 12 by a pressure sensor orifice 166 . This arrangement allows exposure of pressure sensor 142 to the pressure of chamber 12 , while preventing plasma and other process chemistries from reaching, and possibly damaging, pressure sensor 142 .
  • Gases can be introduced into process chamber 12 through a showerhead gas feed inlet 168 , which leads to a plenum 170 above a showerhead 172 attached to a lower surface of chamber lid 10 .
  • showerhead 172 includes a showerhead lip 174 and a plurality of showerhead gas orifices 176 , which are used to distribute gas evenly into process chamber 12 .
  • Substrate 8 rests on an upper surface of an ESC assembly 106 , which includes in part, ESC 6 , cooling plate 110 , and baseplate 112 .
  • the vertical spacing between the upper surface of ESC assembly 106 and showerhead 172 may be 0.3 inches to 1 inch, typically less than 0.6 inches.
  • Backside gas passageway 56 is shown centrally located in and extending through ESC 6 .
  • ESC 6 which includes the largest portion of the upper surface on which substrate 8 rests, is held in contact with cooling plate 110 using a clamp ring 178 , which overlaps a surrounding flange at the base of ESC 6 .
  • a plurality of clamp ring fasteners 180 each extending through clamp ring 178 into cooling plate 110 , secure the connection between ESC 6 and cooling plate 110 .
  • a process kit 182 fully surrounds clamp ring 178 and electrically hides clamp ring fasteners 180 from ESC 6 and substrate 8 .
  • FIG. 16 For a more detailed view of clamp ring 178 , fasteners 180 , and process kit 182 , see FIG. 16, discussed below.
  • the temperature of cooling plate 110 is controlled using fluid flowing in a plurality of coolant channels 78 as shown in FIG. 13 .
  • An upper surface of cooling plate 110 is patterned to create a plurality of thermal breaks 184 , or gaps, between ESC 6 and cooling plate 110 .
  • Thermal breaks 184 increase the temperature difference between ESC 6 and cooling plate 110 . This allows the temperature of ESC 6 to rise substantially higher than the temperature of baseplate 112 , which stays relatively cool.
  • thermal breaks 184 see FIG. 27, discussed below.
  • a lower surface of cooling plate 110 is attached to an upper surface of baseplate 112 .
  • the upper surface of baseplate 112 forms the lower walls of coolant channels 78 in cooling plate 110 .
  • a vacuum seal, to maintain low pressure in the interior of reactor 100 is maintained through the use of an O-ring 186 between baseplate 112 and chamber body 18 .
  • an RF gasket 188 is Laterally spaced from O-ring 186 between baseplate 112 and chamber body 18 .
  • One of the plurality of lift pins 108 is shown in retracted process position, with the tip of lift pin 108 below the top surface of ESC 6 .
  • Lift pin 108 extends through a lift pin seal 190 , which maintains the low pressure in the interior of reactor 100 .
  • a lift pin bushing 192 reduces friction during vertical translation of lift pin 108 through aligned orifices in baseplate 112 , cooling plate 110 , and ESC 6 .
  • shield 14 is shown in an intermediate process position.
  • Process chamber 12 is thus bounded on the top by showerhead 172 , on the bottom largely by ESC 6 , and on the sides by shield 14 to confine a plasma 194 .
  • Shield 14 includes shield gas channel 40 and is attached to each shield support leg 16 using a shield cap 196 .
  • Each shield support leg 16 extends through shield support leg seal 130 , which maintains the low pressure in the interior of reactor 100 .
  • a plurality of shield support leg bushings 198 reduce friction during vertical translation of shield support legs 16 through orifices in baseplate 112 .
  • a shadow ring hook 200 is attached to a lower portion of shield cap 196 .
  • Shadow ring hook 200 is shown interdigitated with shadow ring 28 , which fully surrounds a peripheral edge of ESC assembly 106 and rests on a process kit bevel 202 of process kit 182 .
  • Shadow ring 28 protects the underlying portions of ESC assembly 106 during deposition onto substrate 8 .
  • Shadow ring 28 also defines the circumferential region near the edge of substrate 8 where deposition is masked. Shadow ring 28 also plays a role in defining the chamber conductance. For a more detailed view of process kit bevel 202 , see FIG. 16, discussed below.
  • two leakage paths modulate gas flow between process chamber 12 and annular pumping channel 20 , which is largely bounded by chamber body 18 , chamber lid 10 , and ESC assembly 106 .
  • the leakage occurs due to differing pressures between process chamber 12 and annular pumping channel 20 .
  • a shield conductance upper path 22 is bounded on one side by an inner upper surface of shield 14 , and on the other side by outer surfaces of chamber lid 10 and showerhead 172 .
  • a shield conductance lower path 24 is bounded on one side by surfaces of a lower portion of shield 14 , shield cap 196 , and shadow ring hook 200 , and on the other side by surfaces of shadow ring 28 .
  • Upper path 22 leads from process chamber 12 to an upper portion 204 of annular pumping channel 20
  • lower path 24 leads from process chamber 12 to a lower portion 206 of annular pumping channel 20 .
  • Shield 14 can be vertically translated by either raising it into upper portion 204 of annular pumping channel 20 or lowering it into lower portion 206 of annular pumping channel 20 . As shield 14 is translated, the conductances of upper path 22 and lower path 24 are changed. The variations in conductance can be controlled to vary the pressure in process chamber 12 in a controlled manner as needed for various steps in an atomic layer deposition process sequence.
  • reactor 2 includes a stationary pedestal 4 (see FIG. 1 ).
  • reactor 100 of FIG. 12 includes ESC assembly 106 . Transfer of substrate 8 into process chamber 12 of reactor 100 is facilitated through the use of moveable shield 14 , which also plays a significant role during processing.
  • FIG. 14, FIG. 15, FIG. 16, and FIG. 17 show detailed cross-sectional views of the right side of chamber portion 156 of FIG. 13, showing shield 14 in a substrate load shield position 208 (FIG. 14 ), a low conductance process shield position 210 (FIG. 15 ), a high conductance process shield position 212 (FIG. 16 ), and a purge shield position 214 (FIG. 17 ).
  • shield support legs 16 are raised by linear motor 122 (FIG. 8 ).
  • shadow ring hook 200 contacts shadow ring 28 and lifts it as well.
  • Shield 14 and shadow ring 28 are then raised together.
  • Shield 14 enters upper portion 204 of annular pumping channel 20 .
  • Shield 14 and shadow ring 28 can be raised until shadow ring 28 contacts showerhead lip 174 , which prevents shadow ring 28 from contacting showerhead 172 .
  • Load shield position 208 thus allows loading (or unloading) of substrate 8 into (or out of) process chamber 12 via substrate entry slot 102 (FIG. 13 ).
  • a substrate blade or paddle (not shown) carries substrate 8 into process chamber 12 .
  • Lift pins 108 are raised by lift pin actuator 116 (FIG. 10) to contact substrate 8 and lift it off the top surface of the blade.
  • the blade is then retracted out of chamber 12 through entry slot 102 .
  • Lift pins 108 are retracted past the top surface of ESC 6 allowing substrate 8 to rest on ESC 6 as shown in FIG. 14.
  • a similar process is followed to unload substrate 8 from chamber 12 .
  • shadow ring 28 is not used, and shield 14 forms variable conduction paths with other surfaces that may be fixed or moveable.
  • the load position may be achieved by lowering shield 14 sufficiently so that substrate 8 may pass over the top edge of shield 14 .
  • the low conductance process shield position 210 shown in FIG. 15, shows the positions of shield 14 and shadow ring 28 at the moment that shadow ring 28 contacts process kit 182 .
  • An angled shadow ring seat 216 of shadow ring 28 rests on process kit bevel 202 of process kit 182 . This is the only point of contact between shadow ring 28 and process kit 182 .
  • Air gaps separate shadow ring 28 and process kit 182 away from each edge of process kit bevel 202 .
  • the airgaps between shadow ring 28 and process kit 182 allow for differential thermal expansion of shadow ring 28 and process kit 182 during processing.
  • the angle of process kit bevel 202 helps center shadow ring 28 , through interaction with the angle of shadow ring seat 216 , so that the edge of substrate 8 is shadowed uniformly by a shadow ring edge 218 of shadow ring 28 .
  • Lowering shield 14 into process position creates shield conductance upper path 22 and shield conductance lower path 24 , as described with respect to FIG. 13 above. While it is possible to reduce the conductance of lower path 24 to zero (FIG. 15 ), during deposition upper path 22 generally forms a low conductance leakage path, while lower path 24 generally forms a higher conductance leakage path (FIG. 16 ).
  • Lower path 24 includes several distinct regions: a plurality (three in this embodiment) of fixed conductance regions 220 (fixed gaps between shadow ring hook 200 and shadow ring 28 ) interspersed with a plurality (two in this embodiment) of variable conductance regions 222 (variable gaps).
  • the volumes of fixed conductance regions 220 and variable conductance regions 222 can be precisely controlled (by precise positioning of shield 14 by linear motor 122 ) to adjust the conductance of lower path 24 , and therefore the pressure of chamber 12 , as needed during the process.
  • purge shield position 214 of FIG. 17 shield support legs 16 are lowered by linear motor 122 (FIG. 8 ). Shield 14 and shadow ring hook 200 are lowered into lower portion 206 of annular pumping channel 20 . Shadow ring 28 remains seated on process kit 182 . Both shield conductance upper path 22 and shield conductance lower path 24 become high conductance paths. Purge shield position 214 allows quick evacuation of the gases in process chamber 12 into annular pumping channel 20 due to the high conductances created and the lower pressure of annular pumping channel 20 compared to chamber 12 .
  • linear motor 122 (FIG. 8) provides actuation of shield 14 . This allows quick and accurate variation of the conductance of shield conductance upper and lower paths 22 and 24 . This translates into quick and accurate variation of the pressure in process chamber 12 for given gas flows into process chamber 12 .
  • a throttle valve i.e., a butterfly valve, a variable position gate valve, a pendulum valve, etc.
  • pump throat 134 FIG. 13
  • the throttle valve augments the pressure range achievable in process chamber 12 , providing a “coarse adjustment” of the pressure in process chamber 12 , while shield 14 provides a “fine adjustment” of the pressure.
  • the novel hardware for ALD reactor 100 supports the introduction of gases into process chamber 12 through multiple points.
  • the primary introduction point is through the top of reactor 100 , in particular, through showerhead three-way valve 148 (mounted on chamber lid 10 ) and showerhead 172 (best seen in FIG. 13 ).
  • Gases may also be introduced into chamber 12 through shield 14 , which may be additionally configured for temperature control.
  • FIG. 18 is a schematic diagram of a novel valve system 224 for gas delivery in ALD reactor 100 of FIG. 8 .
  • This embodiment delivers a single precursor and a purge gas to process chamber 12 , either separately or in a mixed proportion.
  • the purge gas is used to purge the chamber and as the gas source to strike a plasma.
  • a carrier gas for the precursor flows from a first gas source 226 , and the purge gas flows from a second gas source 228 .
  • vacuum pump 236 allows the carrier and purge gases to flow in steady state conditions even when they are not flowing to chamber 12 . This avoids disturbances in the gas flows caused by the long settling times of gas sources that are switched on and off.
  • a showerhead three-way valve 148 controls access to a chamber gas line 238 , which leads to process chamber 12 .
  • Three-way valve 148 located centrally on chamber lid 10 as seen in FIG. 11, provides at least two distinct advantages. First, gases introduced to chamber 12 can be switched rapidly with minimal loss or delay. Second, gases are isolated from each other outside of chamber 12 , resulting in no cross-contamination of reactants.
  • a first on/off valve 240 is coupled between first ends of a second on/off valve 242 and a third on/off valve 244 .
  • the opposite ends of second and third on/off valves 242 and 244 are each coupled to a first precursor source 246 .
  • First on/off valve 240 is also coupled between first three-way valve 230 and showerhead three-way valve 148 via a gas line 248 and a gas line 250 , respectively.
  • Precursor source 246 can be isolated by closing on/off valves 242 and 244 . This may be done, for example, to change precursor source 246 .
  • on/off valve 240 may be closed, or opened to allow carrier gas to flow through three-way valves 230 and 148 into chamber 12 .
  • first on/off valve 240 is normally closed, and second and third on/off valves 242 and 244 are normally open.
  • Three-way valves 230 , 232 , and 148 are switched synchronously to deliver either precursor or purge gas to chamber 12 .
  • purge three-way valve 232 is switched to flow the purge gas to vacuum pump 236
  • showerhead three-way valve 148 is switched to the precursor side.
  • three-way valve 230 is switched to allow carrier gas to flow from first gas source 226 through gas line 248 and on/off valve 242 into precursor source 246 .
  • the carrier gas picks up precursor in precursor source 246 , typically by bubbling through a liquid source.
  • the carrier gas now including precursor, flows through on/off valve 244 , through gas line 250 , through showerhead three-way valve 148 , through chamber gas line 238 , and into chamber 12 .
  • first three-way valve 230 When delivering purge gas, first three-way valve 230 is switched to flow the carrier gas to vacuum pump 236 .
  • Purge three-way valve 232 and showerhead three-way valve 148 are switched to allow purge gas to flow from second gas source 228 through a gas line 252 and chamber gas line 238 into chamber 12 .
  • Valve system 224 keeps gas line 248 charged with carrier gas, gas line 250 charged with carrier plus precursor, and gas line 252 charged with purge gas. This allows fast switching between gas sources by significantly reducing the gas delivery time to chamber 12 . Valve system 224 also minimizes waste of gases since gas lines do not need to be flushed between deposition steps. Furthermore, any gas bursts from transient pressure spikes upon gas switching, due to the charged gas lines, would only help the initial stages of chemisorption or surface reaction.
  • valve systems for gas delivery to reactor 100 are possible.
  • two separate gas sources are shown providing the carrier gas and the purge gas, which may be different gases. It is possible, however, that in some embodiments the same gas used as the purge gas may be used as the carrier gas for the precursor.
  • first gas source 226 may be used singly in a valve system 254 , which has many similar components to valve system 224 of FIG. 18, as shown schematically in FIG. 19 .
  • Valve system 254 can be simplified by replacing three-way valve 230 with a T-junction 256 as shown schematically in FIG.
  • valve system 258 for a valve system 258 , which has many similar components to valve system 224 of FIG. 18 .
  • showerhead three-way valves 148 in valve system 254 (FIG. 19) and valve system 258 (FIG. 20) control the flow of purge gas or carrier-plus-precursor gas to chamber 12 .
  • pump 236 may not be used in some embodiments.
  • valve systems 260 (FIG. 21) and 262 (FIG. 22) each have many similar components to valve system 224 of FIG. 18 .
  • Valve systems 260 (FIG. 21) and 262 (FIG. 22) are shown configured for two precursor sources, but may be further adapted for additional precursor sources.
  • a second three-way valve 264 controls the flow of carrier gas to a second precursor source 266 .
  • a fourth on/off valve 268 , a fifth on/off valve 270 , and a sixth on/off valve 272 are coupled similarly to, and operate similarly to, valves 240 , 242 , and 244 , respectively, to control the flow of carrier gas through second precursor source 266 .
  • a gas line 274 similar to gas line 248 , is coupled between three-way valve 264 and on/off valve 270 .
  • valve system 260 further includes a third gas source 276 in addition to first and second gas sources 226 and 228 of valve system 224 of FIG. 18.
  • a third three-way valve 278 coupled to on/off valve 272 via a gas line 280 , controls delivery of the second precursor to showerhead three-way valve 148 via a gas line 282 .
  • a fourth three-way valve 284 controls delivery of the purge gas via gas line 252 and a gas line 286 to three-way valve 278 , which directs the purge gas to showerhead three-way valve 148 as needed via gas line 282 .
  • valve system 262 is shown configured to use gas source 226 for both the purge and carrier gases.
  • the carrier gas is delivered from gas source 226 to three-way valve 264 via a gas line 288 .
  • the purge gas is delivered to the second terminal of a third three-way valve 278 (and similar valves of any additional precursor sources) via gas line 252 .
  • the third terminal of three-way valve 278 is coupled to the second terminal of showerhead three-way valve 148 via gas line 282 .
  • Three-way valve 278 thus controls delivery of the second precursor and the purge gas to showerhead three-way valve 148 .
  • showerhead three-way valve 148 may be accomplished instead with an equivalent network of on/off valves (similar to valves 240 , 242 , and 244 ) and fittings.
  • Metering valves may be added to branches to regulate the flow for specific branches.
  • Pressure sensors may be added to branches and coupled with the valve actuation to introduce known amounts of reactant.
  • Valve timing may be manipulated to deliver “charged” volumes of gas to process chamber 12 .
  • the traditional valves may be replaced with advanced designs such as micro-electromechanical (MEM) based valves or valve networks. The entire valve system can be heated to prevent condensation of reactants in the network.
  • MEM micro-electromechanical
  • FIG. 23 is a perspective cross-section of two embodiments of a showerhead 172 for gas distribution.
  • showerhead 172 is designed to have a larger diameter, and thus a larger area, than substrate 8 and ESC 6 (FIG. 13 ).
  • showerhead 172 includes a plurality of mounting holes 290 used to facilitate attachment of showerhead 172 to chamber lid 10 with a plurality of fasteners (see FIG. 13 ).
  • showerhead 172 also includes a plurality of pressure sensor orifices 166 , one for each pressure sensor used to sense the pressure in process chamber 12 .
  • fast chamber pressure sensor 142 and precision chamber pressure sensor 144 would each require a pressure sensor orifice 166 in showerhead 172 .
  • showerhead 172 also includes showerhead lip 174 peripherally around the edge of showerhead 172 used to prevent shadow ring 28 from hitting showerhead 172 .
  • showerhead 172 also includes a cavity 292 centrally located in an upper surface of showerhead 172 as shown in FIG. 23 ( a ). Cavity 292 forms plenum 170 (FIG. 13) upon attachment of showerhead 172 to chamber lid 10 . A plurality of showerhead gas orifices 176 are arranged within cavity 292 in a pattern designed for a particular gas flow distribution. The diameter of cavity 292 is designed to be larger than the diameter of substrate 8 (FIG. 13 ). In the embodiment of FIG. 23 ( b ), showerhead 172 includes a cavity 294 that is similar to cavity 292 of FIG. 23 ( a ), but cavity 294 has a diameter designed to be smaller than the diameter of substrate 8 . Practitioners will appreciate that a number of different diffusing devices may be used to tailor the directionality of the gas flows as needed.
  • FIG. 24 is a perspective cross-section of an embodiment of a shield assembly 296 , including a shield gas channel 40 , for ALD reactor 100 of FIG. 8.
  • a plurality of shield support legs 16 attach to shield cap 196 , which is attached to the base of shield 14 . Most of shield support legs 16 are solid.
  • Gas is introduced into shield 14 , through at least one hollow shield support leg 298 , which extends through shield cap 196 into shield gas channel 40 in shield 14 .
  • Shield gas channel 40 is annular and runs completely around the base of shield 14 .
  • Shield gas channel 40 is a high conductance channel that allows introduced gas to distribute evenly around shield gas channel 40 of shield 14 before introduction into process chamber 12 (FIG. 13 ).
  • Gas is introduced to chamber 12 through a plurality of gas flow orifices 300 , which are evenly spaced along shield gas channel 40 and extend through an inner wall of shield 14 into process chamber 12 .
  • the gas introduction path of shield assembly 296 is designed to ensure uniform gas flow around substrate 8 as discussed with reference to FIG. 4 .
  • gas through shield 14 allows tremendous flexibility in designing ALD processes.
  • the same gas introduced through showerhead 172 can be simultaneously introduced through shield 14 to provide improved coverage in process chamber 12 and on substrate 8 (FIG. 13 ).
  • one gas can be introduced through showerhead 172 while a different gas is introduced through shield 14 , allowing improved gas isolation and quicker cycling of the gases.
  • Movement of shield 14 allows gas to be introduced at different planes within process chamber 12 , parallel to the plane of substrate 8 .
  • the shield motion can be used to optimize the gas flow distribution of a particular ALD process.
  • shield 14 another role of shield 14 is to confine plasma 194 during processing (FIG. 13 ), which can result in heating of shield 14 .
  • a cooling/heating channel can be incorporated in the shield design. This also helps prevent deposition on shield 14 .
  • FIG. 25 is a perspective cross-section of an embodiment of a shield assembly 302 , including a shield cooling/heating channel 304 , for ALD reactor 100 of FIG. 8 .
  • Shield assembly 302 includes some shield support legs 16 , which are solid, attached to shield cap 196 at the base of shield 14 . Similar to shield assembly 296 of FIG. 24, which includes gas channel 40 , a cooling or heating fluid flows up into shield 14 through at least one hollow shield support leg 306 , which extends through shield cap 196 into cooling/heating channel 304 in shield 14 .
  • Shield cooling/heating channel 304 is annular and runs about two-thirds of the way around the base of shield 14 . The cooling or heating fluid flows down, out of shield 14 , through at least one other hollow shield support leg (not shown), which is similar to hollow shield support leg 306 .
  • FIG. 26 is a perspective cross-section of an embodiment of a shield assembly 308 , including both shield gas channel 40 and shield cooling/heating channel 304 , for ALD reactor 100 of FIG. 8 .
  • gas channel 40 is located above cooling/heating channel 304 .
  • Hollow shield support leg 306 extends through shield cap 196 into cooling/heating channel 304 to allow fluid flow.
  • Hollow shield support leg 298 extends through shield cap 196 and cooling/heating channel 304 into gas channel 40 to allow gas introduction from shield 14 into process chamber 12 via gas flow orifices 300 .
  • shield assembly 308 could include alternative arrangements of gas channel 40 and cooling/heating channel 304 , including multiple gas channels 40 and/or multiple cooling/heating channels 304 .
  • Design of particular shield assembly embodiments is extremely flexible, and reactor 100 is designed to facilitate removal, replacement, and use of various shield assemblies. This allows the easy introduction of a shield assembly that might include gas delivery and cooling/heating (i.e., shield assembly 308 ), or only one of these (i.e., shield assemblies 296 or 302 ), or neither gas delivery nor cooling/heating, depending on the requirements of the customer and the process.
  • gas delivery and cooling/heating i.e., shield assembly 308
  • shield assemblies 296 or 302 i.e., shield assemblies 296 or 302
  • ALD processes in the disclosed embodiments are ion-induced (see, for example, application Ser. No. 09/812,352, application Ser. No. 09/812,486, and application Ser. No. 09/812,285, referenced above), rather than thermally induced, through use of plasma 194 generated in process chamber 12 (FIG. 11 and FIG. 13 ).
  • This allows deposition at lower temperatures than in conventional ALD systems, allowing replacement of conventional heated susceptors with an electrostatic chuck (ESC) assembly 106 to retain substrate 8 .
  • ESC assembly 106 may be further designed for improved temperature control and improved radio frequency (RF) power coupling.
  • RF radio frequency
  • FIG. 27 is a cutaway perspective view of an embodiment of an electrostatic chuck assembly 106 for ALD reactor 100 of FIG. 8 .
  • ESC assembly 106 includes in part, an electrostatic chuck (ESC) 6 , a cooling plate 110 , and a baseplate 112 .
  • Cooling plate 110 and baseplate 112 can be shaped as annuli with overlapping central orifices that together define an access port 310 , which provides access to a central region of the underside of ESC 6 .
  • Substrate 8 rests on an annular sealing lip 46 , peripherally surrounding a top surface 50 of ESC 6 .
  • Annular sealing lip 46 holds substrate 8 above surface 50 defining a backside gas volume 48 bounded by surface 50 , sealing lip 46 , and the backside of substrate 8 .
  • a backside gas is provided to gas volume 48 through a backside gas entry 312 to a backside gas valve 154 .
  • Gas valve 154 is located on the exterior underside of reactor 100 at the outer edge of baseplate 112 to provide easy access (FIG. 8 and FIG. 11 ).
  • the backside gas flows along a backside gas line 54 , which runs radially inward along a lower surface of baseplate 112 .
  • Gas line 54 curves upward through access port 310 and is attached to the center of the bottom surface of ESC 6 using a backside gas line flange 314 .
  • the backside gas flows through a backside gas passageway 56 centrally located in and extending through ESC 6 to gas volume 48 .
  • a backside gas line seal 316 inside flange 314 maintains the pressure of gas volume 48 .
  • the backside gas plays an important role in the temperature control of substrate 8 .
  • Electrostatic chucks are usually made of a dielectric material (e.g., aluminum nitride AIN, or polyimide).
  • ESC 6 may be designed to have its bulk material effects dominated by the Johnson-Rahbek (JR) effect rather than a coulombic effect, since the JR effect provides a stronger, more efficient electrostatic attraction.
  • JR Johnson-Rahbek
  • a JR ESC typically has a bulk resistivity between 10 8 and 10 12 ⁇ -cm, while a coulombic ESC generally has a bulk resistivity greater than 10 13 ⁇ -cm.
  • a first electrode 80 and a second electrode 82 are shaped as concentric annular plates made of a conductive material, for example, tungsten or molybdenum.
  • First electrode 80 is biased using a first electrode terminal 318 , which is coupled to first electrode 80 and extends down through ESC 6 into access port 310 .
  • Second electrode 82 is biased using a separate second electrode terminal (not shown).
  • a DC “chucking” voltage is applied to both first electrode 80 and second electrode 82 to create an electrostatic attraction between substrate 8 and top surface 50 of ESC 6 to retain substrate 8 during processing.
  • RF bias power is coupled to each electrode 80 and 82 as well. The RF bias power provides the power for plasma and hence ion generation during modulated ion induced atomic layer deposition.
  • the RF bias power In addition to generating a plasma, the RF bias power also induces a slight negative potential (i.e., a DC offset voltage typically ⁇ 10V to ⁇ 80V at ⁇ 150W RF power and 0.1-1 Torr pressure) on substrate 8 .
  • the induced voltage defines the ion energy of the positively charged ions in the plasma and attracts the positively charged ions toward the surface of substrate 8 .
  • the positively charged ions impinge on the wafer, driving the deposition reaction and improving the density of the deposited film.
  • a resistive heater 72 is also embedded in ESC 6 .
  • Resistive heater 72 is shaped as at least one coil or ribbon that winds throughout ESC 6 in a plane located about midway between electrodes 80 and 82 and the bottom of ESC 6 .
  • Heater 72 is controlled via at least one resistive heater terminal 320 coupled to heater 72 .
  • Terminal 320 extends down through ESC 6 into access port 310 .
  • ESC 6 is basically a dielectric substrate support with an embedded heater 72 and embedded electrodes 80 and 82 for DC biasing and RF power coupling.
  • ESC 6 is held in contact with cooling plate 110 using an annular clamp ring 178 , which overlaps a clamp land 322 of a surrounding flange at the base of ESC 6 .
  • An ESC O-ring 324 creates a vacuum seal between ESC 6 and cooling plate 110 .
  • a plurality of clamp ring fasteners 180 each extending through clamp ring 178 into cooling plate 110 , secure the connection between ESC 6 and cooling plate 110 .
  • a process kit 182 having an annular elbow shape, fully surrounds clamp ring 178 covering a top surface and a side surface of clamp ring 178 .
  • Process kit 182 includes a process kit bevel 202 used for centering a shadow ring 28 (FIG. 15) on process kit 182 .
  • Process kit 182 may be made of a dielectric material (e.g., aluminum oxide, aluminum nitride, or hard-anodized aluminum) to electrically isolate clamp ring fasteners 180 from ESC 6 and substrate 8 . Process kit 182 also protects clamp ring 178 and fasteners 180 from process gases, facilitating cleaning of reactor 100 (FIG. 12 ).
  • a dielectric material e.g., aluminum oxide, aluminum nitride, or hard-anodized aluminum
  • Cooling plate 110 can be made (e.g., machined) from a variety of thermally conductive materials, for example, aluminum or stainless steel. An upper surface of cooling plate 110 is patterned to create a plurality of small area contacts 326 and a plurality of thermal breaks 184 . Contacts 326 , which have the form of ridges, contact the bottom surface of ESC 6 . Thermal breaks 184 are gaps between ESC 6 and cooling plate 110 , which increase the temperature difference between ESC 6 and cooling plate 110 .
  • the temperature of cooling plate 110 can be controlled using a fluid (e.g., water) flowing in a plurality of coolant channels 78 . Coolant channels 78 are designed to allow the fluid to flow in a largely circular manner at various diameters of cooling plate 110 .
  • a fluid e.g., water
  • cooling plate 110 is attached to an upper surface of baseplate 112 .
  • the upper surface of baseplate 112 forms the lower walls of coolant channels 78 in cooling plate 110 .
  • Baseplate 112 which may be made of aluminum, provides structural support for ESC assembly 106 .
  • Thermal breaks 184 of cooling plate 110 allow maintenance of a significant temperature difference between top surface 50 (which may be near 300° C.) of ESC 6 and a bottom surface of baseplate 112 (which is exposed to air and may be less than 50° C.).
  • One of a plurality of lift pins 108 which facilitate loading and unloading of substrate 8 , is shown in retracted process position, with the tip of lift pin 108 below top surface 50 of ESC 6 .
  • Each lift pin 108 extends through a lift pin orifice 328 , which includes a plurality of aligned orifices in baseplate 112 , cooling plate 110 , and ESC 6 .
  • ESC assembly 106 Alternative embodiments of ESC assembly 106 are possible.
  • at least one peripheral ring of holes can be used to introduce the backside gas, rather than just a centrally located hole, as discussed in more detail below.
  • ESC 6 can be replaced with a conventional susceptor to facilitate ALD processes at higher temperatures. Practitioners will appreciate that various other embodiments are possible.
  • Temperature control of ESC assembly 106 is important for high quality atomic layer deposition.
  • a uniform temperature across a substrate 8 resting on annular sealing lip 46 of ESC 6 promotes uniform chemisorption of precursors. If the temperature of substrate 8 is too high, decomposition or desorption of precursors may occur. If the temperature of substrate 8 is too low, either or both of the chemisorption and the deposition reactions will be impeded.
  • FIG. 28 is a schematic diagram of a control system 330 for electrostatic chuck (ESC) assembly 106 (FIG. 27) of ALD reactor 100 of FIG. 8 .
  • Control system 330 may also be applied to various embodiments of pedestal 4 of ALD reactor 2 of FIG. 1 .
  • Control system 330 is an embodiment of control system 44 of FIG. 6, as discussed previously.
  • Control system 330 is used to establish and maintain a uniform temperature across substrate 8 .
  • substrate 8 rests on an annular sealing lip 46 defining a backside gas volume 48 between substrate 8 and top surface 50 of ESC 6 .
  • a backside gas e.g., Ar, He, etc.
  • the backside gas flows from a backside gas source 52 along a backside gas line 54 , through a backside gas passageway 56 in ESC 6 , and into gas volume 48 .
  • the backside gas improves the thermal contact between substrate 8 and ESC 6 , by providing a medium for thermal energy transfer between substrate 8 and ESC 6 .
  • Heat transfer improves with increasing backside gas pressure, up to a saturation limit. Typical ranges are 6-10 Torr for good thermal conductivity.
  • a pressure controller 58 maintains the backside gas at a constant pressure, thus ensuring constant heat transfer and uniform substrate temperature.
  • annular sealing lip 46 may take the form of several islands scattered across top surface 50 of ESC 6 . This introduces a leak rate of the backside gas that must be taken into account.
  • the temperature of substrate 8 is modulated by heating or cooling ESC 6 .
  • a temperature sensor 60 e.g., a thermocouple or optical infrared sensor
  • a temperature setpoint signal is also provided to monitor 64 via a setpoint electrical connection 334 .
  • a temperature controller 66 creates a signal that is amplified through a power amplifier or modulator 336 and applied via an electrical connection 70 to a resistive heater terminal 320 (FIG. 27 ), which is coupled to a resistive heater 72 embedded in ESC 6 .
  • a coolant temperature and flow controller 74 controls the fluid from a coolant supply 76 as it flows in a plurality of coolant channels 78 in pedestal 4 (or in ESC assembly 106 in FIG. 12 and FIG. 13 ).
  • Control system 330 is designed to control the temperature of substrate 8 , by heating and/or cooling, for a wide range of power and temperature. Temperature control can be accomplished by various techniques, including regulating the backside gas pressure, heating ESC 6 directly with resistive heater 72 , or regulating the temperature and/or flow of fluid in coolant channels 78 . The temperature of substrate 8 can thus be periodically or continuously varied during the deposition process to meet different process demands. Additional information regarding temperature control in atomic layer deposition may be found in related U.S. application Ser. No. 09/854,092, entitled “Method And Apparatus For Improved Temperature Control In Atomic Layer Deposition,” filed May 10, 2001.
  • control system 330 of FIG. 28 may have various embodiments.
  • temperature sensor 60 may have various embodiments. Temperature sensor 60 may be a thermocouple that measures the temperature of ESC 6 . Temperature sensor 60 may be a pyrometer device that optically measures the temperature of the backside of substrate 8 . Or, temperature sensor 60 could take other equivalent forms.
  • FIG. 29 is a schematic diagram of a control system 338 , including an alternative energy source 340 , for pedestal 4 of reactor 2 (FIG. 1) or for ESC assembly 106 (FIG. 27) of ALD reactor 100 (FIG. 8 ).
  • Control system 338 is similar to control system 44 (FIG. 6) and control system 330 (FIG. 28 ), as discussed previously.
  • Alternative energy source 340 is located outside of pedestal 4 (or ESC assembly 106 ) near the top of chamber 12 and may include radiation from lamps, a plasma, or another source.
  • Alternative energy source 340 could be controlled, for example, by regulating the power to the lamps or plasma.
  • Alternative energy source 340 could be used alone, or in conjunction with one or more of resistive heater 72 , the fluid in coolant channels 78 , or the pressure of the backside gas in gas volume 48 .
  • an additional cooling source may be added to control system 330 of FIG. 28 to improve the cooling capacity and/or performance.
  • the additional cooling source could be a refrigeration system, a heat pipe, a refrigerated liquid or gas coolant system, or other equivalent system.
  • FIG. 30 is a perspective view of an embodiment of a portion 342 of an ESC assembly 106 (FIG. 27) for ALD reactor 100 of FIG. 8 .
  • ESC 6 includes a central orifice 344 as well as a peripheral ring of orifices 346 located near the periphery of substrate 8 .
  • Various embodiments of ESC 6 may include either or both of orifice 344 and orifices 346 .
  • Orifices 346 result in improved pressure uniformity between substrate 8 and ESC 6 , which results in improved temperature uniformity across substrate 8 .
  • An additional peripheral ring of orifices can be added outside of orifices 346 to ensure a constant pressure gradient at the edge of substrate 8 .
  • the additional ring of orifices would also serve as an edge purge to prevent reactive gases from entering gas volume 48 (FIG. 28) and causing deposition on the backside of substrate 8 .
  • pressure controller 58 may be replaced by, for example, a flow regulator such as a metering valve or mass flow controller.
  • an actuation valve can be added between pressure controller 58 and backside gas volume 48 to isolate pressure controller 58 and gas source 52 from process chamber 12 during a substrate transfer. This valve may additionally be used to stop the flow of backside gas to reduce its pressure, allowing the substrate to “de-chuck” without “popping” (shifting) when electrodes 80 and 82 in ESC 6 are de-powered. This valve may additionally be used in conjunction with a pump to more quickly reduce the backside gas pressure before “de-chucking” substrate 8 .
  • control system 330 and its various constituents are possible.
  • FIG. 31 is a schematic diagram of a circuit 348 for electrical biasing of electrostatic chuck (ESC) 6 of ESC assembly 106 (FIG. 27) of ALD reactor 100 of FIG. 8 .
  • Circuit 348 may also be applied to various embodiments of ESC 6 of pedestal 4 of ALD reactor 2 of FIG. 1 .
  • Circuit 348 is an alternative embodiment to circuit 84 of FIG. 7, as discussed previously.
  • ESC 6 includes at least a first electrode 80 and a second electrode 82 .
  • One possible embodiment of the electrode geometry of first and second electrodes 80 and 82 is shown in FIG. 27, where first and second electrodes 80 and 82 are shown as concentric annular plates.
  • a double D (i.e., mirror imaged) configuration for electrodes 80 and 82 can also be used.
  • first and second electrodes 80 and 82 are each biased with a DC voltage.
  • RF bias power is also coupled to both electrodes 80 and 82 .
  • Embedding electrodes 80 and 82 in ESC 6 allows improved RF power coupling to substrate 8 with maximum uniformity and minimal power loss, compared to applying RF power to cooling plate 110 (or baseplate 112 ) upon which ESC 6 sits (FIG. 27 ). This is because electrodes 80 and 82 in ESC 6 are close to substrate 8 , while cooling plate 110 (and baseplate 112 ) are comparatively far from substrate 8 .
  • First electrode 80 and second electrode 82 are biased with different DC potentials to provide the “chucking” action that holds substrate 8 to ESC 6 prior to plasma ignition and during deposition.
  • first electrode 80 is coupled via a serial coupling of a first inductor 88 and a first load resistor 350 to one terminal of a DC power supply 86 .
  • Second electrode 82 is coupled via a serial coupling of a second inductor 90 and a second load resistor 352 to the other terminal of DC power supply 86 .
  • a third capacitor 354 is coupled between one terminal of inductor 88 and a ground terminal 94 .
  • a fourth capacitor 356 is coupled between the other terminal of inductor 88 and ground terminal 94 .
  • a fifth capacitor 358 is coupled between one terminal of inductor 90 and ground terminal 94 .
  • a sixth capacitor 360 is coupled between the other terminal of inductor 90 and ground terminal 94 .
  • Inductor 88 and capacitors 354 and 356 together form an RF trap circuit 362 , which filters RF from the DC bias.
  • inductor 90 and capacitors 358 and 360 together form another RF trap circuit 362 .
  • RF power is also supplied to both first electrode 80 and second electrode 82 using an RF generator 92 with one terminal coupled to ground terminal 94 .
  • a third inductor 364 is coupled between the other terminal of RF generator 92 and one terminal of a first variable capacitor 366 .
  • the other terminal of variable capacitor 366 is coupled to one terminal of a first capacitor 96 and to one terminal of a second capacitor 98 .
  • the other terminal of capacitor 96 is coupled to first electrode 80 .
  • the other terminal of capacitor 98 is coupled to second electrode 82 .
  • a second variable capacitor 368 is coupled across the terminals of RF generator 92 , between one terminal of inductor 364 and ground terminal 94 . Inductor 364 and capacitors 366 and 368 together form an RF impedance matching circuit 370 , which minimizes the reflected power to RF generator 92 .
  • Circuit 348 of FIG. 31 allows simultaneous application of a DC “chucking” voltage and of an RF power for plasma generation during processing.
  • the same RF power is used to create plasma 194 above substrate 8 (FIG. 13) and to generate a negative, induced DC bias on substrate 8 .
  • RF power can be used since the breakdown voltage required to generate plasma 194 using RF power is far lower than in the DC case (e.g., 100V vs. 300-400V) for a given Paschen curve of pressure-distance product (P ⁇ d).
  • a stable DC bias can be induced using RF power.
  • coupling RF power to electrodes 80 and 82 allows a uniform potential to build across substrate 8 while employing low RF powers, for example, 50W to 150W, which is less than the 350W to 600W required in conventional plasma reactors.
  • the frequency of the RF bias power can be 400 kHz, 13.56 MHz, or higher (e.g., 200 MHz).
  • the low frequency can lead to a broad ion energy distribution with high energy tails which may cause excessive sputtering.
  • the higher frequencies e.g., 13.56 MHz or greater
  • the more uniform ion energy distribution occurs because the bias polarity switches before ions can impinge on substrate 8 , such that the ions see a time-averaged potential.
  • RF power is applied to the top boundary of the process chamber, usually a showerhead.
  • This causes sputtering of the top boundary, which is a major source of impurity incorporation (typically aluminum or nickel) and/or particulate incorporation in conventionally deposited films.
  • the sputtering also transfers kinetic energy to the reactor structure, heating it considerably and requiring active cooling of the reactor structure.
  • RF power is applied to electrodes 80 and 82 (FIG. 31) embedded in ESC 6 of ESC assembly 106 of ALD reactor 100 (FIG. 12 ), rather than to showerhead 172 (FIG. 13 ). This minimizes sputtering of showerhead 172 and allows better control of the bias induced on substrate 8 . It also avoids excessive heating of chamber lid 10 , minimizing any cooling requirements.
  • showerhead 172 and shield 14 are grounded so that the higher plasma sheath voltage drop is localized mostly on substrate 8 where deposition takes place. This is because the voltage ratio V hot /V cold is proportional to the respective electrode areas according to (A cold /A hot ) n , where n is greater than one.
  • V hot is the plasma sheath voltage drop at the powered, or “hot,” electrode, that is, ESC 6 of ESC assembly 106 .
  • V cold is the voltage drop at the non-powered, or “cold,” electrode, that is, showerhead 172 and shield 14 .
  • the combined areas of showerhead 172 and shield 14 can be jointly considered as the area of the cold electrode.
  • a low RF power can be used to simultaneously generate plasma 194 (FIG. 13) and to keep the energy of the impinging ions from plasma 194 low and controlled.
  • the induced bias voltage is controlled by the applied RF power.
  • the induced bias voltage increases with increasing RF power and decreases with decreasing RF power. Increasing the RF power also generally increases the number of ions generated. Referring to FIG. 13, cooling plate 110 and baseplate 112 are grounded. Therefore, each clamp ring fastener 180 is also grounded.
  • Process kit 182 which is made of an insulating material, electrically shields fasteners 180 so that plasma 194 is not affected by the ground voltage of fasteners 180 .
  • Plasma 194 can be controlled in a variety of ways. For example, plasma 194 can be controlled by varying the applied RF power.
  • a switch may be included, for example, in RF impedance matching circuit 370 or with RF generator 92 (FIG. 31 ).
  • FIG. 32 is a schematic diagram of a circuit 372 , including an RF match switch 374 in RF impedance matching circuit 370 , for electrical biasing of ESC 6 .
  • circuit 376 is a schematic diagram of a circuit 376 , including an RF supply switch 378 in an RF power supply 380 (which also includes RF generator 92 ), for electrical biasing of ESC 6 .
  • Circuit 372 (FIG. 32) and circuit 376 (FIG. 33) are similar to circuit 348 (FIG. 31 ), except for switches 374 and 378 .
  • Switches 374 and 378 can be opened to isolate RF generator 92 , or switches 374 and 378 can be closed to apply RF power to electrodes 80 and 82 .
  • Switches 374 and 378 enable a plasma response time in the 100 ms time range.
  • Plasma 194 can also be controlled by varying gas pressure while using, for example, circuit 348 of FIG. 31 with an RF power constantly applied to electrodes 80 and 82 .
  • shield 14 forms a shield conductance upper path 22 with showerhead 172 and chamber lid 10 .
  • Shield 14 also forms a shield conductance lower path 24 with shadow ring 28 .
  • the conductances of upper and lower paths 22 and 24 are varied by precision movement of shield 14 by linear motor 122 (FIG. 8 ).
  • the conductances of upper and lower paths 22 and 24 directly affect the pressure in process chamber 12 and can be used to vary that pressure.
  • a high pressure i.e., relative to the pressure of annular pumping channel 20
  • a low conductance process shield position 210 as shown in FIG. 15 .
  • High pressure will strike plasma 194 (FIG. 13) given a favorable ambient in chamber 12 .
  • a low pressure can be established in chamber 12 using a purge shield position 214 , as shown in FIG. 17, to expose chamber 12 to annular pumping channel 20 .
  • Low pressure will effectively terminate plasma 194 since not enough gas phase collisions will occur to sustain plasma 194 .
  • RF generator 92 should be capable of absorbing this power without detrimental effects.
  • Plasma 194 (FIG. 13) can also be controlled by a combination of varying gas pressure and applied RF power.
  • plasma 194 may be ignited by a high pressure and favorable ambient in chamber 12 .
  • Plasma 194 may be terminated by a switch, such as switch 374 in circuit 372 of FIG. 32 or switch 378 in circuit 376 of FIG. 33 .
  • circuit 348 of FIG. 31 and its various constituents, for electrical biasing of ESC 6 are possible.
  • multiple RF sources may be utilized.
  • ALD Processes Background and Novel Processes
  • FIG. 34 is a schematic illustration of a conventional ALD process.
  • each precursor or reactant
  • each precursor or reactant is introduced sequentially into the chamber, so that no gas phase intermixing occurs.
  • a first gaseous precursor 382 (labeled Ax) is introduced into the deposition chamber, and a monolayer of the reactant is chemisorbed (or physisorbed) onto the surface of a substrate 8 forming a chemisorbed precursor A 384 as shown in FIG. 34 ( a ).
  • a free ligand x 386 is created by the chemisorption of precursor Ax 382 .
  • a second gaseous precursor 388 (labeled By) is introduced into the deposition chamber.
  • Precursor By 388 reacts with chemisorbed precursor A 384 on substrate 8 as shown in FIG. 34 ( c ) in a self-limiting surface reaction.
  • the self-limiting reaction halts once initially adsorbed precursor A 384 fully reacts with precursor By 388 .
  • excess gaseous precursor By 388 and any reaction by-products are pumped out, again possibly with the aid of an inert purge gas, leaving behind an AB monolayer 390 of the desired thin film as shown in FIG. 34 ( d ).
  • a desired film thickness is obtained by repeating the deposition cycle as necessary.
  • the film thickness can be controlled to atomic layer (i.e., angstrom scale) accuracy by simply counting the number of deposition cycles.
  • ALD processes are slower than traditional deposition techniques such as CVD and PVD. In order to improve throughput, shorter deposition cycles are desirable.
  • One way to shorten the deposition cycle is to shorten the durations of the individual precursor and pump/purge steps.
  • the individual pulse lengths cannot be arbitrarily decreased.
  • the first precursor pulse must be long enough to form an adsorbed layer of the first precursor on the substrate.
  • the second precursor pulse must be long enough to allow complete reaction between the first and second precursors.
  • the pump/purge pulses in between the precursor pulses must be long enough so that gas phase intermixing of the precursors does not occur. Gas phase intermixing can lead to gas phase reactions and/or particle formation, each of which can cause quality and reliability problems in the deposited film.
  • FIG. 35 is a schematic illustration of a novel ALD process.
  • One deposition cycle includes two steps, rather than four, which improves process throughput and repeatability.
  • a substrate 8 is maintained at a precise temperature that promotes chemisorption rather than decomposition.
  • a gaseous precursor 392 is introduced into the process chamber.
  • Gaseous precursor 392 includes the desired thin film species (P) bonded with a plurality of ligands (L).
  • Species P may be a single element (e.g., Ti, W, Ta, Cu) or a compound (e.g., TiN x , TaN x , or WN x ).
  • a molecule of gaseous precursor 392 interacts with a surface bond 394 to form a chemisorbed precursor 396 via a chemical bonding process that may create a plurality of free ligands 398 as shown in FIG. 35 ( a ).
  • a monolayer of chemisorbed precursor 396 is formed on substrate 8 as shown in FIG. 35 ( b ).
  • an inert purge gas is introduced into the process chamber to purge excess gaseous precursor 392 .
  • the purge gas may include, for example, argon (Ar), diatomic hydrogen (H 2 ), and other optional species such as helium (He).
  • RF power is applied (e.g., using a computer synchronized switch) during this second step to generate a plasma 194 in the process chamber, or the plasma is struck by an increased gas pressure under constant RF power.
  • plasma 194 includes a plurality of energetic ions 400 (e.g., Ar + ions) and a plurality of reactive atoms 402 (e.g., H atoms). Some of reactive atoms 402 may actually be ions.
  • Ions 400 and atoms 402 impinge on the surface of substrate 8 .
  • Energetic ions 400 transfer energy to substrate 8 , allowing reactive atoms 402 to react with chemisorbed precursor 396 and to strip away unwanted ligands (which form a plurality of volatile ligands 404 ) in a self-cleaning process.
  • Reactive atoms 402 in conjunction with energetic ions 400 , may thus be considered to act as a “second” precursor.
  • a monolayer 406 usually about one atomic layer of the desired species P, is left on substrate 8 as shown in FIG. 35 ( d ). This two-step deposition cycle can be repeated as needed until the desired film thickness is achieved.
  • the film thickness deposited per cycle depends on the deposited material. Typical film thicknesses range from 10-150 ⁇ .
  • Typical precursors for tantalum (Ta) compounds include PDEAT [pentakis(diethylamido)tantalum], PEMAT [pentakis(ethylmethylamido)tantalum], TaBr 5 , TaCI 5 , and TBTDET [t-butylimino tris(diethylamino)tantalum].
  • Typical precursors for titanium (Ti) compounds include TiCI 4 , TDMAT [tetrakis(dimethylamido)titanium], and TDEAT [tetrakis(diethylamino)titanium].
  • Typical precursors for copper (Cu) compounds include CuCl and Cupraselect® [(trimethylvinylsilyl)hexafluoroacetylacetonato copper I].
  • Typical precursors for tungsten (W) compounds include W(CO) 6 and WF 6 .
  • organometallic precursors can be used in novel ALD processes.
  • the purge pulse includes gas, or gases, that are inert (e.g., argon, hydrogen, and/or helium) to prevent gas phase reactions with gaseous precursor 392 . Additionally, the purge pulse can include the same gas, or gases, needed to form energetic ions 400 (e.g., Ar + ions) and reactive atoms 402 (e.g., H atoms). This minimizes the gas switching necessary for novel ALD processes. Acting together, reactive atoms 402 react with chemisorbed precursor 396 , while energetic ions 400 provide the energy needed to drive the surface reaction.
  • gas, or gases that are inert (e.g., argon, hydrogen, and/or helium) to prevent gas phase reactions with gaseous precursor 392 . Additionally, the purge pulse can include the same gas, or gases, needed to form energetic ions 400 (e.g., Ar + ions) and reactive atoms 402 (e.g., H atoms). This minimizes the gas switching necessary for novel A
  • novel ALD processes can occur at lower temperatures (e.g., T ⁇ 300° C.) than conventional ALD processes (e.g., T ⁇ 400-500° C.). This is especially important for substrates that already include low thermal stability materials, such as low-k dielectrics.
  • the reaction Since the activation energy for the surface reaction is provided by energetic ions 400 created in plasma 194 above substrate 8 , the reaction will not generally occur without the energy provided by ion bombardment because the process temperature is kept below the temperature required for thermal activation. Thus, novel atomic layer deposition processes are ion-induced, rather than thermally induced.
  • the deposition reaction is controlled by modulation of the energy of energetic ions 400 , by modulation of the fluxes of energetic ions 400 and reactive atoms 402 impinging on substrate 8 , or by modulation of both energy and fluxes.
  • the energy (e.g., 10 eV to 100 eV) of energetic ions 400 should be high enough to drive the surface reaction, but low enough to prevent significant sputtering of substrate 8 .
  • FIG. 36 ( a ) shows that one deposition cycle in a conventional ALD process includes a first precursor pulse 408 , a purge/pump pulse 410 , a second precursor pulse 412 , and another purge/pump pulse 410 .
  • Each pulse is followed by a delay 414 , which has a duration that is usually non-zero.
  • Delays 414 during which only pumping occurs and no gases flow, are additional insurance against gas phase intermixing of first precursor pulse 408 and second precursor pulse 412 .
  • Delays 414 also provide time to switch gases with conventional valve systems.
  • first and second precursor pulses 408 and 412 may be between 200 ms and 15 sec.
  • the duration of purge/pump pulses 410 may be 5-15 sec.
  • the durations of delays 414 may be 200 ms to 5 sec. This results in deposition cycles from 11 sec to 75 sec. Thus, a 50 cycle deposition process could take over one hour.
  • FIG. 36 ( b ) shows two deposition cycles in the novel ALD process.
  • One deposition cycle includes a first precursor pulse 416 and a purge gas pulse 418 . Each pulse is followed by a delay 420 .
  • the elapsed time of one deposition cycle is significantly shorter in accordance with the novel process when compared to conventional ALD processes, thereby increasing process throughput.
  • Process throughput can be further increased if delays 420 have zero length.
  • Zero-length delays can be accomplished using three-way valves (in particular showerhead three-way valve 148 of FIG. 8) or a similar configuration of on/off valves and fittings, which allow fast gas switching.
  • Delays 420 of zero length are further facilitated in novel ALD processes by effective use of purge gas pulse 418 , which may include a mixture of more than one gas.
  • the purge gas may include the “second” precursor source gas(es) (i.e., as shown in FIG. 35 ( c ), reactive atoms 402 , acting in conjunction with energetic ions 400 , created during purge gas pulse 418 ).
  • the carrier gas for the first precursor i.e., flowing during first precursor pulse 416
  • the deposition cycle of FIG. 36 ( b ) might begin with a purge gas pulse 418 , including a plasma, used as an in-situ clean to remove carbon-containing residues, native oxides, or other impurities.
  • a purge gas pulse 418 including a plasma
  • reactive atoms 402 e.g., H atoms in FIG. 35 ( c )
  • volatile species e.g., CH x and OH x species.
  • Energetic ions 400 e.g., Ar + and/or He + ions in FIG.
  • novel ALD process described previously may be modified to further increase performance.
  • Alternative novel ALD processes may address faster purging of precursors, rapid changes in the conductance of the process chamber, state-based changes from one step to the next, self-synchronization of the process steps, and/or various plasma generation and termination options. Such alternatives can be used to further decrease the length of a deposition cycle, thereby increasing throughput.
  • shield 14 (FIG. 13 ), which can be moved during the deposition cycle.
  • shield 14 forms shield conductance upper path 22 with showerhead 172 and chamber lid 10 .
  • Shield 14 also forms shield conductance lower path 24 with shadow ring 28 .
  • the conductances of upper and lower paths 22 and 24 are varied by precision movement of shield 14 by linear motor 122 (FIG. 8 ).
  • a purge shield position 214 may be used (FIG. 17 ).
  • Lowering shield 14 opens up shield conductance upper and lower paths 22 and 24 to annular pumping channel 20 .
  • the low pressure of pumping channel 20 will hasten removal of excess gaseous precursor 392 , and by-products such as free ligands 398 (FIG. 35 ( b )), from process chamber 12 .
  • the purge gas e.g., Ar, H 2 , and/or He
  • the purge gas is flowed to assist in purging excess gaseous precursor 392 and by-products from chamber 12 .
  • Lowering shield 14 also leads to a drop in the pressure in chamber 12 through exposure of chamber 12 to annular pumping channel 20 . Shield 14 can then be moved back up, for example, to a position similar to shield position 212 of FIG. 16, to decrease the conductance and raise the pressure in chamber 12 (assuming constant gas flow) in order to strike plasma 194 (FIG. 35 ( c )).
  • plasma 194 can be generated while using, for example, circuit 348 of FIG. 31 .
  • Application of RF power may be synchronized (e.g., by computer control) with the position of shield 14 (FIGS. 15-17) to generate plasma 194 in chamber 12 (FIG. 13 ).
  • high pressure i.e., relative to the pressure of annular pumping channel 20
  • low pressure i.e., near the pressure of annular pumping channel 20
  • FIG. 37 shows timing diagrams for an alternative ALD process embodiment, as discussed above.
  • FIG. 37 ( a ) shows two deposition cycles including a first precursor pulse 416 followed by a purge gas pulse 418 with zero length delays after each pulse.
  • FIG. 37 ( b ) shows the corresponding chamber conductance.
  • Each one of a plurality of low conductance periods 422 (corresponding to raised shield positions) is separated from another by one of a plurality of high conductance periods 424 (corresponding to lowered shield positions).
  • High conductance periods 424 occur at the beginning and end of each purge gas pulse 418 to assist in purging chamber 12 (FIG. 13) of resident gases.
  • FIG. 37 ( c ) shows the corresponding pressure in chamber 12 (FIG. 13 ).
  • a low conductance period 422 results in a high pressure period 426 .
  • a high conductance period 424 results in a low pressure period 428 .
  • FIG. 37 ( c ) also shows a plurality of “plasma on” periods 430 and a plurality of “plasma off” periods 432 .
  • Plasma on periods 430 occur during each high pressure period 426 during purge gas pulses 418 .
  • the RF power to generate plasma 194 may be synchronized with the shield position.
  • the plasma can be ignited by high pressure (in the presence of the purge gas) and terminated by low pressure, while RF bias power is constantly supplied to electrodes 80 and 82 embedded in ESC 6 (FIG. 31 ).
  • some novel ALD process embodiments can use a state-based approach, rather than a time-based approach, to synchronize the individual pulses. This can provide self-synchronization of the individual pulses for improved process speed, control, and reliability.
  • a next gas pulse with a fixed duration
  • subsequent gas pulses can be triggered based upon a change in the pressure state of process chamber 12 (FIG. 13 ). This can be accomplished using a pressure switch mounted in chamber body 18 capable of sensing changes in the pressure of process chamber 12 .
  • the pressure can be modulated via the in-process tunable conductance, achieved by a shield 14 that can be moved during the deposition cycle, as described previously.
  • FIG. 38 shows timing diagrams for another alternative embodiment of a novel ALD process.
  • the ALD process of FIG. 38 is similar to the ALD process of FIG. 37, but it has an alternate plasma termination technique. Accordingly, to avoid redundancy, the discussion focuses on differences in the embodiments.
  • shield 14 is lowered only after each precursor pulse 416 to assist in purging excess gaseous precursor 392 and free ligands 398 from chamber 12 (see also FIG. 17 and FIG. 35 ( b )).
  • the number of high conductance periods 424 in FIG. 38 ( b ), corresponding to low pressure periods 428 in FIG. 38 ( c ), is reduced.
  • a low conductance period 434 in FIG. 38 ( b ) extends from purge gas pulse 418 into the following precursor pulse 416 in FIG. 38 ( a ).
  • the plasma is ignited by, or synchronized with, the high pressure in chamber 12 (FIG.
  • Plasma on periods 430 occur during each high pressure period 436 during purge gas pulses 418 .
  • Plasma 194 (FIG. 13) is terminated for subsequent plasma off periods 432 (during precursor pulses 416 ) by a means other than pressure change, which may include, for example, disconnecting the RF power using a switch or setting the RF output power to zero.
  • a switch could be located, for example, in RF impedance matching circuit 370 or in RF power supply 380 (FIG. 32 and FIG. 33 ). Actuation of such a switch would be synchronized with the deposition steps by, for example, a computer.
  • chemisorption of a gaseous precursor onto a substrate 8 may be improved by biasing substrate 8 during first precursor pulse 416 (FIG. 36 ( b )).
  • first precursor pulse 416 FIG. 36 ( b )
  • a gaseous precursor 392 arrives at substrate 8 , which is heated, a weakly bonded ligand will cleave off of the molecule, forming free ligand 398 . This actually leaves the precursor molecule with a net charge (either positive or negative).
  • An opposite-polarity, low DC bias (e.g.,
  • the lowest possible bias (e.g.,
  • This novel chemisorption technique for ALD processes promotes uniform and complete (i.e., saturated) chemisorption with a specified orientation on dielectric and metallic surfaces so that high quality, reproducible layer-by-layer growth can be achieved using ALD.
  • the novel chemisorption technique is particularly effective for the first few precursor monolayers, where, in the absence of this technique, precursor molecules may chemisorb with a random orientation. This method is also particularly effective in the case of organometallic precursors such as those mentioned previously.
  • FIG. 39 is a schematic illustration of the novel chemisorption technique for ALD processes to deposit thin films, for example, for copper interconnect technology.
  • Two thin films used in copper interconnect technology are a barrier/adhesion layer and a copper seed layer.
  • FIG. 39 ( a ) illustrates chemisorption of TaN, a typical barrier/adhesion layer material.
  • the Bu t ligand may cleave.
  • a now negatively charged precursor 440 then orients with a negatively charged nitrogen 442 (e.g., the N ⁇ 1 ) toward substrate 8 , which is positively biased, for chemisorption.
  • a negatively charged nitrogen 442 e.g., the N ⁇ 1
  • the Ta becomes positively charged and a negative bias applied to substrate 8 would orient the Ta toward substrate 8 for chemisorption.
  • FIG. 39 ( b ) illustrates chemisorption of Cupraselect® (CuhfacTMVS), a typical copper seed layer material.
  • CuhfacTMVS a precursor of a precursor CuhfacTMVS 444 .
  • the TMVS ligand is cleaved.
  • a now positively charged precursor 446 then orients with a positively charged copper 448 (e.g., the Cu +1 ) toward substrate 8 , which is negatively biased, for chemisorption.
  • the novel chemisorption technique may include an in-situ clean prior to introduction of the first precursor to promote high quality film deposition.
  • a purge gas pulse 418 e.g., including Ar, H 2 and/or He
  • a purge gas pulse 418 can be used as an in-situ clean to remove carbon-containing residues, native oxides, or other impurities (see, for example, application Ser. No. 60/255,812, referenced above). Removing native oxides from metal layers is especially important for low resistance and good mechanical adhesion of the film to substrate 8 (FIG. 39 ).
  • H atoms can react with carbon and oxygen to form volatile species (e.g., CH x and OH x species).
  • Ar + or He + ions improve dissociation (e.g., of H 2 ) and add a physical clean (e.g., via sputtering by Ar + ions generated in the plasma).
  • the gas ratios can be tailored to alter the physical versus chemical components of the in-situ clean.
  • FIG. 40 is a schematic diagram of a circuit 450 for electrical biasing of ESC 6 of ALD reactor 100 (FIG. 12) for the novel chemisorption technique described above.
  • the use of ESC 6 helps provide a uniform bias to substrate 8 (FIG. 39 ).
  • Circuit 450 of FIG. 40 is similar to circuit 372 of FIG. 32 and circuit 376 of FIG. 33 . Accordingly, to avoid redundancy, the discussion will focus on differences between circuit 450 and circuits 372 and 376 .
  • a first DC power supply 454 and a second DC power supply 456 which are serially coupled matching supplies, perform the function of DC power supply 86 in FIGS. 32 and 33 to maintain the potential difference between electrodes 80 and 82 .
  • This potential difference provides the “chucking” action that holds substrate 8 (FIG. 39) to ESC 6 .
  • Serially coupled between the common node (labeled A) of DC power supplies 454 and 456 and a ground terminal 458 are a current suppression resistor 460 , a DC power switch 462 , and a DC reference voltage source 464 .
  • Ground terminal 458 may be the same ground reference as ground terminal 94 .
  • DC reference voltage source 464 With DC power switch 462 closed, the reference voltage of electrodes 80 and 82 (and therefore of substrate 8 during chemisorption as shown in FIG. 39) is established by DC reference voltage source 464 .
  • Current suppression resistor 460 limits the current from DC reference voltage source 464 .
  • DC reference voltage source 464 is capable of providing a positive or negative voltage, as needed for biasing substrate 8 (FIG. 39 ).
  • the voltage level provided by DC reference voltage source 464 may additionally reduce the time required to chemisorb a complete monolayer. This may allow a reduction in the duration of first precursor pulse 416 (FIG. 36 ( b )) and/or a reduction in the precursor partial pressure during first precursor pulse 416 .
  • DC power switch 462 is opened to isolate voltage source 464 and to electrically float first and second DC power supplies 454 and 456 .
  • RF power switch 452 is closed to reconnect RF generator 92 . The remainder of the ALD process continues as described previously.
  • circuit 450 of FIG. 40 it is possible to use a circuit similar to circuit 450 of FIG. 40 to generate plasma 194 above substrate 8 (FIG. 13) by biasing ESC 6 using a high DC voltage (e.g., 500 V or higher).
  • a high DC voltage e.g. 500 V or higher
  • RF generator 92 , RF impedance matching circuit 370 , and capacitors 96 and 98 would not be used.
  • DC reference voltage source 464 would supply at least two distinct voltages, or switch 462 would alternate between two distinct voltage sources.
  • the first voltage would be a low DC voltage coupled to electrodes 80 and 82 during plasma off periods 432 (FIG. 37 ).
  • the low DC voltage might be zero volts, or a non-zero low voltage used to orient precursor molecules for improved chemisorption as discussed above.
  • the second voltage would be a high DC voltage coupled to electrodes 80 and 82 during plasma on periods 430 (FIG. 37) to generate plasma 194 .
  • the novel ALD reactor is particularly suitable for thin film deposition, such as barrier layer and seed layer deposition, but the teachings herein can be applied to many other types of reactors and many other types of thin films (e.g., low-k dielectrics, gate dielectrics, optical films, etc.).
  • thin film deposition such as barrier layer and seed layer deposition
  • teachings herein can be applied to many other types of reactors and many other types of thin films (e.g., low-k dielectrics, gate dielectrics, optical films, etc.).
  • the foregoing embodiments of the ALD reactor, and all its constituent parts, as well as the ALD processes disclosed herein are intended to be illustrative and not limiting of the broad principles of this invention. Many additional embodiments will be apparent to persons skilled in the art.
  • the present invention includes all that fits within the literal and equitable scope of the appended claims.

Abstract

A deposition system in accordance with one embodiment of the present invention includes a process chamber, a stationary pedestal for supporting a substrate in the process chamber, and a moveable shield forming at least a portion of an enclosure defining the process chamber. Motion of the shield with respect to the stationary pedestal controls a variable gas conductance path for gases flowing through the process chamber thereby modulating the pressure of the process chamber with respect to an external volume. The moveable shield in accordance with an embodiment of the present invention may include several gas channel openings for introducing various process gases into the process chamber. In some embodiments, the moveable shield may alternatively or additionally include an interior cooling or heating channel for temperature control.

Description

CROSS-REFERENCE TO RELATED APPLICATIONS
This application claims priority from Provisional Application Ser. No. 60/281,628, entitled “A Reactor For Atomic Layer Deposition,” filed Apr. 5, 2001 and claims benefit of Ser. No. 60/255,812 filed Dec. 15, 2000, incorporated herein by reference.
This application is also related to the following co-pending applications, which are incorporated herein by reference:
U.S. application Ser. No. 09/812,352, entitled “System And Method For Modulated Ion-Induced Atomic Layer Deposition (MII-ALD),” filed Mar. 19, 2001.
U.S. application Ser. No. 09/812,486, entitled “Continuous Method For Depositing A Film By Modulated Ion-Induced Atomic Layer Deposition (MII-ALD),” filed Mar. 19, 2001.
U.S. application Ser. No. 09/812,285, entitled “Sequential Method For Depositing A Film By Modulated Ion-Induced Atomic Layer Deposition (MII-ALD),” filed Mar. 19, 2001.
U.S. application Ser. No. 09/854,092, entitled “Method And Apparatus for Improved Temperature Control In Atomic Layer Deposition,” filed May 10, 2001.
U.S. Provisional Application Ser. No. 60/255,812, entitled “Method For Integrated In-Situ Cleaning And Subsequent Atomic Layer Deposition Within A Single Processing Chamber,” filed Dec. 15, 2000.
FIELD OF THE INVENTION
The present invention relates to advanced thin film deposition apparatus and methods used in semiconductor processing and related technologies.
BACKGROUND
As integrated circuit (IC) dimensions shrink, the ability to deposit conformal thin film layers with excellent step coverage at low deposition temperatures is becoming increasingly important. Thin film layers are used, for example, as MOSFET gate dielectrics, DRAM capacitor dielectrics, adhesion promoting layers, diffusion barrier layers, and seed layers for subsequent deposition steps. Low temperature processing is desired, for example, to prevent unwanted diffusion of shallow junctions, to better control certain reactions, and to prevent degradation of previously deposited materials and their interfaces.
The need for conformal thin film layers with excellent step coverage is especially important for high aspect ratio trenches and vias, such as those used in metallization layers of semiconductor chips. For example, copper interconnect technology requires a continuous thin film barrier layer and a continuous thin film copper seed layer to coat the surfaces of trenches and vias patterned in an insulating dielectric prior to filling the features with copper by electrochemical deposition (ECD or electroplating).
A highly conformal, continuous barrier layer is required to prevent copper diffusion into the adjacent semiconductor (i.e., silicon) material or dielectric. The barrier layer also often acts as an adhesion layer to promote adhesion between the dielectric and the copper seed layer. Low dielectric constant (i.e., low-k) dielectrics are typically used to reduce inter- and intra-line capacitance and cross-talk, but often suffer from poorer adhesion and lower thermal stability than traditional oxide dielectrics, making the choice of a suitable adhesion layer more critical. A non-conformal barrier layer, or one with poor step coverage or discontinuous step coverage, can lead to copper diffusion and current leakage between adjacent metal lines or to delamination at either the barrier-to-dielectric or barrier-to-seed layer interfaces, both of which adversely affect product lifetime and performance. The barrier layer should also be uniformly thin, to most accurately transfer the underlying trench and via sidewall profile to the subsequent seed layer, and have a low film resistivity (e.g., ρ<500 μΩ-cm) to lessen its impact on the overall conductance of the copper interconnect structures.
A highly conformal, uniformly thin, continuous seed layer with low defect density is required to prevent void formation in the copper wires. The seed layer carries the plating current and acts as a nucleation layer. Voids can form from discontinuities or other defects in the seed layer, or they can form from pinch-off due to gross overhang of the seed layer at the top of features, both trenches and vias. Voids adversely impact the resistance, electromigration, and reliability of the copper lines, which ultimately affects the product lifetime and performance.
Traditional thin film deposition techniques, for example, physical vapor deposition (PVD) and chemical vapor deposition (CVD), are increasingly unable to meet the requirements of advanced thin films. PVD, such as sputtering, has been used for depositing conductive thin films at low cost and at relatively low substrate temperature. Unfortunately, PVD is inherently a line of sight process, resulting in poor step coverage in high aspect ratio trenches and vias. Advances in PVD technology to address this issue have resulted in high cost, complexity, and reliability issues. CVD processes can be tailored to provide conformal films with improved step coverage. Unfortunately, CVD processes often require high processing temperatures, result in the incorporation of high impurity concentrations, and have poor precursor (or reactant) utilization efficiency, leading to a high cost of ownership.
Atomic layer deposition (ALD), or atomic layer chemical vapor deposition (AL-CVD), is an alternative to traditional CVD methods to deposit very thin films. ALD has several advantages over PVD and traditional CVD. ALD can be performed at comparatively lower temperatures (which is compatible with the industry's trend toward lower temperatures), has high precursor utilization efficiency, can produce conformal thin film layers (i.e., 100% step coverage is theoretically possible), can control film thickness on an atomic scale, and can be used to “nano-engineer” complex thin films.
A typical ALD process differs significantly from traditional CVD processes. In a typical CVD process, two or more reactant gases are mixed together in the deposition chamber where either they react in the gas phase and deposit on the substrate surface, or they react on the substrate surface directly. Deposition by CVD occurs for a specified length of time, based on the desired thickness of the deposited film. Since this specified time is a function of the flux of reactants into the chamber, the required time may vary from chamber to chamber.
In a typical ALD process deposition cycle, each reactant gas is introduced sequentially into the chamber, so that no gas phase intermixing occurs. A monolayer of a first reactant is physi- or chemisorbed onto the substrate surface. Excess first reactant is pumped out, possibly with the aid of an inert purge gas. A second reactant is introduced to the deposition chamber and reacts with the first reactant to form a monolayer of the desired thin film via a self-limiting surface reaction. The self-limiting reaction halts once the initially adsorbed first reactant fully reacts with the second reactant. Excess second reactant is pumped out, again possibly with the aid of an inert purge gas. A desired film thickness is obtained by repeating the deposition cycle as necessary. The film thickness can be controlled to atomic layer (i.e., angstrom scale) accuracy by simply counting the number of deposition cycles.
Physisorbed precursors are only weakly attached to the substrate. Chemisorption results in a stronger, more desirable bond. Chemisorption occurs when adsorbed precursor molecules chemically react with active surface sites. Generally, chemisorption involves cleaving a weakly bonded ligand (a portion of the precursor) from the precursor, leaving an unsatisfied bond available for reaction with an active surface site.
The substrate material can influence chemisorption. In current dual damascene copper interconnect structures, a barrier layer such as tantalum (Ta) or tantalum nitride (TaN) must often simultaneously cover silicon dioxide (SiO2), low-k dielectrics, nitride etch stops, and any underlying metals such as copper. Materials often exhibit different chemical behavior, especially oxides versus metals. In addition, surface cleanliness is important for proper chemisorption, since impurities can occupy surface bonding sites. Incomplete chemisorption can lead to porous films, incomplete step coverage, poor adhesion between the deposited films and the underlying substrate, and low film density.
The ALD process temperature must be selected carefully so that the first reactant is sufficiently adsorbed (e.g., chemisorbed) on the substrate surface, and the deposition reaction occurs with adequate growth rate and film purity. A temperature that is too high can result in desorption or decomposition (causing impurity incorporation) of the first reactant. A temperature that is too low may result in incomplete chemisorption of the first precursor, a slow or incomplete deposition reaction, no deposition reaction, or poor film quality (e.g., high resistivity, low density, poor adhesion, and/or high impurity content).
Traditional ALD processes have several disadvantages. First, since the process is entirely thermal, selection of an appropriate process temperature is often confined to a narrow temperature window. Second, the small temperature window limits the selection of available precursors. Third, metal precursors that fit the temperature window are often halides (e.g., compounds that include chlorine, flourine, or bromine), which are corrosive and can create reliability issues in metal interconnects. Fourth, either gaseous hydrogen (H2) or elemental zinc (Zn) is often used as the second reactant to act as a reducing agent to bring a metal compound in the first reactant to the desired oxidation state of the final film. Unfortunately, H2 is an inefficient reducing agent due to its chemical stability, and Zn has a low volatility and is generally incompatible with IC manufacturing. Thus, although conventional ALD reactors are suitable for elevated-temperature ALD, they limit the advancement of ALD processing technology.
Plasma-enhanced ALD, also called radical enhanced atomic layer deposition (REALD), was proposed to address the temperature limitations of traditional thermal ALD. For example, in U.S. Pat. No. 5,916,365, the second reactant passes through a radio frequency (RF) glow discharge, or plasma, to dissociate the second reactant and to form reactive radical species to drive deposition reactions at lower process temperatures. More information on plasma-enhanced ALD is included in “Plasma-enhanced atomic layer deposition of Ta and Ti for interconnect diffusion barriers,” by S. M. Rossnagel, et al., Journal of Vacuum Science and Technology B 18(4) July/August 2000 pp. 2016-2020.
Plasma enhanced ALD, however, still has several disadvantages. First, it remains a thermal process similar to traditional ALD since the substrate temperature provides the required activation energy, and therefore the primary control, for the deposition reaction. Second, although processing at lower temperatures is feasible, higher temperatures must still be used to generate reasonable growth rates for acceptable throughput. Such temperatures are still too high for some films of interest in IC manufacturing, particularly polymer-based low-k dielectrics that are stable up to temperatures of only 200° C. or less. Third, metal precursors, particularly for tantalum (Ta), often still contain chlorine as well as oxygen impurities, which results in low density or porous films with poor barrier behavior and chemical instability. Fourth, the plasma enhanced ALD process, like the conventional sequential ALD process described above, is fundamentally slow since it includes at least two reactant gases and at least two purge or evacuation steps, which can take up to several minutes with conventional valve and chamber technology.
Conventional ALD reactors, including plasma enhanced ALD reactors, include a vertically-translatable pedestal to achieve a small process volume, which is important for ALD. A small volume is more easily and quickly evacuated (e.g., of excess reactants) than a large volume, enabling fast switching of process gases. Also, less precursor is needed for complete chemisorption during deposition. For example, the reactors of U.S. Pat. No. 6,174,377 and European Patent No. 1,052,309 A2 feature a reduced process volume located above a larger substrate transfer volume. In practice, a typical transfer sequence includes transporting a substrate into the transfer volume and placing it on top of a moveable pedestal. The pedestal is then elevated vertically to form the bottom of the process volume and thereby move the substrate into the process volume. Thus, the moveable pedestal has at least a vertical translational and possibly a second rotational degree of freedom (for high temperature process uniformity).
Typical ALD reactors have significant disadvantages. First, conventional ALD reactors suffer from complex pedestal requirements, since the numerous facilities (e.g., heater power lines, temperature monitor lines, and coolant channels) must be connected to and housed within a pedestal that moves. Second, in the case of plasma enhanced ALD, the efficiency of radical delivery for deposition of conductive thin films is significantly decreased in downstream configurations in which the radical generating plasma is contained in a separate vessel remote from the main process chamber (see U.S. Pat. No. 5,916,365). Both gas phase and wall recombinations reduce the flux of useful radicals to the substrate. In the case of atomic hydrogen (H), recombination results in diatomic H2, a far less effective reducing agent. Other disadvantages of known ALD reactors exist.
Accordingly, improved ALD reactors are desirable to make ALD better suited for commercial IC manufacturing. Desirable characteristics of such reactors might include higher throughput, improved deposited film characteristics, better temperature control for narrow process temperature windows, and wider processing windows (e.g., in particular with respect to process temperature and reactant species).
SUMMARY
A deposition system in accordance with one embodiment of the present invention includes a process chamber, a stationary pedestal for supporting a substrate in the process chamber, and a moveable shield forming at least a portion of an enclosure defining the process chamber. Motion of the shield with respect to the stationary pedestal controls a variable gas conductance path for gases flowing through the process chamber thereby modulating the pressure of the process chamber with respect to an external volume. The moveable shield in accordance with an embodiment of the present invention may include several gas channel openings for introducing various process gases into the process chamber. In some embodiments, the moveable shield may alternatively or additionally include an interior cooling or heating channel for temperature control.
The stationary pedestal in accordance with an embodiment of the present invention may include an electrostatic chuck for improved coupling of RF power to the substrate, enabling improved ion generation, ion energy control, and uniform delivery of ions. Additionally, the use of an electrostatic chuck in conjunction with a suitable gas medium inserted in the region between the electrostatic chuck and the substrate provides improved temperature control and uniformity.
The deposition system may be a portion of a reactor for atomic layer deposition of barrier layers, adhesion layers, seed layers, low dielectric constant (low-k) films, high dielectric constant (high-k) films, and other thin films used in advanced integrated circuit fabrication technologies.
A deposition system in accordance with an embodiment of the present invention provides several advantages. The system allows triggering of the deposition reaction by a non-thermal mechanism, leading to higher quality films deposited at lower temperatures. The deposition process parameters, including pressure during processing, can be modulated quickly and more efficiently than is conventionally possible, leading to self-synchronization of the deposition and higher throughput. By coupling RF power to the stationary pedestal, the system allows improved ion generation, ion energy control, ion spatial uniformity, and uniform ion delivery for modulated, ion-induced deposition. The stationary pedestal/moveable shield configuration simplifies the overall system design. Compared to conventional, heavy, moveable pedestals, a shield in one embodiment of the present invention can be quickly and precisely positioned by a linear motor for improved performance. The system allows gas introduction through multiple points, possibly including through the shield, which increases the flexibility of deposition process design. In addition, a smaller total system volume is achievable with the stationary pedestal/moveable shield configuration.
These and other aspects and features of the disclosed embodiments will be better understood in view of the following detailed description of the exemplary embodiments and the drawings thereof.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a schematic diagram of a novel ALD reactor.
FIG. 2 shows various embodiments of the shield and shadow ring overlap region of FIG. 1.
FIG. 3 is a schematic diagram showing top introduction of gas into the process chamber of the ALD reactor of FIG. 1.
FIG. 4 is (a) a schematic diagram and (b) a plan view schematic diagram showing side introduction of gas into the process chamber of the ALD reactor of FIG. 1.
FIG. 5 is (a) a schematic diagram and (b) a plan view schematic diagram showing both top and side introduction of gas into the process chamber of the ALD reactor of FIG. 1.
FIG. 6 is a schematic diagram of a control system for the pedestal of FIG. 1.
FIG. 7 is a schematic diagram of a circuit for electrical biasing of the electrostatic chuck of FIG. 1.
FIG. 8 is a front-side perspective view of a novel ALD reactor.
FIG. 9 is a back-side perspective view of the ALD reactor of FIG. 8.
FIG. 10 is a back-side perspective view, from below, of the ALD reactor of FIG. 8.
FIG. 11 is a front-side cutaway perspective view of the ALD reactor of FIG. 8.
FIG. 12 is a front-side cutaway perspective view of the ALD reactor of FIG. 8.
FIG. 13 is a cross-sectional view of a chamber portion of the ALD reactor along line 1313 of FIG. 8.
FIG. 14 is a detailed cross-sectional view of the right side of the chamber portion of FIG. 13 showing a load shield position.
FIG. 15 is a detailed cross-sectional view of the right side of the chamber portion of FIG. 13 showing a low conductance process shield position.
FIG. 16 is a detailed cross-sectional view of the right side of the chamber portion of FIG. 13 showing a high conductance process shield position.
FIG. 17 is a detailed cross-sectional view of the right side of the chamber portion of FIG. 13 showing a purge shield position.
FIG. 18 is a schematic diagram of a valve system for gas delivery in the ALD reactor of FIG. 8.
FIG. 19 is a schematic diagram of a valve system for gas delivery in the ALD reactor of FIG. 8.
FIG. 20 is a schematic diagram of a valve system for gas delivery in the ALD reactor of FIG. 8.
FIG. 21 is a schematic diagram of a valve system for gas delivery in the ALD reactor of FIG. 8.
FIG. 22 is a schematic diagram of a valve system for gas delivery in the ALD reactor of FIG. 8.
FIG. 23 is a perspective cross-section of two embodiments of a showerhead for gas distribution.
FIG. 24 is a perspective cross-section of an embodiment of a shield assembly for the ALD reactor of FIG. 8.
FIG. 25 is a perspective cross-section of an embodiment of a shield assembly for the ALD reactor of FIG. 8.
FIG. 26 is a perspective cross-section of an embodiment of a shield assembly for the ALD reactor of FIG. 8.
FIG. 27 is a cutaway perspective view of an embodiment of an electrostatic chuck assembly for the ALD reactor of FIG. 8.
FIG. 28 is a schematic diagram of a control system for the electrostatic chuck assembly of FIG. 27 of the ALD reactor of FIG. 8.
FIG. 29 is a schematic diagram of a control system including an alternative energy source for the electrostatic chuck assembly of FIG. 27 of the ALD reactor of FIG. 8.
FIG. 30 is a perspective view of an embodiment of a portion of an electrostatic chuck assembly for the ALD reactor of FIG. 8.
FIG. 31 is a schematic diagram of a circuit for electrical biasing of the electrostatic chuck of the ALD reactor of FIG. 8.
FIG. 32 is a schematic diagram of a circuit for electrical biasing of the electrostatic chuck of the ALD reactor of FIG. 8.
FIG. 33 is a schematic diagram of a circuit for electrical biasing of the electrostatic chuck of the ALD reactor of FIG. 8.
FIG. 34 is a schematic illustration of a conventional ALD process.
FIG. 35 is a schematic illustration of a novel ALD process.
FIG. 36 shows timing diagrams for (a) a typical prior art ALD process and (b) a novel ALD process.
FIG. 37 shows timing diagrams for an alternative embodiment of a novel ALD process.
FIG. 38 shows timing diagrams for an alternative embodiment of a novel ALD process.
FIG. 39 is a schematic illustration of a novel chemisorption technique for ALD processes.
FIG. 40 is a schematic diagram of a circuit for electrical biasing of the electrostatic chuck of the ALD reactor of FIG. 8 for improved chemisorption.
In the drawings, like or similar features are typically labeled with the same reference numbers.
DETAILED DESCRIPTION OF THE EMBODIMENTS
Basic ALD Reactor Design
FIG. 1 is a schematic diagram of a novel ALD reactor 2. Reactor 2 includes a stationary pedestal 4, which may include an electrostatic chuck (ESC) 6 on top of which a substrate 8 rests. Substrate 8 is usually a semiconductor wafer (e.g., silicon), but may be a metallized glass substrate or other substrate. A chamber lid 10 and ESC 6 define the top and bottom boundaries, respectively, of a process chamber 12. The surrounding wall of chamber 12 is defined by a moveable shield 14, which is attached to a plurality of shield support legs 16. The volume of process chamber 12 is smaller than prior art batch reactors, but may be similar in size to prior art single wafer systems. The configuration of reactor 2, however, provides an overall volume of reactor 2 that can be smaller than that of prior art reactors, while providing the small volume of process chamber 12.
The small volume of process chamber 12 achieves the advantages of small process volumes discussed above, including quick evacuation, fast switching of process gases, and less precursor required for complete chemisorption. The volume of process chamber 12 cannot be made arbitrarily small, however, since substrate 8 must still be transferred into, and out of, process chamber 12.
In FIG. 1, the fixed position of pedestal 4, including its supporting hardware, simplifies overall design of reactor 2, allowing ease of use and maintenance as well as improved performance. In comparison to massive moveable pedestals in prior art reactors, shield 14 includes less associated hardware and is much lighter, which allows precision positioning of shield 14 to adjust the conductance of, and facilitate pumping of, chamber 12 with rapid response.
A chamber body 18 surrounds shield 14, chamber lid 10, and pedestal 4 (including ESC 6), defining an annular pumping channel 20 exterior to shield 14. During processing, shield 14 separates process chamber 12, at low pressure, from annular pumping channel 20, which is maintained at a lower pressure than the chamber to maintain a clean background ambient in reactor 2. The volume of chamber 12 is coupled to annular pumping channel 20 via a shield conductance upper path 22 and a shield conductance lower path 24. Upper path 22 and lower path 24 are each defined by portions of shield 14 and corresponding features of stationary components of reactor 2. In the embodiment shown in FIG. 1, upper path 22, typically a variable low leakage path during processing, is bounded by an inner wall of shield 14 and chamber lid 10. Lower path 24, a variable high leakage path through a shield and shadow ring overlap region 26, is bounded by a portion of shield 14 and a shadow ring 28. Shadow ring 28 is actually separate from ESC 6 and is shown in greater detail in subsequent figures.
The structures of shield 14 and shadow ring 28 may vary to provide different conductances of lower path 24 as shown in FIG. 2, which shows various embodiments of the shield and shadow ring overlap region 26 of FIG. 1. The conductance of a flow path is related to the length of the restriction as well as the physical dimensions of the path. For example, a shorter path with a large cross-sectional area has a higher conductance. For the embodiments shown in FIG. 2, the structural configurations of shield 14 and shadow ring 28 result in a highest conductance path 30, a second highest conductance path 32, a third highest conductance path 34, and a lowest conductance path 36. Practitioners in the art will appreciate that many other embodiments of shield and shadow ring overlap region 26 are possible.
Various shield positions are employed throughout a novel ALD process. Raising shield 14 to its highest position (along with shadow ring 28) allows for introduction or removal of substrate 8. Dropping shield 14 to its lowest position allows rapid evacuation of chamber 12 via upper path 22 by exposure to the vacuum of annular pumping region 20. Shield 14 is positioned at intermediate positions during processing depending on gas delivery and conductance requirements.
The motion of shield 14 can be used to precisely control the spatial relationship between shield 14 and shadow ring 28, thereby providing a tunable conductance for chamber 12 primarily via lower path 24. This allows quick, precise control of the pressure in chamber 12, even during processing, which is not possible in prior art methods that employ a moveable pedestal since vertical motion of substrate 8 is undesirable during processing. The tunable conductance also allows quick, precise control of the residence time of gases introduced to chamber 12 for multiple flow rates, and it allows minimal waste of process gases.
Basic Gas Introduction to an ALD Reactor
Reactor 2 of FIG. 1 supports gas introduction through multiple points, including top introduction, side introduction, or a combination of both top and side introductions.
FIG. 3 is a schematic diagram showing top introduction of gas into process chamber 12 of ALD reactor 2 of FIG. 1. A top mount feed (not shown) has a single introduction point (or multiple introduction points) with an optional added device (not shown), such as a showerhead and/or a baffle, to ensure that a top introduction flow distribution 38 is uniform over the substrate. The added device includes at least one passage, and may include many. The added device may also include intermediate passages to regulate gas distribution and velocity.
FIG. 4 is (a) a schematic diagram and (b) a plan view schematic diagram showing side introduction of gas into process chamber 12 of ALD reactor 2 of FIG. 1. Gas is introduced from a gas channel 40 in shield 14 into process chamber 12 through orifices in an inner wall of shield 14. Gas is introduced in a symmetric geometry around substrate 8 designed to ensure that a side introduction flow distribution 42 is even. In addition, the plane of the gas introduction may be adjusted vertically relative to substrate 8 before or during gas introduction, which can be used to optimize flow distribution 42.
FIG. 5 is (a) a schematic diagram and (b) a plan view schematic diagram showing both top and side introduction of gas into process chamber 12 of ALD reactor 2 of FIG. 1. The gases for novel ALD processes, including precursor and purge gases, can be introduced through the same introduction path or separate paths as desired for optimal performance and layer quality.
Basic Electrostatic Chuck Assembly Design for an ALD Reactor
Reactor 2 of FIG. 1 can be used in a deposition process where the activation energy for the surface reaction is provided by ions created in a plasma above the substrate. Thus, atomic layer deposition can be ion-induced, rather than thermally induced. This allows deposition at much lower temperatures than conventional ALD systems. Given the sufficiently low process temperatures, pedestal 4 may include an electrostatic chuck (ESC) 6 for improved temperature control and improved radio frequency (RF) power coupling.
Additional detail of ion-induced atomic layer deposition may be found in the following related applications. U.S. application Ser. No. 09/812,352, entitled “System And Method For Modulated Ion-Induced Atomic Layer Deposition (MII-ALD),” filed Mar. 19, 2001, assigned to the present assignee and incorporated herein by reference. U.S. application Ser. No. 09/812,486, entitled “Continuous Method For Depositing A Film By Modulated Ion-Induced Atomic Layer Deposition (MI-ALD),” filed Mar. 19, 2001, assigned to the present assignee and incorporated herein by reference. U.S. application Ser. No. 09/812,285, entitled “Sequential Method For Depositing A Film By Modulated Ion-Induced Atomic Layer Deposition (MII-ALD),” filed Mar. 19, 2001, assigned to the present assignee and incorporated herein by reference.
FIG. 6 is a schematic diagram of a control system 44 for pedestal 4 of FIG. 1. Substrate 8 rests on an annular sealing lip 46 defining a backside gas volume 48 between substrate 8 and a top surface 50 of ESC 6 of pedestal 4. The backside gas flows from a backside gas source 52 along a backside gas line 54, through a backside gas passageway 56 in ESC 6, and into gas volume 48. The backside gas improves the thermal communication between substrate 8 and ESC 6 by providing a medium for thermal energy transfer between substrate 8 and ESC 6. A means of flow control, such as a pressure controller 58, maintains the backside gas at a constant pressure, thus ensuring a uniform substrate temperature.
Substrate temperature is modulated by heating or cooling ESC 6. A temperature sensor 60 is coupled via a sensor connection 62 to a temperature monitor 64. A temperature controller 66 controls a heater power supply 68 applied via an electrical connection 70 to a resistive heater 72 embedded in ESC 6. A coolant temperature and flow controller 74, as is widely known, controls the coolant from a coolant supply 76 as it flows in a plurality of coolant channels 78 in pedestal 4.
ESC 6 includes at least a first electrode 80 and a second electrode 82 embedded in a dielectric material. FIG. 7 is a schematic diagram of a circuit 84 for electrical biasing of electrostatic chuck 6 of pedestal 4 of FIG. 1. First electrode 80 and second electrode 82 are biased with different DC potentials to provide the “chucking” action that holds substrate 8 (FIG. 1) to ESC 6 prior to plasma ignition and during deposition. The biasing scheme of FIG. 7 allows establishment of the electrostatic attraction (i.e., “chucking”) at low biases that would be insufficient to generate enough electrostatic attraction with a conventional monopolar chuck. In FIG. 7, one terminal of a DC power supply 86 is coupled via a first inductor 88 to first electrode 80. The other terminal of DC power supply 86 is coupled via a second inductor 90 to second electrode 82. Inductors 88 and 90 serve as RF filters.
RF power (e.g., at 13.56 MHz) is also supplied simultaneously to both first electrode 80 and second electrode 82 using an RF generator 92 coupled to a ground terminal 94. A first capacitor 96 and a second capacitor 98 are respectively coupled between RF generator 92 and first electrode 80 and second electrode 82. Capacitors 96 and 98 serve as DC filters to block the DC voltage from power supply 86. Circuit 84 allows improved coupling of RF power to substrate 8 during processing due to the close proximity (e.g., 0.6 mm-2 mm spacing) of substrate 8 to first electrode 80 and second electrode 82 embedded in ESC 6.
Since substrate 8 is in such close proximity to first and second electrodes 80 and 82, the transmission efficiency of RF power through the intervening dielectric of ESC 6 is higher than in conventional reactors where RF power is applied to electrodes at a greater distance from the substrate. Thus, less power is needed to achieve sufficient RF power coupling to substrate 8 in novel ALD reactor 2 (FIG. 1), and the same power to generate the bias on substrate 8 can also be used to create a plasma above substrate 8 at very low powers (e.g., <600W, and typically <150W).
ALD Reactor Detail
FIG. 8, FIG. 9, FIG. 10, FIG. 1, and FIG. 12 show external views and internal cutaway views of a novel ALD reactor 100. FIG. 8 is a front-side perspective view of reactor 100. FIG. 9 is a back-side perspective view of reactor 100. FIG. 10 is a back-side perspective view, from below, of reactor 100. FIG. 11 is a front-side cutaway perspective view of reactor 100. FIG. 12 is another front-side cutaway perspective view of reactor 100.
Referring to FIG. 8, a substrate 8 (FIG. 12) is transferred into or out of a process chamber 12 (FIG. 11 and FIG. 12) of reactor 100 through a substrate entry slot 102 in a slit valve 104. Substrate 8 is loaded onto or unloaded from the pedestal (e.g., an electrostatic chuck assembly 106 as seen in FIG. 11 and FIG. 12) by a plurality of lift pins 108. In the load or unload position, the tips of lift pins 108 extend through orifices in an electrostatic chuck (ESC) 6 to hold substrate 8 above the top surface of ESC 6. In the process position, the tips of lift pins 108 retract below the top surface of ESC 6 allowing contact between substrate 8 and ESC 6 (FIG. 11 and FIG. 12).
Referring to FIG. 11 and FIG. 12, lift pins 108 extend downward from process chamber 12 in the interior of reactor 100 through an electrostatic chuck assembly 106 (including ESC 6, a cooling plate 110, and a baseplate 112) to the exterior under-side of reactor 100. Each of lift pins 108 is attached to a lift pin spider 114 to coordinate their motion. Vertical translation of lift pin spider 114 is accomplished with an off-axis lift pin actuator 116 (e.g., a pneumatic cylinder), which controls motion of a the rod 118 that is coupled to lift pin spider 114 by a spherical joint 120 as seen in FIG. 10. Spherical joint 120 transmits lifting forces to lift pin spider 114 but no moments.
Referring to FIG. 11, to facilitate substrate transfer, a moveable shield 14, must be in a load position. Shield 14 is raised or lowered using a linear motor 122, which moves a linear motor output rod 124 attached to a shield lift spider 126 by a collet clamp 128 (best seen in FIG. 10). Each one of a plurality of shield support legs 16 (FIG. 11) extends through a shield support leg seal 130 and is coupled between shield lift spider 126 and shield 14. The axis of linear motor 122 is aligned with the axis of process chamber 12 resulting in no net moments on shield lift spider 126. Lift pin spider 114 rides a portion of linear motor output rod 124, coaxial with output rod 124 and shield lift spider 126. Lift pin spider 114, however, is unaffected by movement of rod 124, and this arrangement results in no net moments on lift pins 108.
As mentioned above, linear motor 122 provides actuation of shield 14. This is in contrast to conventional moveable pedestals wherein slower stepper motors are used for actuation. Conventional rotational stepper motors use lead screws (possibly in conjunction with a gear train), which are slow but capable of moving heavy masses, to effect movement of the heavy pedestal. Linear motor 122 does not use a gear train, but instead directly drives the load. Linear motor 122 includes a plurality of alternating magnets to effect motion of output rod 124.
Linear motor 122 can be a commercially available linear motor and typically includes a sleeve having a coil and a moveable rod enclosing the series of alternating magnets. The movement of the rod through the sleeve is precisely controlled, using a Hall Effect magnetic sensor, by a signal applied to the coil. In one embodiment, pulses applied to the coil precisely control the position of the rod with respect to the sleeve, as is well known. Since shield 14 is a light weight compared to conventional heavy pedestals, linear motor 122 provides high performance positioning, with response times on the order of milliseconds. Linear motor 122 thus provides a quicker response and more accurate shield positioning than is achievable with conventional stepper or servo motors used to actuate the pedestal of conventional ALD reactors.
Referring to FIG. 11, a pump, such as a turbomolecular pump 132, maintains a background ambient pressure as low as a few microtorr or less in an annular pumping channel 20 surrounding shield 14. Pump 132 is attached to reactor 100 at an angle such that a circular pump throat 134 is fully exposed to a narrow pumping slot 136 aft of process chamber 12, maximizing the conductance between them. In this manner, pump 132 with a diameter, d, has maximum exposure to pumping slot 136 of height, h (where h<d), with minimum restriction between pump 132 and chamber 12 (see also FIG. 13 discussed below). For specific processing applications, a pumping speed restrictor 138 can be inserted at pump throat 134 to restrict the conductance as needed. In some embodiments, a pressure controlling throttle valve (e.g., a butterfly valve) can be used instead of, or in conjunction with, restrictor 138. Pressure in pumping slot 136 and annular pumping channel 20 is monitored by a pump pressure sensor 140 mounted on the top surface of reactor 100.
Process chamber 12 is bounded on top by a chamber lid 10. Pressure in process chamber 12 of reactor 100 may be on the order of a few microtorr up to several torr. The pressure of chamber 12 is monitored by a fast chamber pressure sensor 142 and a precision chamber pressure sensor 144, both of which are mounted on an upper peripheral flange of chamber lid 10 (FIG. 8). The temperature of chamber lid 10 is controlled by fluid flowing in a plurality of lid cooling/heating channels 146 (FIG. 11). One possible path of gas introduction to process chamber 12 is through a showerhead three-way valve 148 mounted centrally on chamber lid 10. Another possible method of gas introduction to process chamber 12 is through a shield gas channel 40.
RF power is transferred to electrodes in ESC 6 via an RF conductor 150 shielded within an RF insulator tube 152. A gas medium (commonly referred to as a backside gas) is provided via a backside gas valve 154 to ESC 6 to improve the thermal coupling between ESC 6 and substrate 8. During processing, an optional shadow ring 28 rests on a portion of ESC 6 fully surrounding a peripheral edge of substrate 8.
FIG. 13 is a cross-sectional view of a chamber portion 156 of ALD reactor 100 along line 1313 of FIG. 8. Substrate entry slot 102 is shown on the left hand side extending through a chamber body 18. Pumping slot 136, of height h, is shown on the right hand side extending through chamber body 18 to pump throat 134, of diameter d. The temperature of chamber body 18 is controlled by fluid flowing in a chamber cooling/heating channel 158.
Chamber lid 10 rests atop chamber body 18. A vacuum seal, to maintain low pressure in the interior of reactor 100, is maintained through the use of an upper O-ring 160 between chamber lid 10 and chamber body 18. Laterally spaced from O-ring 160 between chamber lid 10 and chamber body 18 is an upper RF gasket 162, forming an RF shield. The temperature of chamber lid 10 is controlled by fluid flowing in lid cooling/heating channels 146. Alternatively, the temperature of chamber lid 10 may be controlled by an electric or resistive heater or other cooling/heating means.
The pressure in process chamber 12 is monitored, in part, by fast chamber pressure sensor 142, which is mounted on an upper peripheral flange of chamber lid 10. Pressure sensor 142 monitors the pressure in a pressure tap volume 164, which is coupled to process chamber 12 by a pressure sensor orifice 166. This arrangement allows exposure of pressure sensor 142 to the pressure of chamber 12, while preventing plasma and other process chemistries from reaching, and possibly damaging, pressure sensor 142.
Gases can be introduced into process chamber 12 through a showerhead gas feed inlet 168, which leads to a plenum 170 above a showerhead 172 attached to a lower surface of chamber lid 10. Showerhead 172 includes a showerhead lip 174 and a plurality of showerhead gas orifices 176, which are used to distribute gas evenly into process chamber 12.
Substrate 8 rests on an upper surface of an ESC assembly 106, which includes in part, ESC 6, cooling plate 110, and baseplate 112. The vertical spacing between the upper surface of ESC assembly 106 and showerhead 172 may be 0.3 inches to 1 inch, typically less than 0.6 inches. Backside gas passageway 56 is shown centrally located in and extending through ESC 6. ESC 6, which includes the largest portion of the upper surface on which substrate 8 rests, is held in contact with cooling plate 110 using a clamp ring 178, which overlaps a surrounding flange at the base of ESC 6. A plurality of clamp ring fasteners 180, each extending through clamp ring 178 into cooling plate 110, secure the connection between ESC 6 and cooling plate 110. A process kit 182 fully surrounds clamp ring 178 and electrically hides clamp ring fasteners 180 from ESC 6 and substrate 8. For a more detailed view of clamp ring 178, fasteners 180, and process kit 182, see FIG. 16, discussed below.
The temperature of cooling plate 110 is controlled using fluid flowing in a plurality of coolant channels 78 as shown in FIG. 13. An upper surface of cooling plate 110 is patterned to create a plurality of thermal breaks 184, or gaps, between ESC 6 and cooling plate 110. Thermal breaks 184 increase the temperature difference between ESC 6 and cooling plate 110. This allows the temperature of ESC 6 to rise substantially higher than the temperature of baseplate 112, which stays relatively cool. For a more detailed view of thermal breaks 184, see FIG. 27, discussed below.
As shown in FIG. 13, a lower surface of cooling plate 110 is attached to an upper surface of baseplate 112. The upper surface of baseplate 112 forms the lower walls of coolant channels 78 in cooling plate 110. A vacuum seal, to maintain low pressure in the interior of reactor 100, is maintained through the use of an O-ring 186 between baseplate 112 and chamber body 18. Laterally spaced from O-ring 186 between baseplate 112 and chamber body 18 is an RF gasket 188.
One of the plurality of lift pins 108 is shown in retracted process position, with the tip of lift pin 108 below the top surface of ESC 6. Lift pin 108 extends through a lift pin seal 190, which maintains the low pressure in the interior of reactor 100. A lift pin bushing 192 reduces friction during vertical translation of lift pin 108 through aligned orifices in baseplate 112, cooling plate 110, and ESC 6.
In FIG. 13, shield 14 is shown in an intermediate process position. Process chamber 12 is thus bounded on the top by showerhead 172, on the bottom largely by ESC 6, and on the sides by shield 14 to confine a plasma 194. Shield 14 includes shield gas channel 40 and is attached to each shield support leg 16 using a shield cap 196. Each shield support leg 16 extends through shield support leg seal 130, which maintains the low pressure in the interior of reactor 100. A plurality of shield support leg bushings 198 reduce friction during vertical translation of shield support legs 16 through orifices in baseplate 112.
A shadow ring hook 200 is attached to a lower portion of shield cap 196. Shadow ring hook 200 is shown interdigitated with shadow ring 28, which fully surrounds a peripheral edge of ESC assembly 106 and rests on a process kit bevel 202 of process kit 182. Shadow ring 28 protects the underlying portions of ESC assembly 106 during deposition onto substrate 8. Shadow ring 28 also defines the circumferential region near the edge of substrate 8 where deposition is masked. Shadow ring 28 also plays a role in defining the chamber conductance. For a more detailed view of process kit bevel 202, see FIG. 16, discussed below.
In FIG. 13, two leakage paths modulate gas flow between process chamber 12 and annular pumping channel 20, which is largely bounded by chamber body 18, chamber lid 10, and ESC assembly 106. The leakage occurs due to differing pressures between process chamber 12 and annular pumping channel 20. A shield conductance upper path 22 is bounded on one side by an inner upper surface of shield 14, and on the other side by outer surfaces of chamber lid 10 and showerhead 172. A shield conductance lower path 24 is bounded on one side by surfaces of a lower portion of shield 14, shield cap 196, and shadow ring hook 200, and on the other side by surfaces of shadow ring 28. Upper path 22 leads from process chamber 12 to an upper portion 204 of annular pumping channel 20, while lower path 24 leads from process chamber 12 to a lower portion 206 of annular pumping channel 20.
Shield 14 can be vertically translated by either raising it into upper portion 204 of annular pumping channel 20 or lowering it into lower portion 206 of annular pumping channel 20. As shield 14 is translated, the conductances of upper path 22 and lower path 24 are changed. The variations in conductance can be controlled to vary the pressure in process chamber 12 in a controlled manner as needed for various steps in an atomic layer deposition process sequence.
Shield Operation
Unlike in conventional ALD reactors, reactor 2 includes a stationary pedestal 4 (see FIG. 1). For example, reactor 100 of FIG. 12 includes ESC assembly 106. Transfer of substrate 8 into process chamber 12 of reactor 100 is facilitated through the use of moveable shield 14, which also plays a significant role during processing.
Various shield positions are employed throughout the ALD process. FIG. 14, FIG. 15, FIG. 16, and FIG. 17 show detailed cross-sectional views of the right side of chamber portion 156 of FIG. 13, showing shield 14 in a substrate load shield position 208 (FIG. 14), a low conductance process shield position 210 (FIG. 15), a high conductance process shield position 212 (FIG. 16), and a purge shield position 214 (FIG. 17).
In load shield position 208 of FIG. 14, shield support legs 16 are raised by linear motor 122 (FIG. 8). When shield 14 is raised above a certain point, shadow ring hook 200 contacts shadow ring 28 and lifts it as well. Shield 14 and shadow ring 28 are then raised together. Shield 14 enters upper portion 204 of annular pumping channel 20. Shield 14 and shadow ring 28 can be raised until shadow ring 28 contacts showerhead lip 174, which prevents shadow ring 28 from contacting showerhead 172.
Load shield position 208 thus allows loading (or unloading) of substrate 8 into (or out of) process chamber 12 via substrate entry slot 102 (FIG. 13). For example, to load substrate 8 into process chamber 12, a substrate blade or paddle (not shown) carries substrate 8 into process chamber 12. Lift pins 108 are raised by lift pin actuator 116 (FIG. 10) to contact substrate 8 and lift it off the top surface of the blade. The blade is then retracted out of chamber 12 through entry slot 102. Lift pins 108 are retracted past the top surface of ESC 6 allowing substrate 8 to rest on ESC 6 as shown in FIG. 14. A similar process is followed to unload substrate 8 from chamber 12.
In an alternative embodiment, shadow ring 28 is not used, and shield 14 forms variable conduction paths with other surfaces that may be fixed or moveable. In some embodiments, it is possible that the load position may be achieved by lowering shield 14 sufficiently so that substrate 8 may pass over the top edge of shield 14.
Once substrate 8 has been loaded into process chamber 12, shield 14 is lowered by linear motor 122 (FIG. 8) for processing. The low conductance process shield position 210 shown in FIG. 15, shows the positions of shield 14 and shadow ring 28 at the moment that shadow ring 28 contacts process kit 182. An angled shadow ring seat 216 of shadow ring 28 rests on process kit bevel 202 of process kit 182. This is the only point of contact between shadow ring 28 and process kit 182. Air gaps separate shadow ring 28 and process kit 182 away from each edge of process kit bevel 202. The airgaps between shadow ring 28 and process kit 182 allow for differential thermal expansion of shadow ring 28 and process kit 182 during processing. The angle of process kit bevel 202 helps center shadow ring 28, through interaction with the angle of shadow ring seat 216, so that the edge of substrate 8 is shadowed uniformly by a shadow ring edge 218 of shadow ring 28.
Lowering shield 14 into process position creates shield conductance upper path 22 and shield conductance lower path 24, as described with respect to FIG. 13 above. While it is possible to reduce the conductance of lower path 24 to zero (FIG. 15), during deposition upper path 22 generally forms a low conductance leakage path, while lower path 24 generally forms a higher conductance leakage path (FIG. 16).
By changing the relative position of shield 14 to shadow ring 28, the conductance out of chamber 12 can be modulated. This modulation, in turn, alters the pressure of chamber 12. The high conductance process shield position 212 shown in FIG. 16, shows the positions of shield 14 and shadow ring 28 at an intermediate step of an ALD process. Lower path 24 includes several distinct regions: a plurality (three in this embodiment) of fixed conductance regions 220 (fixed gaps between shadow ring hook 200 and shadow ring 28) interspersed with a plurality (two in this embodiment) of variable conductance regions 222 (variable gaps). The volumes of fixed conductance regions 220 and variable conductance regions 222 can be precisely controlled (by precise positioning of shield 14 by linear motor 122) to adjust the conductance of lower path 24, and therefore the pressure of chamber 12, as needed during the process.
In purge shield position 214 of FIG. 17, shield support legs 16 are lowered by linear motor 122 (FIG. 8). Shield 14 and shadow ring hook 200 are lowered into lower portion 206 of annular pumping channel 20. Shadow ring 28 remains seated on process kit 182. Both shield conductance upper path 22 and shield conductance lower path 24 become high conductance paths. Purge shield position 214 allows quick evacuation of the gases in process chamber 12 into annular pumping channel 20 due to the high conductances created and the lower pressure of annular pumping channel 20 compared to chamber 12.
As mentioned above, linear motor 122 (FIG. 8) provides actuation of shield 14. This allows quick and accurate variation of the conductance of shield conductance upper and lower paths 22 and 24. This translates into quick and accurate variation of the pressure in process chamber 12 for given gas flows into process chamber 12.
In some embodiments, a throttle valve (i.e., a butterfly valve, a variable position gate valve, a pendulum valve, etc.) positioned at pump throat 134 (FIG. 13) can also be used in conjunction with moveable shield 14 to effect quick pressure changes in process chamber 12 by modulating the maximum pumping speed of pump 132 (FIG. 12). The throttle valve augments the pressure range achievable in process chamber 12, providing a “coarse adjustment” of the pressure in process chamber 12, while shield 14 provides a “fine adjustment” of the pressure.
Showerhead and Shield Design for Gas Introduction and Temperature Control
The novel hardware for ALD reactor 100 (FIG. 11) supports the introduction of gases into process chamber 12 through multiple points. The primary introduction point is through the top of reactor 100, in particular, through showerhead three-way valve 148 (mounted on chamber lid 10) and showerhead 172 (best seen in FIG. 13). Gases may also be introduced into chamber 12 through shield 14, which may be additionally configured for temperature control.
FIG. 18 is a schematic diagram of a novel valve system 224 for gas delivery in ALD reactor 100 of FIG. 8. This embodiment delivers a single precursor and a purge gas to process chamber 12, either separately or in a mixed proportion. The purge gas is used to purge the chamber and as the gas source to strike a plasma. A carrier gas for the precursor flows from a first gas source 226, and the purge gas flows from a second gas source 228.
When either the carrier gas or the purge gas is not flowing to chamber 12, it is diverted by a first three-way valve 230 and a purge three-way valve 232, respectively, through a pump bypass gas line 234 to a vacuum pump 236. Utilization of vacuum pump 236 allows the carrier and purge gases to flow in steady state conditions even when they are not flowing to chamber 12. This avoids disturbances in the gas flows caused by the long settling times of gas sources that are switched on and off.
A showerhead three-way valve 148 controls access to a chamber gas line 238, which leads to process chamber 12. Three-way valve 148, located centrally on chamber lid 10 as seen in FIG. 11, provides at least two distinct advantages. First, gases introduced to chamber 12 can be switched rapidly with minimal loss or delay. Second, gases are isolated from each other outside of chamber 12, resulting in no cross-contamination of reactants.
A first on/off valve 240 is coupled between first ends of a second on/off valve 242 and a third on/off valve 244. The opposite ends of second and third on/off valves 242 and 244 are each coupled to a first precursor source 246. First on/off valve 240 is also coupled between first three-way valve 230 and showerhead three-way valve 148 via a gas line 248 and a gas line 250, respectively. Precursor source 246 can be isolated by closing on/off valves 242 and 244. This may be done, for example, to change precursor source 246. In this case, on/off valve 240 may be closed, or opened to allow carrier gas to flow through three- way valves 230 and 148 into chamber 12. During deposition, first on/off valve 240 is normally closed, and second and third on/off valves 242 and 244 are normally open.
Three- way valves 230, 232, and 148 are switched synchronously to deliver either precursor or purge gas to chamber 12. When delivering precursor, purge three-way valve 232 is switched to flow the purge gas to vacuum pump 236, and showerhead three-way valve 148 is switched to the precursor side. Simultaneously, three-way valve 230 is switched to allow carrier gas to flow from first gas source 226 through gas line 248 and on/off valve 242 into precursor source 246. The carrier gas picks up precursor in precursor source 246, typically by bubbling through a liquid source. The carrier gas, now including precursor, flows through on/off valve 244, through gas line 250, through showerhead three-way valve 148, through chamber gas line 238, and into chamber 12.
When delivering purge gas, first three-way valve 230 is switched to flow the carrier gas to vacuum pump 236. Purge three-way valve 232 and showerhead three-way valve 148 are switched to allow purge gas to flow from second gas source 228 through a gas line 252 and chamber gas line 238 into chamber 12.
Valve system 224 keeps gas line 248 charged with carrier gas, gas line 250 charged with carrier plus precursor, and gas line 252 charged with purge gas. This allows fast switching between gas sources by significantly reducing the gas delivery time to chamber 12. Valve system 224 also minimizes waste of gases since gas lines do not need to be flushed between deposition steps. Furthermore, any gas bursts from transient pressure spikes upon gas switching, due to the charged gas lines, would only help the initial stages of chemisorption or surface reaction.
Practitioners will appreciate that alternative embodiments of valve systems for gas delivery to reactor 100 are possible. In the embodiment shown in FIG. 18, two separate gas sources are shown providing the carrier gas and the purge gas, which may be different gases. It is possible, however, that in some embodiments the same gas used as the purge gas may be used as the carrier gas for the precursor. In this case, separate gas sources may be used as shown in FIG. 18, or first gas source 226 may be used singly in a valve system 254, which has many similar components to valve system 224 of FIG. 18, as shown schematically in FIG. 19. Valve system 254 can be simplified by replacing three-way valve 230 with a T-junction 256 as shown schematically in FIG. 20 for a valve system 258, which has many similar components to valve system 224 of FIG. 18. As in valve system 224 of FIG. 18, showerhead three-way valves 148 in valve system 254 (FIG. 19) and valve system 258 (FIG. 20) control the flow of purge gas or carrier-plus-precursor gas to chamber 12. As shown in valve system 254 (FIG. 19) and valve system 258 (FIG. 20), pump 236 may not be used in some embodiments.
In some embodiments, gas delivery of multiple precursors may be desirable. Two embodiments of multiple precursor delivery are shown in the schematic diagrams of a valve system 260 in FIG. 21 and a valve system 262 in FIG. 22. Valve systems 260 (FIG. 21) and 262 (FIG. 22) each have many similar components to valve system 224 of FIG. 18. Valve systems 260 (FIG. 21) and 262 (FIG. 22) are shown configured for two precursor sources, but may be further adapted for additional precursor sources. In each of valve systems 260 (FIG. 21) and 262 (FIG. 22), a second three-way valve 264 controls the flow of carrier gas to a second precursor source 266. A fourth on/off valve 268, a fifth on/off valve 270, and a sixth on/off valve 272 are coupled similarly to, and operate similarly to, valves 240, 242, and 244, respectively, to control the flow of carrier gas through second precursor source 266. A gas line 274, similar to gas line 248, is coupled between three-way valve 264 and on/off valve 270.
In FIG. 21, valve system 260 further includes a third gas source 276 in addition to first and second gas sources 226 and 228 of valve system 224 of FIG. 18. A third three-way valve 278, coupled to on/off valve 272 via a gas line 280, controls delivery of the second precursor to showerhead three-way valve 148 via a gas line 282. A fourth three-way valve 284 controls delivery of the purge gas via gas line 252 and a gas line 286 to three-way valve 278, which directs the purge gas to showerhead three-way valve 148 as needed via gas line 282.
In FIG. 22, valve system 262 is shown configured to use gas source 226 for both the purge and carrier gases. The carrier gas is delivered from gas source 226 to three-way valve 264 via a gas line 288. The purge gas is delivered to the second terminal of a third three-way valve 278 (and similar valves of any additional precursor sources) via gas line 252. The third terminal of three-way valve 278 is coupled to the second terminal of showerhead three-way valve 148 via gas line 282. Three-way valve 278 thus controls delivery of the second precursor and the purge gas to showerhead three-way valve 148.
Other modifications may be made for alternative embodiments of the valve systems of FIGS. 18, 19, 20, 21, and 22. The functions of showerhead three-way valve 148 may be accomplished instead with an equivalent network of on/off valves (similar to valves 240, 242, and 244) and fittings. Metering valves may be added to branches to regulate the flow for specific branches. Pressure sensors may be added to branches and coupled with the valve actuation to introduce known amounts of reactant. Valve timing may be manipulated to deliver “charged” volumes of gas to process chamber 12. The traditional valves may be replaced with advanced designs such as micro-electromechanical (MEM) based valves or valve networks. The entire valve system can be heated to prevent condensation of reactants in the network.
FIG. 23 is a perspective cross-section of two embodiments of a showerhead 172 for gas distribution. Showerhead 172 is designed to have a larger diameter, and thus a larger area, than substrate 8 and ESC 6 (FIG. 13). Showerhead 172 includes a plurality of mounting holes 290 used to facilitate attachment of showerhead 172 to chamber lid 10 with a plurality of fasteners (see FIG. 13). Showerhead 172 also includes a plurality of pressure sensor orifices 166, one for each pressure sensor used to sense the pressure in process chamber 12. For example, fast chamber pressure sensor 142 and precision chamber pressure sensor 144 (FIG. 8) would each require a pressure sensor orifice 166 in showerhead 172. Showerhead 172 also includes showerhead lip 174 peripherally around the edge of showerhead 172 used to prevent shadow ring 28 from hitting showerhead 172.
Showerhead 172 also includes a cavity 292 centrally located in an upper surface of showerhead 172 as shown in FIG. 23(a). Cavity 292 forms plenum 170 (FIG. 13) upon attachment of showerhead 172 to chamber lid 10. A plurality of showerhead gas orifices 176 are arranged within cavity 292 in a pattern designed for a particular gas flow distribution. The diameter of cavity 292 is designed to be larger than the diameter of substrate 8 (FIG. 13). In the embodiment of FIG. 23(b), showerhead 172 includes a cavity 294 that is similar to cavity 292 of FIG. 23(a), but cavity 294 has a diameter designed to be smaller than the diameter of substrate 8. Practitioners will appreciate that a number of different diffusing devices may be used to tailor the directionality of the gas flows as needed.
As mentioned above, gas may also be introduced into process chamber 12 through shield 14. This allows cylindrical gas introduction around the volume of process chamber 12 as discussed above with reference to FIG. 4. FIG. 24 is a perspective cross-section of an embodiment of a shield assembly 296, including a shield gas channel 40, for ALD reactor 100 of FIG. 8. A plurality of shield support legs 16 attach to shield cap 196, which is attached to the base of shield 14. Most of shield support legs 16 are solid. Gas is introduced into shield 14, through at least one hollow shield support leg 298, which extends through shield cap 196 into shield gas channel 40 in shield 14.
Shield gas channel 40 is annular and runs completely around the base of shield 14. Shield gas channel 40 is a high conductance channel that allows introduced gas to distribute evenly around shield gas channel 40 of shield 14 before introduction into process chamber 12 (FIG. 13). Gas is introduced to chamber 12 through a plurality of gas flow orifices 300, which are evenly spaced along shield gas channel 40 and extend through an inner wall of shield 14 into process chamber 12. The gas introduction path of shield assembly 296 is designed to ensure uniform gas flow around substrate 8 as discussed with reference to FIG. 4.
Introduction of gas through shield 14 allows tremendous flexibility in designing ALD processes. In some embodiments, the same gas introduced through showerhead 172 can be simultaneously introduced through shield 14 to provide improved coverage in process chamber 12 and on substrate 8 (FIG. 13). Alternatively, in some embodiments, one gas can be introduced through showerhead 172 while a different gas is introduced through shield 14, allowing improved gas isolation and quicker cycling of the gases.
Movement of shield 14, either before or during the gas flow, allows gas to be introduced at different planes within process chamber 12, parallel to the plane of substrate 8. The shield motion can be used to optimize the gas flow distribution of a particular ALD process.
As discussed previously, another role of shield 14 is to confine plasma 194 during processing (FIG. 13), which can result in heating of shield 14. To maintain the shield at an acceptable process temperature, a cooling/heating channel can be incorporated in the shield design. This also helps prevent deposition on shield 14.
FIG. 25 is a perspective cross-section of an embodiment of a shield assembly 302, including a shield cooling/heating channel 304, for ALD reactor 100 of FIG. 8. Shield assembly 302 includes some shield support legs 16, which are solid, attached to shield cap 196 at the base of shield 14. Similar to shield assembly 296 of FIG. 24, which includes gas channel 40, a cooling or heating fluid flows up into shield 14 through at least one hollow shield support leg 306, which extends through shield cap 196 into cooling/heating channel 304 in shield 14. Shield cooling/heating channel 304 is annular and runs about two-thirds of the way around the base of shield 14. The cooling or heating fluid flows down, out of shield 14, through at least one other hollow shield support leg (not shown), which is similar to hollow shield support leg 306.
Cooling or heating of shield 14 using a fluid flowing in cooling/heating channel 304 also allows improved control of the temperature of gases introduced into process chamber 12 through shield 14. FIG. 26 is a perspective cross-section of an embodiment of a shield assembly 308, including both shield gas channel 40 and shield cooling/heating channel 304, for ALD reactor 100 of FIG. 8. In the embodiment shown in FIG. 26, gas channel 40 is located above cooling/heating channel 304. Hollow shield support leg 306 extends through shield cap 196 into cooling/heating channel 304 to allow fluid flow. Hollow shield support leg 298 extends through shield cap 196 and cooling/heating channel 304 into gas channel 40 to allow gas introduction from shield 14 into process chamber 12 via gas flow orifices 300.
Practitioners will appreciate that shield assembly 308 could include alternative arrangements of gas channel 40 and cooling/heating channel 304, including multiple gas channels 40 and/or multiple cooling/heating channels 304.
Design of particular shield assembly embodiments is extremely flexible, and reactor 100 is designed to facilitate removal, replacement, and use of various shield assemblies. This allows the easy introduction of a shield assembly that might include gas delivery and cooling/heating (i.e., shield assembly 308), or only one of these (i.e., shield assemblies 296 or 302), or neither gas delivery nor cooling/heating, depending on the requirements of the customer and the process.
Electrostatic Chuck Assembly Design
ALD processes in the disclosed embodiments are ion-induced (see, for example, application Ser. No. 09/812,352, application Ser. No. 09/812,486, and application Ser. No. 09/812,285, referenced above), rather than thermally induced, through use of plasma 194 generated in process chamber 12 (FIG. 11 and FIG. 13). This allows deposition at lower temperatures than in conventional ALD systems, allowing replacement of conventional heated susceptors with an electrostatic chuck (ESC) assembly 106 to retain substrate 8. ESC assembly 106 may be further designed for improved temperature control and improved radio frequency (RF) power coupling.
FIG. 27 is a cutaway perspective view of an embodiment of an electrostatic chuck assembly 106 for ALD reactor 100 of FIG. 8. ESC assembly 106 includes in part, an electrostatic chuck (ESC) 6, a cooling plate 110, and a baseplate 112. Cooling plate 110 and baseplate 112 can be shaped as annuli with overlapping central orifices that together define an access port 310, which provides access to a central region of the underside of ESC 6.
Substrate 8 rests on an annular sealing lip 46, peripherally surrounding a top surface 50 of ESC 6. Annular sealing lip 46 holds substrate 8 above surface 50 defining a backside gas volume 48 bounded by surface 50, sealing lip 46, and the backside of substrate 8.
A backside gas is provided to gas volume 48 through a backside gas entry 312 to a backside gas valve 154. Gas valve 154 is located on the exterior underside of reactor 100 at the outer edge of baseplate 112 to provide easy access (FIG. 8 and FIG. 11). The backside gas flows along a backside gas line 54, which runs radially inward along a lower surface of baseplate 112. Gas line 54 curves upward through access port 310 and is attached to the center of the bottom surface of ESC 6 using a backside gas line flange 314. The backside gas flows through a backside gas passageway 56 centrally located in and extending through ESC 6 to gas volume 48. A backside gas line seal 316 inside flange 314 maintains the pressure of gas volume 48. The backside gas plays an important role in the temperature control of substrate 8.
Electrostatic chucks are usually made of a dielectric material (e.g., aluminum nitride AIN, or polyimide). ESC 6 may be designed to have its bulk material effects dominated by the Johnson-Rahbek (JR) effect rather than a coulombic effect, since the JR effect provides a stronger, more efficient electrostatic attraction. A JR ESC typically has a bulk resistivity between 108 and 1012 Ω-cm, while a coulombic ESC generally has a bulk resistivity greater than 1013 Ω-cm.
Embedded in the dielectric material of ESC 6, close to top surface 50, are at least two electrodes. A first electrode 80 and a second electrode 82 are shaped as concentric annular plates made of a conductive material, for example, tungsten or molybdenum. First electrode 80 is biased using a first electrode terminal 318, which is coupled to first electrode 80 and extends down through ESC 6 into access port 310. Second electrode 82 is biased using a separate second electrode terminal (not shown). A DC “chucking” voltage is applied to both first electrode 80 and second electrode 82 to create an electrostatic attraction between substrate 8 and top surface 50 of ESC 6 to retain substrate 8 during processing. Simultaneously, RF bias power is coupled to each electrode 80 and 82 as well. The RF bias power provides the power for plasma and hence ion generation during modulated ion induced atomic layer deposition.
In addition to generating a plasma, the RF bias power also induces a slight negative potential (i.e., a DC offset voltage typically −10V to ≦80V at <150W RF power and 0.1-1 Torr pressure) on substrate 8. The induced voltage defines the ion energy of the positively charged ions in the plasma and attracts the positively charged ions toward the surface of substrate 8. The positively charged ions impinge on the wafer, driving the deposition reaction and improving the density of the deposited film.
A resistive heater 72 is also embedded in ESC 6. Resistive heater 72 is shaped as at least one coil or ribbon that winds throughout ESC 6 in a plane located about midway between electrodes 80 and 82 and the bottom of ESC 6. Heater 72 is controlled via at least one resistive heater terminal 320 coupled to heater 72. Terminal 320 extends down through ESC 6 into access port 310. Thus, ESC 6 is basically a dielectric substrate support with an embedded heater 72 and embedded electrodes 80 and 82 for DC biasing and RF power coupling.
ESC 6 is held in contact with cooling plate 110 using an annular clamp ring 178, which overlaps a clamp land 322 of a surrounding flange at the base of ESC 6. An ESC O-ring 324 creates a vacuum seal between ESC 6 and cooling plate 110. A plurality of clamp ring fasteners 180, each extending through clamp ring 178 into cooling plate 110, secure the connection between ESC 6 and cooling plate 110. A process kit 182, having an annular elbow shape, fully surrounds clamp ring 178 covering a top surface and a side surface of clamp ring 178. Process kit 182 includes a process kit bevel 202 used for centering a shadow ring 28 (FIG. 15) on process kit 182. Process kit 182 may be made of a dielectric material (e.g., aluminum oxide, aluminum nitride, or hard-anodized aluminum) to electrically isolate clamp ring fasteners 180 from ESC 6 and substrate 8. Process kit 182 also protects clamp ring 178 and fasteners 180 from process gases, facilitating cleaning of reactor 100 (FIG. 12).
Cooling plate 110 can be made (e.g., machined) from a variety of thermally conductive materials, for example, aluminum or stainless steel. An upper surface of cooling plate 110 is patterned to create a plurality of small area contacts 326 and a plurality of thermal breaks 184. Contacts 326, which have the form of ridges, contact the bottom surface of ESC 6. Thermal breaks 184 are gaps between ESC 6 and cooling plate 110, which increase the temperature difference between ESC 6 and cooling plate 110. The temperature of cooling plate 110 can be controlled using a fluid (e.g., water) flowing in a plurality of coolant channels 78. Coolant channels 78 are designed to allow the fluid to flow in a largely circular manner at various diameters of cooling plate 110.
A lower surface of cooling plate 110 is attached to an upper surface of baseplate 112. The upper surface of baseplate 112 forms the lower walls of coolant channels 78 in cooling plate 110. Baseplate 112, which may be made of aluminum, provides structural support for ESC assembly 106. Thermal breaks 184 of cooling plate 110 allow maintenance of a significant temperature difference between top surface 50 (which may be near 300° C.) of ESC 6 and a bottom surface of baseplate 112 (which is exposed to air and may be less than 50° C.).
One of a plurality of lift pins 108, which facilitate loading and unloading of substrate 8, is shown in retracted process position, with the tip of lift pin 108 below top surface 50 of ESC 6. Each lift pin 108 extends through a lift pin orifice 328, which includes a plurality of aligned orifices in baseplate 112, cooling plate 110, and ESC 6.
Alternative embodiments of ESC assembly 106 are possible. For example, in some embodiments, at least one peripheral ring of holes can be used to introduce the backside gas, rather than just a centrally located hole, as discussed in more detail below. In addition, in some embodiments, ESC 6 can be replaced with a conventional susceptor to facilitate ALD processes at higher temperatures. Practitioners will appreciate that various other embodiments are possible.
Temperature Control of Electrostatic Chuck Assembly
Temperature control of ESC assembly 106 (FIG. 27) is important for high quality atomic layer deposition. A uniform temperature across a substrate 8 resting on annular sealing lip 46 of ESC 6 promotes uniform chemisorption of precursors. If the temperature of substrate 8 is too high, decomposition or desorption of precursors may occur. If the temperature of substrate 8 is too low, either or both of the chemisorption and the deposition reactions will be impeded.
FIG. 28 is a schematic diagram of a control system 330 for electrostatic chuck (ESC) assembly 106 (FIG. 27) of ALD reactor 100 of FIG. 8. Control system 330 may also be applied to various embodiments of pedestal 4 of ALD reactor 2 of FIG. 1. Control system 330 is an embodiment of control system 44 of FIG. 6, as discussed previously.
Control system 330 is used to establish and maintain a uniform temperature across substrate 8. As shown in FIG. 28, substrate 8 rests on an annular sealing lip 46 defining a backside gas volume 48 between substrate 8 and top surface 50 of ESC 6. A backside gas (e.g., Ar, He, etc.) is usually chosen from among the species in chamber 12 to prevent contamination in the deposited film. The backside gas flows from a backside gas source 52 along a backside gas line 54, through a backside gas passageway 56 in ESC 6, and into gas volume 48.
The backside gas improves the thermal contact between substrate 8 and ESC 6, by providing a medium for thermal energy transfer between substrate 8 and ESC 6. Heat transfer improves with increasing backside gas pressure, up to a saturation limit. Typical ranges are 6-10 Torr for good thermal conductivity. A pressure controller 58 maintains the backside gas at a constant pressure, thus ensuring constant heat transfer and uniform substrate temperature. In practice, annular sealing lip 46 may take the form of several islands scattered across top surface 50 of ESC 6. This introduces a leak rate of the backside gas that must be taken into account.
The temperature of substrate 8 is modulated by heating or cooling ESC 6. A temperature sensor 60 (e.g., a thermocouple or optical infrared sensor) is coupled via a sensor connection 62 to a temperature monitor 64 in a closed loop feedback control circuit 332. A temperature setpoint signal is also provided to monitor 64 via a setpoint electrical connection 334. A temperature controller 66 creates a signal that is amplified through a power amplifier or modulator 336 and applied via an electrical connection 70 to a resistive heater terminal 320 (FIG. 27), which is coupled to a resistive heater 72 embedded in ESC 6. A coolant temperature and flow controller 74, as is widely known, controls the fluid from a coolant supply 76 as it flows in a plurality of coolant channels 78 in pedestal 4 (or in ESC assembly 106 in FIG. 12 and FIG. 13).
Control system 330 is designed to control the temperature of substrate 8, by heating and/or cooling, for a wide range of power and temperature. Temperature control can be accomplished by various techniques, including regulating the backside gas pressure, heating ESC 6 directly with resistive heater 72, or regulating the temperature and/or flow of fluid in coolant channels 78. The temperature of substrate 8 can thus be periodically or continuously varied during the deposition process to meet different process demands. Additional information regarding temperature control in atomic layer deposition may be found in related U.S. application Ser. No. 09/854,092, entitled “Method And Apparatus For Improved Temperature Control In Atomic Layer Deposition,” filed May 10, 2001.
Alternative embodiments of control system 330 of FIG. 28 are possible. For example, the temperature control system of circuit 332 may have various embodiments. In addition, temperature sensor 60 may have various embodiments. Temperature sensor 60 may be a thermocouple that measures the temperature of ESC 6. Temperature sensor 60 may be a pyrometer device that optically measures the temperature of the backside of substrate 8. Or, temperature sensor 60 could take other equivalent forms.
In some embodiments of control system 330 of FIG. 28, an alternative energy source may be included as another option to control the temperature of substrate 8. FIG. 29 is a schematic diagram of a control system 338, including an alternative energy source 340, for pedestal 4 of reactor 2 (FIG. 1) or for ESC assembly 106 (FIG. 27) of ALD reactor 100 (FIG. 8). Control system 338 is similar to control system 44 (FIG. 6) and control system 330 (FIG. 28), as discussed previously. Alternative energy source 340 is located outside of pedestal 4 (or ESC assembly 106) near the top of chamber 12 and may include radiation from lamps, a plasma, or another source. Alternative energy source 340 could be controlled, for example, by regulating the power to the lamps or plasma. Alternative energy source 340 could be used alone, or in conjunction with one or more of resistive heater 72, the fluid in coolant channels 78, or the pressure of the backside gas in gas volume 48.
In some embodiments, an additional cooling source may be added to control system 330 of FIG. 28 to improve the cooling capacity and/or performance. The additional cooling source could be a refrigeration system, a heat pipe, a refrigerated liquid or gas coolant system, or other equivalent system.
In some embodiments of control system 330 of FIG. 28, the backside gas may be introduced to gas volume 48 through multiple orifices rather than just a centrally located orifice. FIG. 30 is a perspective view of an embodiment of a portion 342 of an ESC assembly 106 (FIG. 27) for ALD reactor 100 of FIG. 8. ESC 6 includes a central orifice 344 as well as a peripheral ring of orifices 346 located near the periphery of substrate 8. Various embodiments of ESC 6 may include either or both of orifice 344 and orifices 346. Orifices 346 result in improved pressure uniformity between substrate 8 and ESC 6, which results in improved temperature uniformity across substrate 8. An additional peripheral ring of orifices (not shown) can be added outside of orifices 346 to ensure a constant pressure gradient at the edge of substrate 8. The additional ring of orifices would also serve as an edge purge to prevent reactive gases from entering gas volume 48 (FIG. 28) and causing deposition on the backside of substrate 8.
In some embodiments of control system 330 of FIG. 28, pressure controller 58 may be replaced by, for example, a flow regulator such as a metering valve or mass flow controller. In still other embodiments, an actuation valve can be added between pressure controller 58 and backside gas volume 48 to isolate pressure controller 58 and gas source 52 from process chamber 12 during a substrate transfer. This valve may additionally be used to stop the flow of backside gas to reduce its pressure, allowing the substrate to “de-chuck” without “popping” (shifting) when electrodes 80 and 82 in ESC 6 are de-powered. This valve may additionally be used in conjunction with a pump to more quickly reduce the backside gas pressure before “de-chucking” substrate 8.
Practitioners will appreciate that various other embodiments of control system 330 and its various constituents are possible.
Electrical Biasing and Plasma Generation Using Electrostatic Chuck Assembly
FIG. 31 is a schematic diagram of a circuit 348 for electrical biasing of electrostatic chuck (ESC) 6 of ESC assembly 106 (FIG. 27) of ALD reactor 100 of FIG. 8. Circuit 348 may also be applied to various embodiments of ESC 6 of pedestal 4 of ALD reactor 2 of FIG. 1. Circuit 348 is an alternative embodiment to circuit 84 of FIG. 7, as discussed previously.
As shown in FIG. 31, ESC 6 includes at least a first electrode 80 and a second electrode 82. One possible embodiment of the electrode geometry of first and second electrodes 80 and 82 (shown schematically in FIG. 31) is shown in FIG. 27, where first and second electrodes 80 and 82 are shown as concentric annular plates. A double D (i.e., mirror imaged) configuration for electrodes 80 and 82 can also be used. In FIG. 31, first and second electrodes 80 and 82 are each biased with a DC voltage. RF bias power is also coupled to both electrodes 80 and 82. Embedding electrodes 80 and 82 in ESC 6 allows improved RF power coupling to substrate 8 with maximum uniformity and minimal power loss, compared to applying RF power to cooling plate 110 (or baseplate 112) upon which ESC 6 sits (FIG. 27). This is because electrodes 80 and 82 in ESC 6 are close to substrate 8, while cooling plate 110 (and baseplate 112) are comparatively far from substrate 8.
First electrode 80 and second electrode 82 are biased with different DC potentials to provide the “chucking” action that holds substrate 8 to ESC 6 prior to plasma ignition and during deposition. As shown in FIG. 31, first electrode 80 is coupled via a serial coupling of a first inductor 88 and a first load resistor 350 to one terminal of a DC power supply 86. Second electrode 82 is coupled via a serial coupling of a second inductor 90 and a second load resistor 352 to the other terminal of DC power supply 86.
A third capacitor 354 is coupled between one terminal of inductor 88 and a ground terminal 94. A fourth capacitor 356 is coupled between the other terminal of inductor 88 and ground terminal 94. A fifth capacitor 358 is coupled between one terminal of inductor 90 and ground terminal 94. A sixth capacitor 360 is coupled between the other terminal of inductor 90 and ground terminal 94. Inductor 88 and capacitors 354 and 356 together form an RF trap circuit 362, which filters RF from the DC bias. Similarly, inductor 90 and capacitors 358 and 360 together form another RF trap circuit 362.
RF power is also supplied to both first electrode 80 and second electrode 82 using an RF generator 92 with one terminal coupled to ground terminal 94. A third inductor 364 is coupled between the other terminal of RF generator 92 and one terminal of a first variable capacitor 366. The other terminal of variable capacitor 366 is coupled to one terminal of a first capacitor 96 and to one terminal of a second capacitor 98. The other terminal of capacitor 96 is coupled to first electrode 80. The other terminal of capacitor 98 is coupled to second electrode 82. A second variable capacitor 368 is coupled across the terminals of RF generator 92, between one terminal of inductor 364 and ground terminal 94. Inductor 364 and capacitors 366 and 368 together form an RF impedance matching circuit 370, which minimizes the reflected power to RF generator 92.
Circuit 348 of FIG. 31 allows simultaneous application of a DC “chucking” voltage and of an RF power for plasma generation during processing. The same RF power is used to create plasma 194 above substrate 8 (FIG. 13) and to generate a negative, induced DC bias on substrate 8. RF power can be used since the breakdown voltage required to generate plasma 194 using RF power is far lower than in the DC case (e.g., 100V vs. 300-400V) for a given Paschen curve of pressure-distance product (P×d). In addition, a stable DC bias can be induced using RF power. Of course, it is possible to generate plasma 194 using a high DC voltage instead of RF power, with appropriate modifications to the biasing hardware (see, for example, the discussion of FIG. 40 below).
In FIG. 31, coupling RF power to electrodes 80 and 82 allows a uniform potential to build across substrate 8 while employing low RF powers, for example, 50W to 150W, which is less than the 350W to 600W required in conventional plasma reactors. The frequency of the RF bias power can be 400 kHz, 13.56 MHz, or higher (e.g., 200 MHz). The low frequency, however, can lead to a broad ion energy distribution with high energy tails which may cause excessive sputtering. The higher frequencies (e.g., 13.56 MHz or greater) lead to tighter ion energy distributions with lower mean ion energies, which is favorable for modulated ion-induced ALD deposition processes. The more uniform ion energy distribution occurs because the bias polarity switches before ions can impinge on substrate 8, such that the ions see a time-averaged potential.
In conventional plasma reactors, RF power is applied to the top boundary of the process chamber, usually a showerhead. This causes sputtering of the top boundary, which is a major source of impurity incorporation (typically aluminum or nickel) and/or particulate incorporation in conventionally deposited films. The sputtering also transfers kinetic energy to the reactor structure, heating it considerably and requiring active cooling of the reactor structure.
In the present embodiments, RF power is applied to electrodes 80 and 82 (FIG. 31) embedded in ESC 6 of ESC assembly 106 of ALD reactor 100 (FIG. 12), rather than to showerhead 172 (FIG. 13). This minimizes sputtering of showerhead 172 and allows better control of the bias induced on substrate 8. It also avoids excessive heating of chamber lid 10, minimizing any cooling requirements.
Referring to FIG. 13, showerhead 172 and shield 14 are grounded so that the higher plasma sheath voltage drop is localized mostly on substrate 8 where deposition takes place. This is because the voltage ratio Vhot/Vcold is proportional to the respective electrode areas according to (Acold/Ahot)n, where n is greater than one. Vhot is the plasma sheath voltage drop at the powered, or “hot,” electrode, that is, ESC 6 of ESC assembly 106. Vcold is the voltage drop at the non-powered, or “cold,” electrode, that is, showerhead 172 and shield 14. The combined areas of showerhead 172 and shield 14 can be jointly considered as the area of the cold electrode. This is because the small volume of process chamber 12 results in a showerhead 172 to ESC 6 spacing that is small (nominally 0.3 to 0.6 inches) so that the powered electrode can “see” showerhead 172 and shield 14 as a single ground reference. Taken together, these combined areas are larger than the area of substrate 8, or the area of the hot electrode. Thus, for this reactor, Acold/Ahot>1.
In addition, by applying RF power to ESC 6 via electrodes 80 and 82 (FIG. 31), a low RF power can be used to simultaneously generate plasma 194 (FIG. 13) and to keep the energy of the impinging ions from plasma 194 low and controlled. The ion energy is given by E=e|Vp|+e|Vbias|, where Vp is the plasma potential and Vbias is the bias voltage induced on substrate 8. The induced bias voltage is controlled by the applied RF power. The induced bias voltage increases with increasing RF power and decreases with decreasing RF power. Increasing the RF power also generally increases the number of ions generated. Referring to FIG. 13, cooling plate 110 and baseplate 112 are grounded. Therefore, each clamp ring fastener 180 is also grounded. Process kit 182, which is made of an insulating material, electrically shields fasteners 180 so that plasma 194 is not affected by the ground voltage of fasteners 180.
Plasma 194 can be controlled in a variety of ways. For example, plasma 194 can be controlled by varying the applied RF power. In some alternative embodiments of circuits for electrical biasing of ESC 6 of ALD reactor 100 (FIG. 12 and FIG. 13), a switch may be included, for example, in RF impedance matching circuit 370 or with RF generator 92 (FIG. 31). FIG. 32 is a schematic diagram of a circuit 372, including an RF match switch 374 in RF impedance matching circuit 370, for electrical biasing of ESC 6. FIG. 33 is a schematic diagram of a circuit 376, including an RF supply switch 378 in an RF power supply 380 (which also includes RF generator 92), for electrical biasing of ESC 6. Circuit 372 (FIG. 32) and circuit 376 (FIG. 33) are similar to circuit 348 (FIG. 31), except for switches 374 and 378. Switches 374 and 378 can be opened to isolate RF generator 92, or switches 374 and 378 can be closed to apply RF power to electrodes 80 and 82. Switches 374 and 378 enable a plasma response time in the 100 ms time range.
Plasma 194 (FIG. 13) can also be controlled by varying gas pressure while using, for example, circuit 348 of FIG. 31 with an RF power constantly applied to electrodes 80 and 82. Referring to FIG. 15, FIG. 16, and FIG. 17, as discussed previously, shield 14 forms a shield conductance upper path 22 with showerhead 172 and chamber lid 10. Shield 14 also forms a shield conductance lower path 24 with shadow ring 28. The conductances of upper and lower paths 22 and 24 are varied by precision movement of shield 14 by linear motor 122 (FIG. 8).
The conductances of upper and lower paths 22 and 24 directly affect the pressure in process chamber 12 and can be used to vary that pressure. For example, a high pressure (i.e., relative to the pressure of annular pumping channel 20) can be established in chamber 12 using a low conductance process shield position 210 as shown in FIG. 15. High pressure will strike plasma 194 (FIG. 13) given a favorable ambient in chamber 12. A low pressure can be established in chamber 12 using a purge shield position 214, as shown in FIG. 17, to expose chamber 12 to annular pumping channel 20. Low pressure will effectively terminate plasma 194 since not enough gas phase collisions will occur to sustain plasma 194. Applying RF power to electrodes 80 and 82 at pressures that will not strike or sustain plasma 194 will cause 100% reflection of the output power from RF generator 92 (FIG. 31). Thus, RF generator 92 should be capable of absorbing this power without detrimental effects.
Plasma 194 (FIG. 13) can also be controlled by a combination of varying gas pressure and applied RF power. For example, plasma 194 may be ignited by a high pressure and favorable ambient in chamber 12. Plasma 194 may be terminated by a switch, such as switch 374 in circuit 372 of FIG. 32 or switch 378 in circuit 376 of FIG. 33.
Practitioners will appreciate that various other embodiments of circuit 348 of FIG. 31 and its various constituents, for electrical biasing of ESC 6, are possible. For example, multiple RF sources may be utilized.
ALD Processes: Background and Novel Processes
FIG. 34 is a schematic illustration of a conventional ALD process. In a typical ALD cycle, which usually includes four steps, each precursor (or reactant) is introduced sequentially into the chamber, so that no gas phase intermixing occurs. First, a first gaseous precursor 382 (labeled Ax) is introduced into the deposition chamber, and a monolayer of the reactant is chemisorbed (or physisorbed) onto the surface of a substrate 8 forming a chemisorbed precursor A 384 as shown in FIG. 34(a). A free ligand x 386 is created by the chemisorption of precursor Ax 382. Second, excess gaseous precursor Ax 382 and ligands x 386 are pumped out, possibly with the aid of an inert purge gas, leaving the monolayer of chemisorbed precursor A 384 on substrate 8 as shown in FIG. 34(b).
Third, a second gaseous precursor 388 (labeled By) is introduced into the deposition chamber. Precursor By 388 reacts with chemisorbed precursor A 384 on substrate 8 as shown in FIG. 34(c) in a self-limiting surface reaction. The self-limiting reaction halts once initially adsorbed precursor A 384 fully reacts with precursor By 388. Fourth, excess gaseous precursor By 388 and any reaction by-products are pumped out, again possibly with the aid of an inert purge gas, leaving behind an AB monolayer 390 of the desired thin film as shown in FIG. 34(d). A desired film thickness is obtained by repeating the deposition cycle as necessary. The film thickness can be controlled to atomic layer (i.e., angstrom scale) accuracy by simply counting the number of deposition cycles.
ALD processes, however, are slower than traditional deposition techniques such as CVD and PVD. In order to improve throughput, shorter deposition cycles are desirable. One way to shorten the deposition cycle is to shorten the durations of the individual precursor and pump/purge steps. The individual pulse lengths, however, cannot be arbitrarily decreased. The first precursor pulse must be long enough to form an adsorbed layer of the first precursor on the substrate. The second precursor pulse must be long enough to allow complete reaction between the first and second precursors. The pump/purge pulses in between the precursor pulses must be long enough so that gas phase intermixing of the precursors does not occur. Gas phase intermixing can lead to gas phase reactions and/or particle formation, each of which can cause quality and reliability problems in the deposited film.
FIG. 35 is a schematic illustration of a novel ALD process. One deposition cycle includes two steps, rather than four, which improves process throughput and repeatability. In the base process, a substrate 8 is maintained at a precise temperature that promotes chemisorption rather than decomposition.
In the first step, a gaseous precursor 392 is introduced into the process chamber. Gaseous precursor 392 includes the desired thin film species (P) bonded with a plurality of ligands (L). Species P may be a single element (e.g., Ti, W, Ta, Cu) or a compound (e.g., TiNx, TaNx, or WNx). In the novel ALD process, a molecule of gaseous precursor 392 interacts with a surface bond 394 to form a chemisorbed precursor 396 via a chemical bonding process that may create a plurality of free ligands 398 as shown in FIG. 35(a). As a result of the first step, a monolayer of chemisorbed precursor 396 is formed on substrate 8 as shown in FIG. 35(b).
In the second step, an inert purge gas is introduced into the process chamber to purge excess gaseous precursor 392. The purge gas may include, for example, argon (Ar), diatomic hydrogen (H2), and other optional species such as helium (He). RF power is applied (e.g., using a computer synchronized switch) during this second step to generate a plasma 194 in the process chamber, or the plasma is struck by an increased gas pressure under constant RF power. As shown in FIG. 35(c), plasma 194 includes a plurality of energetic ions 400 (e.g., Ar+ ions) and a plurality of reactive atoms 402 (e.g., H atoms). Some of reactive atoms 402 may actually be ions.
Ions 400 and atoms 402 impinge on the surface of substrate 8. Energetic ions 400 transfer energy to substrate 8, allowing reactive atoms 402 to react with chemisorbed precursor 396 and to strip away unwanted ligands (which form a plurality of volatile ligands 404) in a self-cleaning process. Reactive atoms 402, in conjunction with energetic ions 400, may thus be considered to act as a “second” precursor. When the plasma power is terminated, a monolayer 406, usually about one atomic layer of the desired species P, is left on substrate 8 as shown in FIG. 35(d). This two-step deposition cycle can be repeated as needed until the desired film thickness is achieved. The film thickness deposited per cycle depends on the deposited material. Typical film thicknesses range from 10-150 Å.
Typical precursors for tantalum (Ta) compounds include PDEAT [pentakis(diethylamido)tantalum], PEMAT [pentakis(ethylmethylamido)tantalum], TaBr5, TaCI5, and TBTDET [t-butylimino tris(diethylamino)tantalum]. Typical precursors for titanium (Ti) compounds include TiCI4, TDMAT [tetrakis(dimethylamido)titanium], and TDEAT [tetrakis(diethylamino)titanium]. Typical precursors for copper (Cu) compounds include CuCl and Cupraselect® [(trimethylvinylsilyl)hexafluoroacetylacetonato copper I]. Typical precursors for tungsten (W) compounds include W(CO)6 and WF6. In contrast to conventional ALD processes, organometallic precursors can be used in novel ALD processes.
The purge pulse includes gas, or gases, that are inert (e.g., argon, hydrogen, and/or helium) to prevent gas phase reactions with gaseous precursor 392. Additionally, the purge pulse can include the same gas, or gases, needed to form energetic ions 400 (e.g., Ar+ ions) and reactive atoms 402 (e.g., H atoms). This minimizes the gas switching necessary for novel ALD processes. Acting together, reactive atoms 402 react with chemisorbed precursor 396, while energetic ions 400 provide the energy needed to drive the surface reaction. Thus, novel ALD processes can occur at lower temperatures (e.g., T<300° C.) than conventional ALD processes (e.g., T˜400-500° C.). This is especially important for substrates that already include low thermal stability materials, such as low-k dielectrics.
Since the activation energy for the surface reaction is provided by energetic ions 400 created in plasma 194 above substrate 8, the reaction will not generally occur without the energy provided by ion bombardment because the process temperature is kept below the temperature required for thermal activation. Thus, novel atomic layer deposition processes are ion-induced, rather than thermally induced. The deposition reaction is controlled by modulation of the energy of energetic ions 400, by modulation of the fluxes of energetic ions 400 and reactive atoms 402 impinging on substrate 8, or by modulation of both energy and fluxes. The energy (e.g., 10 eV to 100 eV) of energetic ions 400 should be high enough to drive the surface reaction, but low enough to prevent significant sputtering of substrate 8.
Timing diagrams for (a) a typical prior art ALD process and (b) a novel ALD process are compared in FIG. 36. FIG. 36(a) shows that one deposition cycle in a conventional ALD process includes a first precursor pulse 408, a purge/pump pulse 410, a second precursor pulse 412, and another purge/pump pulse 410. Each pulse is followed by a delay 414, which has a duration that is usually non-zero. Delays 414, during which only pumping occurs and no gases flow, are additional insurance against gas phase intermixing of first precursor pulse 408 and second precursor pulse 412. Delays 414 also provide time to switch gases with conventional valve systems.
The durations of first and second precursor pulses 408 and 412 may be between 200 ms and 15 sec. The duration of purge/pump pulses 410 may be 5-15 sec. The durations of delays 414 may be 200 ms to 5 sec. This results in deposition cycles from 11 sec to 75 sec. Thus, a 50 cycle deposition process could take over one hour.
FIG. 36(b) shows two deposition cycles in the novel ALD process. One deposition cycle includes a first precursor pulse 416 and a purge gas pulse 418. Each pulse is followed by a delay 420. The elapsed time of one deposition cycle is significantly shorter in accordance with the novel process when compared to conventional ALD processes, thereby increasing process throughput.
Process throughput can be further increased if delays 420 have zero length. Zero-length delays can be accomplished using three-way valves (in particular showerhead three-way valve 148 of FIG. 8) or a similar configuration of on/off valves and fittings, which allow fast gas switching. Delays 420 of zero length are further facilitated in novel ALD processes by effective use of purge gas pulse 418, which may include a mixture of more than one gas. For example, the purge gas may include the “second” precursor source gas(es) (i.e., as shown in FIG. 35(c), reactive atoms 402, acting in conjunction with energetic ions 400, created during purge gas pulse 418). Additionally, the carrier gas for the first precursor (i.e., flowing during first precursor pulse 416) may be one of the source gases of the “second” precursor.
Practitioners will appreciate that alternative embodiments of novel ALD processes are possible. For example, in some embodiments, multiple precursors for compound thin films might be employed. In other embodiments, the deposition cycle of FIG. 36(b) might begin with a purge gas pulse 418, including a plasma, used as an in-situ clean to remove carbon-containing residues, native oxides, or other impurities. In these embodiments, reactive atoms 402 (e.g., H atoms in FIG. 35(c)) react with carbon and oxygen to form volatile species (e.g., CHx and OHx species). Energetic ions 400 (e.g., Ar+ and/or He+ ions in FIG. 35(c)) improve dissociation (e.g., of H2) and add a physical clean (e.g., via sputtering by Ar+ ions generated in the plasma). In still other embodiments, reactive atoms 402 may not be needed and plasma 194 may not include reactive atoms 402.
Additional information regarding in-situ cleaning in atomic layer deposition may be found in related U.S. Provisional Application Ser. No. 60/255,812, entitled “Method For Integrated In-Situ Cleaning And Subsequent Atomic Layer Deposition Within A Single Processing Chamber,” filed Dec. 15, 2000.
Alternative Novel ALD Processes
The novel ALD process described previously may be modified to further increase performance. Alternative novel ALD processes may address faster purging of precursors, rapid changes in the conductance of the process chamber, state-based changes from one step to the next, self-synchronization of the process steps, and/or various plasma generation and termination options. Such alternatives can be used to further decrease the length of a deposition cycle, thereby increasing throughput.
For example, in some novel ALD process embodiments, it is desirable to quickly purge a gaseous precursor 392 from the process chamber after formation of a monolayer of chemisorbed precursor 396 on substrate 8 (FIG. 35(b)). This can be accomplished using the in-process tunable conductance achieved by shield 14 (FIG. 13), which can be moved during the deposition cycle. Referring to FIG. 15, FIG. 16, and FIG. 17, as discussed previously, shield 14 forms shield conductance upper path 22 with showerhead 172 and chamber lid 10. Shield 14 also forms shield conductance lower path 24 with shadow ring 28. The conductances of upper and lower paths 22 and 24 are varied by precision movement of shield 14 by linear motor 122 (FIG. 8).
It is possible, therefore, to rapidly increase the chamber conductance by lowering shield 14 after exposing substrate 8 to gaseous precursor 392. For example, a purge shield position 214 may be used (FIG. 17). Lowering shield 14 opens up shield conductance upper and lower paths 22 and 24 to annular pumping channel 20. The low pressure of pumping channel 20 will hasten removal of excess gaseous precursor 392, and by-products such as free ligands 398 (FIG. 35(b)), from process chamber 12. Simultaneously, the purge gas (e.g., Ar, H2, and/or He) is flowed to assist in purging excess gaseous precursor 392 and by-products from chamber 12. Lowering shield 14 also leads to a drop in the pressure in chamber 12 through exposure of chamber 12 to annular pumping channel 20. Shield 14 can then be moved back up, for example, to a position similar to shield position 212 of FIG. 16, to decrease the conductance and raise the pressure in chamber 12 (assuming constant gas flow) in order to strike plasma 194 (FIG. 35(c)).
In particular, plasma 194 can be generated while using, for example, circuit 348 of FIG. 31. Application of RF power may be synchronized (e.g., by computer control) with the position of shield 14 (FIGS. 15-17) to generate plasma 194 in chamber 12 (FIG. 13). Alternatively, if RF bias power is constantly applied to electrodes 80 and 82 using circuit 348 (FIG. 31), high pressure (i.e., relative to the pressure of annular pumping channel 20) in process chamber 12 can be used to trigger plasma 194 (FIG. 13). Low pressure (i.e., near the pressure of annular pumping channel 20) will effectively terminate plasma 194 since not enough collisions will occur to sustain plasma 194.
FIG. 37 shows timing diagrams for an alternative ALD process embodiment, as discussed above. FIG. 37(a) shows two deposition cycles including a first precursor pulse 416 followed by a purge gas pulse 418 with zero length delays after each pulse. FIG. 37(b) shows the corresponding chamber conductance. Each one of a plurality of low conductance periods 422 (corresponding to raised shield positions) is separated from another by one of a plurality of high conductance periods 424 (corresponding to lowered shield positions). High conductance periods 424 occur at the beginning and end of each purge gas pulse 418 to assist in purging chamber 12 (FIG. 13) of resident gases.
FIG. 37(c) shows the corresponding pressure in chamber 12 (FIG. 13). A low conductance period 422 results in a high pressure period 426. A high conductance period 424 results in a low pressure period 428. FIG. 37(c) also shows a plurality of “plasma on” periods 430 and a plurality of “plasma off” periods 432. Plasma on periods 430 occur during each high pressure period 426 during purge gas pulses 418. As discussed, the RF power to generate plasma 194 (FIG. 13) may be synchronized with the shield position. Alternatively, the plasma can be ignited by high pressure (in the presence of the purge gas) and terminated by low pressure, while RF bias power is constantly supplied to electrodes 80 and 82 embedded in ESC 6 (FIG. 31).
Conventional ALD hardware and processes rely on the precise timing of the individual precursor pulses 408 and 412 and purge/pump pulses 410 (FIG. 36(a)) to decrease the deposition cycle length and ensure proper process performance. These time-based processes rely on several assumptions including that steady state conditions exist, that all ALD reactors behave similarly, and that all gases and processes are “on time.”
In contrast, some novel ALD process embodiments can use a state-based approach, rather than a time-based approach, to synchronize the individual pulses. This can provide self-synchronization of the individual pulses for improved process speed, control, and reliability. Instead of introducing a next gas pulse (with a fixed duration) a predetermined time after the introduction of the previous fixed duration gas pulse, subsequent gas pulses can be triggered based upon a change in the pressure state of process chamber 12 (FIG. 13). This can be accomplished using a pressure switch mounted in chamber body 18 capable of sensing changes in the pressure of process chamber 12. The pressure can be modulated via the in-process tunable conductance, achieved by a shield 14 that can be moved during the deposition cycle, as described previously.
FIG. 38 shows timing diagrams for another alternative embodiment of a novel ALD process. The ALD process of FIG. 38 is similar to the ALD process of FIG. 37, but it has an alternate plasma termination technique. Accordingly, to avoid redundancy, the discussion focuses on differences in the embodiments.
In the ALD process of FIG. 38, shield 14 is lowered only after each precursor pulse 416 to assist in purging excess gaseous precursor 392 and free ligands 398 from chamber 12 (see also FIG. 17 and FIG. 35(b)). The number of high conductance periods 424 in FIG. 38(b), corresponding to low pressure periods 428 in FIG. 38(c), is reduced. Thus, a low conductance period 434 in FIG. 38(b) (corresponding to a high pressure period 436 in FIG. 38(c)) extends from purge gas pulse 418 into the following precursor pulse 416 in FIG. 38(a). In this embodiment, the plasma is ignited by, or synchronized with, the high pressure in chamber 12 (FIG. 13). Plasma on periods 430 occur during each high pressure period 436 during purge gas pulses 418. Plasma 194 (FIG. 13) is terminated for subsequent plasma off periods 432 (during precursor pulses 416) by a means other than pressure change, which may include, for example, disconnecting the RF power using a switch or setting the RF output power to zero. A switch could be located, for example, in RF impedance matching circuit 370 or in RF power supply 380 (FIG. 32 and FIG. 33). Actuation of such a switch would be synchronized with the deposition steps by, for example, a computer.
Novel Chemisorption Technique for ALD Processes
The chemisorption of a gaseous precursor (e.g., precursor 392 in FIG. 35(a)) onto a substrate 8 may be improved by biasing substrate 8 during first precursor pulse 416 (FIG. 36(b)). As discussed previously with reference to FIG. 35(a), when a molecule of gaseous precursor 392 arrives at substrate 8, which is heated, a weakly bonded ligand will cleave off of the molecule, forming free ligand 398. This actually leaves the precursor molecule with a net charge (either positive or negative). An opposite-polarity, low DC bias (e.g., |50V|<|Vbias|<0V) applied to substrate 8 will attract the charged precursor molecule to substrate 8 and orient it so that the desired atom is bonded to substrate 8 to form chemisorbed precursor 396. The lowest possible bias (e.g., |10V|<|Vbias<0V) that generates a moment on the charged precursor molecule is desirable to correctly orient the charged precursor molecule with minimal charging of substrate 8.
This novel chemisorption technique for ALD processes promotes uniform and complete (i.e., saturated) chemisorption with a specified orientation on dielectric and metallic surfaces so that high quality, reproducible layer-by-layer growth can be achieved using ALD. The novel chemisorption technique is particularly effective for the first few precursor monolayers, where, in the absence of this technique, precursor molecules may chemisorb with a random orientation. This method is also particularly effective in the case of organometallic precursors such as those mentioned previously.
FIG. 39 is a schematic illustration of the novel chemisorption technique for ALD processes to deposit thin films, for example, for copper interconnect technology. Two thin films used in copper interconnect technology are a barrier/adhesion layer and a copper seed layer. FIG. 39(a) illustrates chemisorption of TaN, a typical barrier/adhesion layer material. In the case of a precursor TBTDET 438, the But ligand may cleave. A now negatively charged precursor 440 then orients with a negatively charged nitrogen 442 (e.g., the N−1) toward substrate 8, which is positively biased, for chemisorption. If an NEt2 ligand is cleaved instead, then the Ta becomes positively charged and a negative bias applied to substrate 8 would orient the Ta toward substrate 8 for chemisorption.
FIG. 39(b) illustrates chemisorption of Cupraselect® (CuhfacTMVS), a typical copper seed layer material. In the case of a precursor CuhfacTMVS 444, the TMVS ligand is cleaved. A now positively charged precursor 446 then orients with a positively charged copper 448 (e.g., the Cu+1) toward substrate 8, which is negatively biased, for chemisorption.
In some embodiments, the novel chemisorption technique may include an in-situ clean prior to introduction of the first precursor to promote high quality film deposition. As discussed above in reference to FIG. 36(b), a purge gas pulse 418 (e.g., including Ar, H2 and/or He) can be used as an in-situ clean to remove carbon-containing residues, native oxides, or other impurities (see, for example, application Ser. No. 60/255,812, referenced above). Removing native oxides from metal layers is especially important for low resistance and good mechanical adhesion of the film to substrate 8 (FIG. 39). H atoms can react with carbon and oxygen to form volatile species (e.g., CHx and OHx species). Ar+ or He+ ions improve dissociation (e.g., of H2) and add a physical clean (e.g., via sputtering by Ar+ ions generated in the plasma). The gas ratios can be tailored to alter the physical versus chemical components of the in-situ clean.
FIG. 40 is a schematic diagram of a circuit 450 for electrical biasing of ESC 6 of ALD reactor 100 (FIG. 12) for the novel chemisorption technique described above. The use of ESC 6 helps provide a uniform bias to substrate 8 (FIG. 39). Circuit 450 of FIG. 40 is similar to circuit 372 of FIG. 32 and circuit 376 of FIG. 33. Accordingly, to avoid redundancy, the discussion will focus on differences between circuit 450 and circuits 372 and 376.
In FIG. 40, with the RF power from RF generator 92 decoupled by opening an RF power switch 452, a first DC power supply 454 and a second DC power supply 456, which are serially coupled matching supplies, perform the function of DC power supply 86 in FIGS. 32 and 33 to maintain the potential difference between electrodes 80 and 82. This potential difference provides the “chucking” action that holds substrate 8 (FIG. 39) to ESC 6. Serially coupled between the common node (labeled A) of DC power supplies 454 and 456 and a ground terminal 458 are a current suppression resistor 460, a DC power switch 462, and a DC reference voltage source 464. Ground terminal 458 may be the same ground reference as ground terminal 94.
With DC power switch 462 closed, the reference voltage of electrodes 80 and 82 (and therefore of substrate 8 during chemisorption as shown in FIG. 39) is established by DC reference voltage source 464. Current suppression resistor 460 limits the current from DC reference voltage source 464. DC reference voltage source 464 is capable of providing a positive or negative voltage, as needed for biasing substrate 8 (FIG. 39). The voltage level provided by DC reference voltage source 464 may additionally reduce the time required to chemisorb a complete monolayer. This may allow a reduction in the duration of first precursor pulse 416 (FIG. 36(b)) and/or a reduction in the precursor partial pressure during first precursor pulse 416.
Once chemisorption is complete, DC power switch 462 is opened to isolate voltage source 464 and to electrically float first and second DC power supplies 454 and 456. RF power switch 452 is closed to reconnect RF generator 92. The remainder of the ALD process continues as described previously.
In some embodiments of ALD processes, it is possible to use a circuit similar to circuit 450 of FIG. 40 to generate plasma 194 above substrate 8 (FIG. 13) by biasing ESC 6 using a high DC voltage (e.g., 500 V or higher). In this case, RF generator 92, RF impedance matching circuit 370, and capacitors 96 and 98 would not be used. DC reference voltage source 464 would supply at least two distinct voltages, or switch 462 would alternate between two distinct voltage sources. The first voltage would be a low DC voltage coupled to electrodes 80 and 82 during plasma off periods 432 (FIG. 37). The low DC voltage might be zero volts, or a non-zero low voltage used to orient precursor molecules for improved chemisorption as discussed above. The second voltage would be a high DC voltage coupled to electrodes 80 and 82 during plasma on periods 430 (FIG. 37) to generate plasma 194.
The novel ALD reactor is particularly suitable for thin film deposition, such as barrier layer and seed layer deposition, but the teachings herein can be applied to many other types of reactors and many other types of thin films (e.g., low-k dielectrics, gate dielectrics, optical films, etc.). The foregoing embodiments of the ALD reactor, and all its constituent parts, as well as the ALD processes disclosed herein are intended to be illustrative and not limiting of the broad principles of this invention. Many additional embodiments will be apparent to persons skilled in the art. The present invention includes all that fits within the literal and equitable scope of the appended claims.

Claims (15)

What is claimed is:
1. A method of modulating a pressure in a processing chamber, the process chamber being defined by a process chamber enclosure having a surrounding wall, method comprising the acts of:
creating a pressure differential between the process chamber and a volume exterior to the process chamber;
flowing at least one gas between the process chamber and the volume exterior to the process chamber; and
varying at least one conductance path of the at least one gas by moving at least a portion of the surrounding wall of the process chamber enclosure.
2. The method of claim 1 wherein flowing the at least one gas comprises flowing at least one gas in an atomic layer deposition process.
3. The method of claim 1 wherein flowing the at least one gas comprises flowing in a cyclic process a first gas followed by a second gas, the second gas being different from the first gas.
4. The method of claim 1 wherein flowing at least one gas comprises flowing the at least one gas through gas channel openings in the portion of the process chamber enclosure.
5. The method of claim 1 wherein the process chamber comprises a chamber top, and wherein flowing at least one gas comprises flowing the at least one gas through gas channel openings in the chamber top.
6. The method of claim 1 wherein the portion of the process chamber enclosure forms a lateral wall of the process chamber enclosure.
7. The method of claim 1 wherein the at least one conductance path comprises a first variable gas conductance path and a second variable conductance path.
8. The method of claim 1 wherein moving the portion of the process chamber enclosure comprises changing a gap between the portion of the process chamber enclosure and another part of the process chamber enclosure, the gap forming at least part of the conductance path.
9. The method of claim 1 wherein moving the portion of the process chamber enclosure comprises changing a length of a restricted region between the portion of the process chamber enclosure and another part of the process chamber enclosure, the restricted region forming at least part of the conductance path.
10. The method of claim 1 wherein moving the portion of the process chamber enclosure comprises moving the portion of the process chamber enclosure in a direction perpendicular to a surface of a substrate within the process chamber.
11. The method of claim 1 further comprising using an electrostatic chuck to retain a substrate within the process chamber.
12. The method of claim 11 wherein the chuck is located at a bottom of the process chamber.
13. The method of claim 1 further comprising cooling the portion of the process chamber enclosure by flowing a coolant through at least one cooling channel within the portion of the process chamber enclosure.
14. The method of claim 1 further comprising using a linear motor to move the portion of the process chamber enclosure.
15. The method of claim 1 further comprising introducing a substrate into the process chamber through a substrate entry opening, the entry opening allowing access to the process chamber only when the portion of the process chamber enclosure is in a first position.
US09/902,080 2000-12-15 2001-07-09 Variable gas conductance control for a process chamber Expired - Lifetime US6800173B2 (en)

Priority Applications (14)

Application Number Priority Date Filing Date Title
US09/902,080 US6800173B2 (en) 2000-12-15 2001-07-09 Variable gas conductance control for a process chamber
US09/970,867 US20020144657A1 (en) 2001-04-05 2001-10-03 ALD reactor employing electrostatic chuck
US10/000,382 US20020073924A1 (en) 2000-12-15 2001-10-24 Gas introduction system for a reactor
US09/999,532 US20020076507A1 (en) 2000-12-15 2001-10-24 Process sequence for atomic layer deposition
US10/004,488 US20020076481A1 (en) 2000-12-15 2001-10-24 Chamber pressure state-based control for a reactor
US09/999,499 US20020144655A1 (en) 2001-04-05 2001-10-24 Gas valve system for a reactor
US10/000,825 US20020144786A1 (en) 2001-04-05 2001-10-24 Substrate temperature control in an ALD reactor
US09/999,636 US6630201B2 (en) 2001-04-05 2001-10-24 Adsorption process for atomic layer deposition
US10/027,592 US7189432B2 (en) 2000-12-15 2001-12-19 Varying conductance out of a process region to control gas flux in an ALD reactor
PCT/US2002/009999 WO2002081771A2 (en) 2001-04-05 2002-03-27 Atomic layer deposition system and method
EP02731204A EP1436443A1 (en) 2001-04-05 2002-03-27 Atomic layer deposition system and method
TW91106623A TW540093B (en) 2001-04-05 2002-04-02 Atomic layer deposition system and method
US10/921,604 US7806983B2 (en) 2001-04-05 2004-08-18 Substrate temperature control in an ALD reactor
US10/930,536 US7318869B2 (en) 2000-12-15 2004-08-30 Variable gas conductance control for a process chamber

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US25581200P 2000-12-15 2000-12-15
US28162801P 2001-04-05 2001-04-05
US09/902,080 US6800173B2 (en) 2000-12-15 2001-07-09 Variable gas conductance control for a process chamber

Related Child Applications (8)

Application Number Title Priority Date Filing Date
US09/970,867 Continuation-In-Part US20020144657A1 (en) 2001-04-05 2001-10-03 ALD reactor employing electrostatic chuck
US10/000,382 Continuation-In-Part US20020073924A1 (en) 2000-12-15 2001-10-24 Gas introduction system for a reactor
US09/999,532 Continuation-In-Part US20020076507A1 (en) 2000-12-15 2001-10-24 Process sequence for atomic layer deposition
US10/000,825 Continuation-In-Part US20020144786A1 (en) 2001-04-05 2001-10-24 Substrate temperature control in an ALD reactor
US09/999,499 Continuation-In-Part US20020144655A1 (en) 2001-04-05 2001-10-24 Gas valve system for a reactor
US10/004,488 Continuation-In-Part US20020076481A1 (en) 2000-12-15 2001-10-24 Chamber pressure state-based control for a reactor
US09/999,636 Continuation-In-Part US6630201B2 (en) 2000-12-15 2001-10-24 Adsorption process for atomic layer deposition
US10/930,536 Continuation US7318869B2 (en) 2000-12-15 2004-08-30 Variable gas conductance control for a process chamber

Publications (2)

Publication Number Publication Date
US20020076490A1 US20020076490A1 (en) 2002-06-20
US6800173B2 true US6800173B2 (en) 2004-10-05

Family

ID=27400899

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/902,080 Expired - Lifetime US6800173B2 (en) 2000-12-15 2001-07-09 Variable gas conductance control for a process chamber
US10/930,536 Expired - Lifetime US7318869B2 (en) 2000-12-15 2004-08-30 Variable gas conductance control for a process chamber

Family Applications After (1)

Application Number Title Priority Date Filing Date
US10/930,536 Expired - Lifetime US7318869B2 (en) 2000-12-15 2004-08-30 Variable gas conductance control for a process chamber

Country Status (1)

Country Link
US (2) US6800173B2 (en)

Cited By (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030092278A1 (en) * 2001-11-13 2003-05-15 Fink Steven T. Plasma baffle assembly
US20040140513A1 (en) * 2002-08-22 2004-07-22 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US20040261721A1 (en) * 2003-06-30 2004-12-30 Steger Robert J. Substrate support having dynamic temperature control
US20050014365A1 (en) * 2003-07-15 2005-01-20 Moon Kwang-Jin Methods of forming cobalt layers for semiconductor devices
US20050051100A1 (en) * 2000-12-15 2005-03-10 Chiang Tony P. Variable gas conductance control for a process chamber
US20050059246A1 (en) * 2003-08-06 2005-03-17 Takakazu Yamada Device and method for manufacturing thin films
US20050064725A1 (en) * 2003-09-24 2005-03-24 Derderian Garo J. Atomic layer deposition methods, and methods of forming materials over semiconductor substrates
US20050100669A1 (en) * 2003-11-12 2005-05-12 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US20050106865A1 (en) * 2001-09-26 2005-05-19 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US20050120954A1 (en) * 2002-05-24 2005-06-09 Carpenter Craig M. Apparatus for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US20050142873A1 (en) * 2002-08-30 2005-06-30 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US20050221004A1 (en) * 2004-01-20 2005-10-06 Kilpela Olli V Vapor reactant source system with choked-flow elements
US7037574B2 (en) 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US20060216928A1 (en) * 2001-12-07 2006-09-28 Hua Chung Cyclical deposition of refractory metal silicon nitride
US20060216548A1 (en) * 2005-03-22 2006-09-28 Ming Mao Nanolaminate thin films and method for forming the same using atomic layer deposition
US20060272577A1 (en) * 2005-06-03 2006-12-07 Ming Mao Method and apparatus for decreasing deposition time of a thin film
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US7220673B2 (en) 2000-06-28 2007-05-22 Applied Materials, Inc. Method for depositing tungsten-containing layers by vapor deposition techniques
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20070157683A1 (en) * 2005-12-19 2007-07-12 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US20090277472A1 (en) * 2008-05-06 2009-11-12 Novellus Systems, Inc. Photoresist Stripping Method and Apparatus
US20090325386A1 (en) * 2008-06-02 2009-12-31 Mattson Technology, Inc. Process and System For Varying the Exposure to a Chemical Ambient in a Process Chamber
US20100043888A1 (en) * 2002-01-17 2010-02-25 Sundew Technologies, Llc Ald apparatus and method
US7670945B2 (en) 1998-10-01 2010-03-02 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US7674715B2 (en) 2000-06-28 2010-03-09 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US7678194B2 (en) 2002-07-17 2010-03-16 Applied Materials, Inc. Method for providing gas to a processing chamber
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7682946B2 (en) 2005-11-04 2010-03-23 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7732325B2 (en) 2002-01-26 2010-06-08 Applied Materials, Inc. Plasma-enhanced cyclic layer deposition process for barrier layers
US7745333B2 (en) 2000-06-28 2010-06-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7745329B2 (en) 2002-02-26 2010-06-29 Applied Materials, Inc. Tungsten nitride atomic layer deposition processes
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
US7771537B2 (en) 2003-12-10 2010-08-10 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, E.G. CVD deposition
US7780788B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7794544B2 (en) 2004-05-12 2010-09-14 Applied Materials, Inc. Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US7867914B2 (en) 2002-04-16 2011-01-11 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7867896B2 (en) 2002-03-04 2011-01-11 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7902064B1 (en) 2007-05-16 2011-03-08 Intermolecular, Inc. Method of forming a layer to enhance ALD nucleation on a substrate
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
CN102465260A (en) * 2010-11-17 2012-05-23 北京北方微电子基地设备工艺研究中心有限责任公司 Chamber assembly and semiconductor processing equipment with application of same
US20120160173A1 (en) * 2010-12-23 2012-06-28 Richard Endo Vapor Based Processing System with Purge Mode
US20120171870A1 (en) * 2010-12-30 2012-07-05 Veeco Instruments Inc. Wafer processing with carrier extension
US20120304922A1 (en) * 2011-06-03 2012-12-06 Hermes-Epitek Corporation Semiconductor Equipment
US8384192B2 (en) 2004-01-28 2013-02-26 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20130153149A1 (en) * 2011-12-20 2013-06-20 Intermolecular, Inc. Substrate Processing Tool with Tunable Fluid Flow
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8597462B2 (en) 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US8633110B2 (en) 2005-07-20 2014-01-21 Micron Technology, Inc. Titanium nitride films
US20140190405A1 (en) * 2013-01-08 2014-07-10 Veeco Instruments Inc. Self-cleaning shutter for cvd reactor
CN104064497A (en) * 2013-03-21 2014-09-24 东京毅力科创株式会社 Batch-type vertical substrate processing apparatus and substrate holder
US8851463B2 (en) 2011-04-13 2014-10-07 Novellus Systems, Inc. Pedestal covers
US8920162B1 (en) * 2007-11-08 2014-12-30 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
US8940646B1 (en) 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
CN104733352A (en) * 2013-12-20 2015-06-24 株式会社Eugene科技 Substrate Processing Apparatus
US20150325414A1 (en) * 2009-09-28 2015-11-12 Lam Research Corporation Unitized confinement ring arrangements and methods thereof
US9418890B2 (en) 2008-09-08 2016-08-16 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US9447496B2 (en) 2003-02-04 2016-09-20 Asm International N.V. Nanolayer deposition process
US9708707B2 (en) 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US9835388B2 (en) 2012-01-06 2017-12-05 Novellus Systems, Inc. Systems for uniform heat transfer including adaptive portions
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US10610884B2 (en) 2012-03-30 2020-04-07 Applied Materials, Inc. Substrate processing system having susceptorless substrate support with enhanced substrate heating control
US20220356577A1 (en) * 2021-05-10 2022-11-10 Picosun Oy Substrate processing apparatus and method

Families Citing this family (339)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6902623B2 (en) * 2001-06-07 2005-06-07 Veeco Instruments Inc. Reactor having a movable shutter
US7105444B2 (en) * 2001-07-19 2006-09-12 Samsung Electronics Co., Ltd. Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US7098131B2 (en) * 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
WO2003023835A1 (en) * 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
KR100760291B1 (en) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 Method for forming thin film
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US7569154B2 (en) * 2002-03-19 2009-08-04 Tokyo Electron Limited Plasma processing method, plasma processing apparatus and computer storage medium
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
KR100505043B1 (en) * 2002-05-25 2005-07-29 삼성전자주식회사 Method for forming a capacitor
US7118783B2 (en) * 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
JP2004055608A (en) * 2002-07-16 2004-02-19 Sumitomo Osaka Cement Co Ltd Susceptor with built-in electrode
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
EP1661161A2 (en) * 2003-08-07 2006-05-31 Sundew Technologies, LLC Perimeter partition-valve with protected seals
US20070071896A1 (en) 2003-08-20 2007-03-29 Veeco Instruments Inc. Alkyl push flow for vertical flow rotating disk reactors
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7910218B2 (en) 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
JP4590402B2 (en) * 2004-04-30 2010-12-01 株式会社荏原製作所 Substrate processing equipment
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7193173B2 (en) * 2004-06-30 2007-03-20 Lam Research Corporation Reducing plasma ignition pressure
US20060042757A1 (en) * 2004-08-27 2006-03-02 Seiichiro Kanno Wafer processing apparatus capable of controlling wafer temperature
US7670436B2 (en) * 2004-11-03 2010-03-02 Applied Materials, Inc. Support ring assembly
US20060156980A1 (en) 2005-01-19 2006-07-20 Samsung Electronics Co., Ltd. Apparatus including 4-way valve for fabricating semiconductor device, method of controlling valve, and method of fabricating semiconductor device using the apparatus
KR100706243B1 (en) * 2005-02-22 2007-04-11 삼성전자주식회사 Apparatus and method depositing tungsten nitride
US8486845B2 (en) * 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
WO2006106764A1 (en) * 2005-03-30 2006-10-12 Matsushita Electric Industrial Co., Ltd. Transmission line
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US7762114B2 (en) 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
FI121750B (en) * 2005-11-17 2011-03-31 Beneq Oy ALD reactor
US20070125646A1 (en) * 2005-11-25 2007-06-07 Applied Materials, Inc. Sputtering target for titanium sputtering chamber
DE102006023018A1 (en) * 2006-05-17 2007-11-22 Strämke, Siegfried, Dr. Plasma process for surface treatment of workpieces
US20070283884A1 (en) * 2006-05-30 2007-12-13 Applied Materials, Inc. Ring assembly for substrate processing chamber
US7879184B2 (en) 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7313869B1 (en) 2006-07-18 2008-01-01 Snap-On Incorporated Vehicle wheel alignment system and methodology
US20080072821A1 (en) * 2006-07-21 2008-03-27 Dalton Jeremic J Small volume symmetric flow single wafer ald apparatus
KR100809957B1 (en) * 2006-09-20 2008-03-07 삼성전자주식회사 Semiconductor etching device
US20080194113A1 (en) * 2006-09-20 2008-08-14 Samsung Electronics Co., Ltd. Methods and apparatus for semiconductor etching including an electro static chuck
FR2910721B1 (en) * 2006-12-21 2009-03-27 Commissariat Energie Atomique CURRENT-ELECTRODE COLLECTOR ASSEMBLY WITH EXPANSION CAVITIES FOR LITHIUM ACCUMULATOR IN THE FORM OF THIN FILMS.
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US8074677B2 (en) * 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7846497B2 (en) * 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7775236B2 (en) * 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US8740873B2 (en) * 2007-03-15 2014-06-03 Hologic, Inc. Soft body catheter with low friction lumen
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US9184072B2 (en) * 2007-07-27 2015-11-10 Mattson Technology, Inc. Advanced multi-workpiece processing chamber
KR20090018290A (en) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 Deposition apparatus
JP2011503349A (en) * 2007-11-08 2011-01-27 アプライド マテリアルズ インコーポレイテッド Electrode configuration with movable shield
JP5414172B2 (en) * 2007-12-05 2014-02-12 株式会社日立ハイテクノロジーズ Plasma processing apparatus and plasma processing method
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
WO2009142905A1 (en) * 2008-05-20 2009-11-26 Sundew Technologies, Llc Deposition method and apparatus
US20100012036A1 (en) * 2008-07-11 2010-01-21 Hugo Silva Isolation for multi-single-wafer processing apparatus
US8216376B1 (en) * 2009-01-15 2012-07-10 Intermolecular, Inc. Method and apparatus for variable conductance
FI122940B (en) * 2009-02-09 2012-09-14 Beneq Oy reaction chamber
JP5396949B2 (en) * 2009-03-18 2014-01-22 株式会社島津製作所 Turbo molecular pump
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
EP2461354A4 (en) * 2009-07-29 2015-11-11 Canon Anelva Corp Semiconductor device and manufacturing method therefor
KR20120089647A (en) * 2009-08-11 2012-08-13 어플라이드 머티어리얼스, 인코포레이티드 Process kit for rf physical vapor deposition
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5323628B2 (en) * 2009-09-17 2013-10-23 東京エレクトロン株式会社 Plasma processing equipment
JP5432686B2 (en) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 Plasma processing equipment
US8920564B2 (en) * 2010-07-02 2014-12-30 Applied Materials, Inc. Methods and apparatus for thermal based substrate processing with variable temperature capability
US9443753B2 (en) * 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
US8133349B1 (en) * 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US9303319B2 (en) * 2010-12-17 2016-04-05 Veeco Instruments Inc. Gas injection system for chemical vapor deposition using sequenced valves
US9719169B2 (en) * 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013073096A1 (en) * 2011-11-15 2013-05-23 パナソニック株式会社 Vacuum apparatus, method for cooling heat source in vacuum, and thin film thin film manufacturing method
US9194045B2 (en) * 2012-04-03 2015-11-24 Novellus Systems, Inc. Continuous plasma and RF bias to regulate damage in a substrate processing system
US9879684B2 (en) 2012-09-13 2018-01-30 Kla-Tencor Corporation Apparatus and method for shielding a controlled pressure environment
US9076674B2 (en) * 2012-09-25 2015-07-07 Intermolecular, Inc. Method and apparatus for improving particle performance
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140263181A1 (en) * 2013-03-15 2014-09-18 Jaeyoung Park Method and apparatus for generating highly repetitive pulsed plasmas
DE102013005868A1 (en) 2013-04-05 2014-10-09 Leybold Optics Gmbh Apparatus for vacuum treatment of substrates
US10781516B2 (en) 2013-06-28 2020-09-22 Lam Research Corporation Chemical deposition chamber having gas seal
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US9184029B2 (en) * 2013-09-03 2015-11-10 Lam Research Corporation System, method and apparatus for coordinating pressure pulses and RF modulation in a small volume confined process reactor
US20160163519A1 (en) * 2013-10-08 2016-06-09 XEI Scientic, Inc. Method and apparatus for plasma ignition in high vacuum chambers
US9145607B2 (en) 2013-10-22 2015-09-29 Lam Research Corporation Tandem source activation for cyclical deposition of films
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
JP6230900B2 (en) * 2013-12-19 2017-11-15 東京エレクトロン株式会社 Substrate processing equipment
US20150197852A1 (en) * 2014-01-13 2015-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma processing apparatus and plasma-uniformity control method
JP6559706B2 (en) 2014-01-27 2019-08-14 ビーコ インストルメンツ インコーポレイテッド Wafer carrier with holding pockets with compound radius for chemical vapor deposition systems
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6438320B2 (en) * 2014-06-19 2018-12-12 東京エレクトロン株式会社 Plasma processing equipment
US11267012B2 (en) * 2014-06-25 2022-03-08 Universal Display Corporation Spatial control of vapor condensation using convection
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN104465292B (en) * 2014-11-28 2017-05-03 上海华力微电子有限公司 Pretreatment method for ion implanter
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) * 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
NL2015215B1 (en) * 2015-07-23 2017-02-08 Meyer Burger (Netherlands) B V Programmable deposition apparatus.
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11004662B2 (en) * 2017-02-14 2021-05-11 Lam Research Corporation Temperature controlled spacer for use in a substrate processing chamber
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US11761082B2 (en) * 2017-05-02 2023-09-19 Picosun Oy ALD apparatus, method and valve
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11011355B2 (en) * 2017-05-12 2021-05-18 Lam Research Corporation Temperature-tuned substrate support for substrate processing systems
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (en) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 A storage device for storing wafer cassettes and a batch furnace assembly
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP2021506126A (en) 2017-12-07 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation Oxidation resistant protective layer in chamber adjustment
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
WO2019182260A1 (en) * 2018-03-23 2019-09-26 홍잉 Inline thin film processing device
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (en) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529880A (en) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) * 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
US11289312B2 (en) 2019-06-12 2022-03-29 Applied Materials, Inc. Physical vapor deposition (PVD) chamber with in situ chamber cleaning capability
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
US11538714B2 (en) 2020-05-21 2022-12-27 Applied Materials, Inc. System apparatus and method for enhancing electrical clamping of substrates using photo-illumination
US11875967B2 (en) 2020-05-21 2024-01-16 Applied Materials, Inc. System apparatus and method for enhancing electrical clamping of substrates using photo-illumination
US11315819B2 (en) 2020-05-21 2022-04-26 Applied Materials, Inc. System apparatus and method for enhancing electrical clamping of substrates using photo-illumination
US20210366757A1 (en) * 2020-05-21 2021-11-25 Applied Materials, Inc. System apparatus and method for enhancing electrical clamping of substrates using photo-illumination
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
CN114068272B (en) * 2020-07-31 2023-09-29 中微半导体设备(上海)股份有限公司 Gas flow regulating device and regulating method and plasma processing device
TWI795807B (en) * 2020-08-24 2023-03-11 大陸商中微半導體設備(上海)股份有限公司 Gas flow adjustment device and adjustment method, and plasma processing device
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
WO2022266784A1 (en) * 2021-06-21 2022-12-29 Applied Materials, Inc. Methods and apparatus for controlling radio frequency electrode impedances in process chambers
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230113063A1 (en) * 2021-10-11 2023-04-13 Applied Materials, Inc. Dynamic processing chamber baffle
US20230114104A1 (en) * 2021-10-11 2023-04-13 Applied Materials, Inc. Dynamic processing chamber baffle
WO2023136814A1 (en) * 2022-01-11 2023-07-20 Lam Research Corporation Plasma radical edge ring barrier seal
DE102022102035A1 (en) 2022-01-28 2023-08-03 Vat Holding Ag Device for equalizing a gas distribution in a process chamber

Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4058430A (en) 1974-11-29 1977-11-15 Tuomo Suntola Method for producing compound thin films
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4413022A (en) 1979-02-28 1983-11-01 Canon Kabushiki Kaisha Method for performing growth of compound thin films
US5091207A (en) 1989-07-20 1992-02-25 Fujitsu Limited Process and apparatus for chemical vapor deposition
US5855675A (en) 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6015590A (en) 1994-11-28 2000-01-18 Neste Oy Method for growing thin films
WO2000016377A2 (en) 1998-09-10 2000-03-23 Genitech Co., Ltd. Method for forming a three-component nitride film containing metal and silicon
EP1052309A2 (en) 1999-05-10 2000-11-15 ASM Microchemistry Oy Apparatus for fabrication of thin films
WO2000079576A1 (en) 1999-06-19 2000-12-28 Genitech, Inc. Chemical deposition reactor and method of forming a thin film using the same
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6210486B1 (en) * 1997-07-16 2001-04-03 Tokyo Electron Limited CVD film forming method in which a film formation preventing gas is supplied in a direction from a rear surface of an object to be processed
US20010048981A1 (en) 1997-12-15 2001-12-06 Nobumasa Suzuki Method of processing substrate
US20010050039A1 (en) 2000-06-07 2001-12-13 Park Chang-Soo Method of forming a thin film using atomic layer deposition method
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3643964A (en) * 1970-10-12 1972-02-22 Kayex Corp Pull rod seal
DE3914065A1 (en) * 1989-04-28 1990-10-31 Leybold Ag DEVICE FOR CARRYING OUT PLASMA ETCHING PROCESSES
JPH05247635A (en) * 1992-03-05 1993-09-24 Fujitsu Ltd Sputtering device
US5665167A (en) * 1993-02-16 1997-09-09 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus having a workpiece-side electrode grounding circuit
US5796074A (en) * 1995-11-28 1998-08-18 Applied Materials, Inc. Wafer heater assembly
JP2954028B2 (en) * 1996-08-16 1999-09-27 山形日本電気株式会社 Sputtering equipment
US6432203B1 (en) * 1997-03-17 2002-08-13 Applied Komatsu Technology, Inc. Heated and cooled vacuum chamber shield
US6019060A (en) * 1998-06-24 2000-02-01 Lam Research Corporation Cam-based arrangement for positioning confinement rings in a plasma processing chamber
GB2350374B (en) * 1999-05-11 2003-09-24 Trikon Holdings Ltd Deposition apparatus
US6296747B1 (en) * 2000-06-22 2001-10-02 Applied Materials, Inc. Baffled perforated shield in a plasma sputtering reactor
US6433484B1 (en) * 2000-08-11 2002-08-13 Lam Research Corporation Wafer area pressure control
US6492774B1 (en) * 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber

Patent Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4058430A (en) 1974-11-29 1977-11-15 Tuomo Suntola Method for producing compound thin films
US4413022A (en) 1979-02-28 1983-11-01 Canon Kabushiki Kaisha Method for performing growth of compound thin films
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US5091207A (en) 1989-07-20 1992-02-25 Fujitsu Limited Process and apparatus for chemical vapor deposition
US6015590A (en) 1994-11-28 2000-01-18 Neste Oy Method for growing thin films
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5855675A (en) 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6210486B1 (en) * 1997-07-16 2001-04-03 Tokyo Electron Limited CVD film forming method in which a film formation preventing gas is supplied in a direction from a rear surface of an object to be processed
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US20010048981A1 (en) 1997-12-15 2001-12-06 Nobumasa Suzuki Method of processing substrate
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
WO2000016377A2 (en) 1998-09-10 2000-03-23 Genitech Co., Ltd. Method for forming a three-component nitride film containing metal and silicon
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
EP1052309A2 (en) 1999-05-10 2000-11-15 ASM Microchemistry Oy Apparatus for fabrication of thin films
WO2000079576A1 (en) 1999-06-19 2000-12-28 Genitech, Inc. Chemical deposition reactor and method of forming a thin film using the same
US20010050039A1 (en) 2000-06-07 2001-12-13 Park Chang-Soo Method of forming a thin film using atomic layer deposition method

Non-Patent Citations (13)

* Cited by examiner, † Cited by third party
Title
"Untra-thin films by atomic layer deposition", American Xtal Technology, Oct. 1999 (pp. 37).
Goto et al., "Atomic Layer Controlled Deposition of Silicon Nitride With Self-Limiting Mechanism", Appl. Phys. Lett (23) (Jun. 3, 1996) pp 3257-3259.
K. A. Ashtiani et al., "A New Hollow-Cathode Magnetron Source for 0.10 mum Copper Applications", Novellus Systems, Inc., San Jose, CA, date unknown , 3 pgs.
K. A. Ashtiani et al., "A New Hollow-Cathode Magnetron Source for 0.10 μm Copper Applications", Novellus Systems, Inc., San Jose, CA, date unknown , 3 pgs.
M. Ritala et al., "Controlled Growth of TaN, Ta3N5, and TaOxNy Thin Films by Atomic Layer Deposition", Chemical Materials, vol. 11, No. 7, 1999, American Chemical Society, pp 1712-1718.
P. Mårtensson et al., "Atomic Layer Epitaxy of Copper Tantalum", Chemical Vapor Deposition, 1997, pp. 45-50, vol. 3, No. 1.
P. Mårtensson, "Atomic Layer Epitaxy of Copper", Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology 421, 1999, pp. 1-45, Acta Universitis Upsaliensis, Uppsala, Sweden.
Peter Singer, Editor-in-chief, "Atomic Layer Deposition Targets Thin Films", Semiconductor International, Sep. 1999, (pp. 40).
S. M. Rossnagel, IBM Research, A. Sherman and F. Turner, Sherman and Associates, Plasma-enchanced atomic layer deposition of Ta and Ti for interconnect diffusion barriers, J. Vac. Sci. Technol. B 18(4), Jul./Aug. 2000, (pp. 2016-2020).
T. P. Chiang et al. "Surfaced kinetic study of ion-induced chemical vapor deposition of copper for focused ion beam applications", J.Vac.Sci.Technol. A 15(6), Nov./Dec. 1997, pp 3104-3114.
T. P. Chiang et al., "Ion-induced chemical vapor deposition of high purity Cu films at room temperature using a microwave discharge H atom beam source", J.Vac.Sci.Technol. A 15(5), Sep./Oct. 1997, pp 2677-2686.
X. Chen et al., "Low temperature plasma-assisted chemical vapor deposition of tantalum nitride from tantalum pentabromide for copper metallization", J.Vac.Sci.Technol. B 17(1), Jan./Feb. 1999, pp 182-185.
X. Chen et al., "Low temperature plasma-promoted chemical vapor deposition of tantalum from tantalum pentabromide for copper metallization", J.Vac.Sci.Technol. B 16(5), Sep./Oct. 1998, pp 2887-2980.

Cited By (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7670945B2 (en) 1998-10-01 2010-03-02 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US7745333B2 (en) 2000-06-28 2010-06-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US20070218688A1 (en) * 2000-06-28 2007-09-20 Ming Xi Method for depositing tungsten-containing layers by vapor deposition techniques
US7846840B2 (en) 2000-06-28 2010-12-07 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US7220673B2 (en) 2000-06-28 2007-05-22 Applied Materials, Inc. Method for depositing tungsten-containing layers by vapor deposition techniques
US7674715B2 (en) 2000-06-28 2010-03-09 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US7465665B2 (en) 2000-06-28 2008-12-16 Applied Materials, Inc. Method for depositing tungsten-containing layers by vapor deposition techniques
US7709385B2 (en) 2000-06-28 2010-05-04 Applied Materials, Inc. Method for depositing tungsten-containing layers by vapor deposition techniques
US7318869B2 (en) * 2000-12-15 2008-01-15 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US20050051100A1 (en) * 2000-12-15 2005-03-10 Chiang Tony P. Variable gas conductance control for a process chamber
US7037574B2 (en) 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US9708707B2 (en) 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US20050106865A1 (en) * 2001-09-26 2005-05-19 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US8668776B2 (en) 2001-10-26 2014-03-11 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780788B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20030092278A1 (en) * 2001-11-13 2003-05-15 Fink Steven T. Plasma baffle assembly
US20060216928A1 (en) * 2001-12-07 2006-09-28 Hua Chung Cyclical deposition of refractory metal silicon nitride
US7892602B2 (en) 2001-12-07 2011-02-22 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US20100043888A1 (en) * 2002-01-17 2010-02-25 Sundew Technologies, Llc Ald apparatus and method
US8012261B2 (en) * 2002-01-17 2011-09-06 Sundew Technologies, Llc ALD apparatus and method
US7732325B2 (en) 2002-01-26 2010-06-08 Applied Materials, Inc. Plasma-enhanced cyclic layer deposition process for barrier layers
US7745329B2 (en) 2002-02-26 2010-06-29 Applied Materials, Inc. Tungsten nitride atomic layer deposition processes
US7867896B2 (en) 2002-03-04 2011-01-11 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7867914B2 (en) 2002-04-16 2011-01-11 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20050120954A1 (en) * 2002-05-24 2005-06-09 Carpenter Craig M. Apparatus for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US7678194B2 (en) 2002-07-17 2010-03-16 Applied Materials, Inc. Method for providing gas to a processing chamber
US20050032342A1 (en) * 2002-08-22 2005-02-10 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US7351628B2 (en) 2002-08-22 2008-04-01 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US20040140513A1 (en) * 2002-08-22 2004-07-22 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US20090212017A1 (en) * 2002-08-30 2009-08-27 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US7541283B2 (en) * 2002-08-30 2009-06-02 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US7799238B2 (en) 2002-08-30 2010-09-21 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US8287750B2 (en) 2002-08-30 2012-10-16 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US20100112819A1 (en) * 2002-08-30 2010-05-06 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US20050142873A1 (en) * 2002-08-30 2005-06-30 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US9447496B2 (en) 2003-02-04 2016-09-20 Asm International N.V. Nanolayer deposition process
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US20040261721A1 (en) * 2003-06-30 2004-12-30 Steger Robert J. Substrate support having dynamic temperature control
US7993460B2 (en) * 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
US8747559B2 (en) 2003-06-30 2014-06-10 Lam Research Corporation Substrate support having dynamic temperature control
US7211506B2 (en) * 2003-07-15 2007-05-01 Samsung Electronics Co., Ltd. Methods of forming cobalt layers for semiconductor devices
US20050014365A1 (en) * 2003-07-15 2005-01-20 Moon Kwang-Jin Methods of forming cobalt layers for semiconductor devices
US20050059246A1 (en) * 2003-08-06 2005-03-17 Takakazu Yamada Device and method for manufacturing thin films
US7618493B2 (en) * 2003-08-06 2009-11-17 Ulvac, Inc. Device and method for manufacturing thin films
US7312163B2 (en) * 2003-09-24 2007-12-25 Micron Technology, Inc. Atomic layer deposition methods, and methods of forming materials over semiconductor substrates
US20050064725A1 (en) * 2003-09-24 2005-03-24 Derderian Garo J. Atomic layer deposition methods, and methods of forming materials over semiconductor substrates
US20050158998A1 (en) * 2003-09-24 2005-07-21 Derderian Garo J. Atomic layer deposition methods, and methods of forming materials over semiconductor substrates
US20050159018A1 (en) * 2003-09-24 2005-07-21 Derderian Garo J. Atomic layer deposition methods, and methods of forming materials over semiconductor substrates
US7323412B2 (en) 2003-09-24 2008-01-29 Micron Technology, Inc. Atomic layer deposition methods, and methods of forming materials over semiconductor substrates
US20050166843A1 (en) * 2003-11-12 2005-08-04 Veeco Instruments, Inc. Apparatus for fabricating a conformal thin film on a substrate
US20050100669A1 (en) * 2003-11-12 2005-05-12 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US7071118B2 (en) 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US8518184B2 (en) 2003-12-10 2013-08-27 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, E.G., CVD deposition
US7771537B2 (en) 2003-12-10 2010-08-10 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, E.G. CVD deposition
US20050221004A1 (en) * 2004-01-20 2005-10-06 Kilpela Olli V Vapor reactant source system with choked-flow elements
US8384192B2 (en) 2004-01-28 2013-02-26 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US8282992B2 (en) 2004-05-12 2012-10-09 Applied Materials, Inc. Methods for atomic layer deposition of hafnium-containing high-K dielectric materials
US7794544B2 (en) 2004-05-12 2010-09-14 Applied Materials, Inc. Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8343279B2 (en) 2004-05-12 2013-01-01 Applied Materials, Inc. Apparatuses for atomic layer deposition
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7691742B2 (en) 2004-07-20 2010-04-06 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060216548A1 (en) * 2005-03-22 2006-09-28 Ming Mao Nanolaminate thin films and method for forming the same using atomic layer deposition
US20060272577A1 (en) * 2005-06-03 2006-12-07 Ming Mao Method and apparatus for decreasing deposition time of a thin film
US8633110B2 (en) 2005-07-20 2014-01-21 Micron Technology, Inc. Titanium nitride films
US9032906B2 (en) 2005-11-04 2015-05-19 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7850779B2 (en) 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7682946B2 (en) 2005-11-04 2010-03-23 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US8454749B2 (en) * 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
US20070157683A1 (en) * 2005-12-19 2007-07-12 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US8067794B2 (en) 2006-02-16 2011-11-29 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US8785312B2 (en) 2006-02-16 2014-07-22 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7902064B1 (en) 2007-05-16 2011-03-08 Intermolecular, Inc. Method of forming a layer to enhance ALD nucleation on a substrate
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US8920162B1 (en) * 2007-11-08 2014-12-30 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
US20090277472A1 (en) * 2008-05-06 2009-11-12 Novellus Systems, Inc. Photoresist Stripping Method and Apparatus
US20090325386A1 (en) * 2008-06-02 2009-12-31 Mattson Technology, Inc. Process and System For Varying the Exposure to a Chemical Ambient in a Process Chamber
US9418890B2 (en) 2008-09-08 2016-08-16 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
US20150325414A1 (en) * 2009-09-28 2015-11-12 Lam Research Corporation Unitized confinement ring arrangements and methods thereof
US8597462B2 (en) 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US9490135B2 (en) 2010-05-21 2016-11-08 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
CN102465260A (en) * 2010-11-17 2012-05-23 北京北方微电子基地设备工艺研究中心有限责任公司 Chamber assembly and semiconductor processing equipment with application of same
US20120160173A1 (en) * 2010-12-23 2012-06-28 Richard Endo Vapor Based Processing System with Purge Mode
US8906160B2 (en) * 2010-12-23 2014-12-09 Intermolecular, Inc. Vapor based processing system with purge mode
US20160251758A1 (en) * 2010-12-30 2016-09-01 Veeco Instruments Inc. Wafer processing with carrier extension
US10167554B2 (en) * 2010-12-30 2019-01-01 Veeco Instruments Inc. Wafer processing with carrier extension
US9938621B2 (en) * 2010-12-30 2018-04-10 Veeco Instruments Inc. Methods of wafer processing with carrier extension
US20120171870A1 (en) * 2010-12-30 2012-07-05 Veeco Instruments Inc. Wafer processing with carrier extension
US8851463B2 (en) 2011-04-13 2014-10-07 Novellus Systems, Inc. Pedestal covers
US20120304922A1 (en) * 2011-06-03 2012-12-06 Hermes-Epitek Corporation Semiconductor Equipment
US9269547B2 (en) * 2011-06-03 2016-02-23 Hermes-Epitek Corporation Semiconductor equipment
US20130153149A1 (en) * 2011-12-20 2013-06-20 Intermolecular, Inc. Substrate Processing Tool with Tunable Fluid Flow
US9835388B2 (en) 2012-01-06 2017-12-05 Novellus Systems, Inc. Systems for uniform heat transfer including adaptive portions
US10610884B2 (en) 2012-03-30 2020-04-07 Applied Materials, Inc. Substrate processing system having susceptorless substrate support with enhanced substrate heating control
US9388493B2 (en) * 2013-01-08 2016-07-12 Veeco Instruments Inc. Self-cleaning shutter for CVD reactor
US20140190405A1 (en) * 2013-01-08 2014-07-10 Veeco Instruments Inc. Self-cleaning shutter for cvd reactor
CN104064497A (en) * 2013-03-21 2014-09-24 东京毅力科创株式会社 Batch-type vertical substrate processing apparatus and substrate holder
CN104064497B (en) * 2013-03-21 2017-12-08 东京毅力科创株式会社 The vertical substrate board treatment of batch-type and substrate holding appliance
US9236244B2 (en) 2013-07-12 2016-01-12 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
US8940646B1 (en) 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
US20150176128A1 (en) * 2013-12-20 2015-06-25 Eugene Technology Co., Ltd. Substrate Processing Apparatus
CN104733352A (en) * 2013-12-20 2015-06-24 株式会社Eugene科技 Substrate Processing Apparatus
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US11075127B2 (en) 2016-08-09 2021-07-27 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US20220356577A1 (en) * 2021-05-10 2022-11-10 Picosun Oy Substrate processing apparatus and method

Also Published As

Publication number Publication date
US20020076490A1 (en) 2002-06-20
US7318869B2 (en) 2008-01-15
US20050051100A1 (en) 2005-03-10

Similar Documents

Publication Publication Date Title
US6800173B2 (en) Variable gas conductance control for a process chamber
US7806983B2 (en) Substrate temperature control in an ALD reactor
US6630201B2 (en) Adsorption process for atomic layer deposition
US20020144655A1 (en) Gas valve system for a reactor
US20020073924A1 (en) Gas introduction system for a reactor
US20020076481A1 (en) Chamber pressure state-based control for a reactor
US20020144657A1 (en) ALD reactor employing electrostatic chuck
US20020076507A1 (en) Process sequence for atomic layer deposition
TW540093B (en) Atomic layer deposition system and method
US6756318B2 (en) Nanolayer thick film processing system and method
US7959985B2 (en) Method of integrating PEALD Ta-containing films into Cu metallization
US7871676B2 (en) System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6569501B2 (en) Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US7348042B2 (en) Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US7779784B2 (en) Apparatus and method for plasma assisted deposition
US20050221000A1 (en) Method of forming a metal layer
US20020197402A1 (en) System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20120202353A1 (en) Nanolayer deposition using plasma treatment
US20020104481A1 (en) System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US11066743B2 (en) Selective atomic layer deposition of ruthenium
WO2002045871A1 (en) System and method for modulated ion-induced atomic layer deposition (mii-ald)
KR20040042892A (en) Apparatus and method for hybrid chemical processing
US7829158B2 (en) Method for depositing a barrier layer on a low dielectric constant material
WO2002081771A2 (en) Atomic layer deposition system and method

Legal Events

Date Code Title Description
AS Assignment

Owner name: ANGSTRON SYSTEMS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHIANG, TONY P.;LEESER, KARL F.;BROWN, JEFFREY A.;AND OTHERS;REEL/FRAME:012010/0301

Effective date: 20010709

AS Assignment

Owner name: NOVELLUS SYSTEMS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:ANGSTRON SYSTEMS, INC.;REEL/FRAME:014788/0211

Effective date: 20040517

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

REMI Maintenance fee reminder mailed
FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12