US20120202353A1 - Nanolayer deposition using plasma treatment - Google Patents

Nanolayer deposition using plasma treatment Download PDF

Info

Publication number
US20120202353A1
US20120202353A1 US13/449,241 US201213449241A US2012202353A1 US 20120202353 A1 US20120202353 A1 US 20120202353A1 US 201213449241 A US201213449241 A US 201213449241A US 2012202353 A1 US2012202353 A1 US 2012202353A1
Authority
US
United States
Prior art keywords
reactant
plasma
chamber
deposition
thin film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/449,241
Inventor
Robert Anthony Ditizio
Tue Nguyen
Tai Dung Nguyen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM International NV
Original Assignee
ASM International NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/954,244 external-priority patent/US6756318B2/en
Application filed by ASM International NV filed Critical ASM International NV
Priority to US13/449,241 priority Critical patent/US20120202353A1/en
Publication of US20120202353A1 publication Critical patent/US20120202353A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors

Definitions

  • the present invention relates to semiconductor thin film processing.
  • the fabrication of modern semiconductor device structures has traditionally relied on plasma processing in a variety of operations such as etching and deposition.
  • Plasma etching involves using chemically active atoms or energetic ions to remove material from a substrate.
  • Deposition techniques employing plasma includes Chemical Vapor Deposition (CVD) and Physical Vapor Deposition (PVD) or sputtering. PVD uses a high vacuum apparatus and generated plasma that sputters atoms or clusters of atoms toward the surface of the wafer substrates.
  • PVD is a line of sight deposition process that is more difficult to achieve conform film deposition over complex topography such as deposition of a thin and uniform liner or barrier layer over the small trench or via of 0.13 ⁇ m or less, especially with high aspect ratio greater than 4:1.
  • CVD a gas or vapor mixture is flowed over the wafer surface at an elevated temperature. Reactions then take place at the hot surface where deposition takes place. Temperature of the wafer surface is an important factor in CVD deposition, as it depends on the chemistry of the precursor for deposition and affects the uniformity of deposition over the large wafer surface. CVD typically requires high temperature for deposition which may not be compatible with other processes in the semiconductor process. CVD at lower temperature tends to produce low quality films in term of uniformity and impurities. More details on PVD and CVD are discussed in International Pub. Number WO 00/79019 Al or PCT/US00/17202 to Gadgil, the content of which is incorporated by reference.
  • ALD atomic layer deposition
  • various gases are injected into the chamber for as short as 100-500 milliseconds in alternating sequences.
  • a first gas is delivered into the chamber for about 500 milliseconds and the substrate is heated, then the first gas (heat optional) is turned off.
  • Another gas is delivered into the chamber for another 500 milliseconds (heat optional) before the gas is turned off.
  • the next gas is delivered for about 500 milliseconds (and optionally heated) before it is turned off.
  • This sequence is done for until all gases have been cycled through the chamber, each gas sequence forming a monolayer which is highly conformal.
  • ALD technology thus pulses gas injection and heating sequences that are between 100 and 500 milliseconds.
  • This approach has a high dissociation energy requirement to break the bonds in the various precursor gases such as silane and oxygen and thus requires the substrate to be heated to a high temperature, for example in the order of 600-800 degrees Celsius for silane and oxygen processes.
  • U.S. Pat. No. 5,916,365 to Sherman entitled “Sequential chemical vapor deposition” provides for sequential chemical vapor deposition by employing a reactor operated at low pressure, a pump to remove excess reactants, and a line to introduce gas into the reactor through a valve.
  • Sherman exposes the part to a gaseous first reactant, including a non-semiconductor element of the thin film to be formed, wherein the first reactant adsorbs on the part.
  • the Sherman process produces sub-monolayers due to adsorption.
  • the first reactant forms a monolayer on the part to be coated (after multiple cycles), while the second reactant passes through a radical generator which partially decomposes or activates the second reactant into a gaseous radical before it impinges on the monolayer.
  • This second reactant does not necessarily form a monolayer but is available to react with the monolayer.
  • a pump removes the excess second reactant and reaction products completing the process cycle. The process cycle can be repeated to grow the desired thickness of film.
  • U.S. Pat. No. 6,200,893 to Sneh entitled “Radical-assisted sequential CVD” discusses a method for CVD deposition on a substrate wherein radical species are used in alternate steps to depositions from a molecular precursor to treat the material deposited from the molecular precursor and to prepare the substrate surface with a reactive chemical in preparation for the next molecular precursor step. By repetitive cycles a composite integrated film is produced.
  • the depositions from the molecular precursor are metals, and the radicals in the alternate steps are used to remove ligands left from the metal precursor reactions, and to oxidize or nitride the metal surface in subsequent layers.
  • a metal is deposited on a substrate surface in a deposition chamber by (a) depositing a monolayer of metal on the substrate surface by flowing a molecular precursor gas or vapor bearing the metal over a surface of the substrate, the surface saturated by a first reactive species with which the precursor will react by depositing the metal and forming reaction product, leaving a metal surface covered with ligands from the metal precursor and therefore not further reactive with the precursor; (b) terminating flow of the precursor gas or vapor; (c) purging the precursor with inert gas; (d) flowing at least one radical species into the chamber and over the surface, the radical species highly reactive with the surface ligands of the metal precursor layer and eliminating the ligands as reaction product, and also saturating the surface, providing the first reactive species; and (e) repeating the steps in order until a metallic film of desired thickness results.
  • a metal nitride is deposited on a substrate surface in a deposition chamber by (a) depositing a monolayer of metal on the substrate surface by flowing a metal precursor gas or vapor bearing the metal over a surface of the substrate, the surface saturated by a first reactive species with which the precursor will react by depositing the metal and forming reaction product, leaving a metal surface covered with ligands from the metal precursor and therefore not further reactive with the precursor; (b) terminating flow of the precursor gas or vapor; (c) purging the precursor with inert gas; (d) flowing a first radical species into the chamber and over the surface, the atomic species highly reactive with the surface ligands of the metal precursor layer and eliminating the ligands as reaction product and also saturating the surface; (e) flowing radical nitrogen into the chamber to combine with the metal monolayer deposited in step (a), forming a nitride of the metal; (f) flowing a third radical species into the chamber terminating the surface with the first reactive species
  • the Sneh embodiments thus deposit monolayers, one at a time. This process is relatively time-consuming as a thick film is desired.
  • atomic layer deposition is a modified CVD process that is temperature sensitive and flux independent.
  • ALD is based on self-limiting surface reaction. ALD provides a uniform deposition over complex topography and temperature independent since the gases are adsorbed onto the surface and lower temperature than CVD because it is in adsorption regime.
  • the ALD process includes cycles of flowing gas reactant into the chamber, adsorbing one sub-monolayer onto the wafer surface, purging the gas reactant, flowing a second gas reactant into the chamber, and reacting the second gas reactant with the first gas reactant to form a monolayer on the wafer substrate. Thick film is achieved by deposition of multiple cycles.
  • Precise thickness can be controlled by number of cycles since monolayer is deposited per cycle.
  • the conventional ALD method is slow in depositing films such as those around 100 angstroms in thickness.
  • Growth rate of ALE TiN for example was reported at 0.2 angstrom/cycle, which is typical of metal nitrides from corresponding chlorides and NH 3 .
  • the throughput in device fabrication for a conventional ALD system is slow. Even if the chamber is designed with minimal volume, the throughput is still slow due to the large number of cycles required to achieve the thickness.
  • Conventional ALD is a slower process than CVD with a rate of deposition almost 10 times as slow as CVD deposition. The process is also chemical dependent to have the proper self-limiting surface reaction for deposition.
  • a process of depositing a thin film by chemical vapor deposition includes evacuating a chamber of gases; exposing a device to a gaseous first reactant, wherein the first reactant deposits on the device to form the thin film; evacuating the chamber of gases; and exposing the device, coated with the first reactant, to a gaseous second reactant under plasma, wherein the thin film deposited by the first reactant is treated to form the same materials or a different material.
  • the device can be a wafer.
  • the plasma enhances or maintains the thin film conformality.
  • the plasma can be a high density plasma with higher than 5 ⁇ 10 9 ions/cm 3 .
  • the reactant can be a metal organic, organic, to form a thin film of metal, metal nitride, or metal oxide.
  • the second reactant is exposed under high pressure above 100 mTorr. The first and second reactants react and the reaction creates a new compound.
  • the thin film thickness is more than one atomic layer thickness.
  • the thin film thickness can be between a fraction of a nanometer and tens of nanometers.
  • the plasma can be sequentially pulsed for each layer to be deposited.
  • the plasma can be excited with a solid state RF plasma source such as a helical ribbon electrode.
  • the chamber containing the device can be purged.
  • the process includes pre-cleaning a surface of a device; evacuating a chamber; stabilizing precursor flow and pressure; exposing the device to a first reactant, wherein the first reactant deposits on the device to form the nanolayer thin film having a thickness of more than one atomic layer; purging the chamber; evacuating the chamber; striking the plasma; performing a plasma treatment on the deposited film; exposing the device, coated with the first reactant, to a gaseous second reactant under the plasma treatment, wherein the thin film deposited by the first reactant is treated to form the same materials or a different material. Repeating of the nanolayer deposition steps deposit a thick film with thickness controlled by the number of repeats.
  • the deposition steps discussed above can take place in multiple chambers.
  • the process includes pre-cleaning of the device surface, evacuating the chamber, stabilizing precursor flow and pressure, exposing the device to a first reactant, wherein the first reactant deposits on the device to form the nanolayer thin film having a thickness of more than one atomic layer, purging the chamber, evacuating the chamber; then the device is transferred to another chamber that is purged and pumped, then striking the plasma, performing a plasma treatment on the deposited film, exposing the device, coated with the first reactant in the first chamber, to a gaseous second reactant under the plasma treatment in the second chamber, wherein the thin film deposited by the first reactant is treated to form the same materials or a different material.
  • Repeating of the nanolayer deposition steps between the first and second chambers deposit a thick film with thickness controlled by the number of repeats.
  • an apparatus to perform semiconductor processing includes a high density inductive coupled plasma generator to generate plasma; and a process chamber housing the plasma generator, wherein the chamber exposes a device to a gaseous first reactant, wherein the first reactant deposits on the device to form the thin film and, after purging, exposes the device, coated with the first reactant, to a gaseous second reactant under plasma, wherein the thin film deposited by the first reactant is treated to form the same materials or a different material.
  • the method can provide deposition of copper metal from Cu hfacI and plasma (gas), Cu hfacII and plasma (gas), CuI 4 and plasma (gas), CuCl 4 and plasma (gas), and organo metallic and plasma (gas); of titanium nitride from TDMAT and plasma (gas), TDEAT and plasma (gas), TMEAT and plasma (gas), TiCl 4 and plasma (gas), TiI 4 and plasma (gas), and organo metallic and plasma (gas); of tantalum nitride from PDMAT and plasma (gas), PDEAT and plasma (gas), and organo metallic and plasma (gas); wherein gas is one of N 2 , H 2 , Ar, He, NH 3 , and combinations thereof
  • Implementations of the apparatus can include gas distribution, chuck, vaporizer, pumping port to pump, and port for gas purge.
  • the resulting deposition is highly conformal and is similar in quality to that of atomic layer deposition.
  • the nanolayer thick film deposition process provides almost 100% conformal deposition on complex topography as that in semiconductor devices having 0.1 micron width with an aspect ratio greater than 8:1.
  • Excellent conformality of film is achieved with NLD similar to that of ALD, and far superior than conformality of thick CVD film.
  • such conformality is achieved at high speed since multiple atomic layers are deposited at once, in contrast to conventional monolayer deposition techniques such as atomic layer deposition technique.
  • a film with thickness of more than a monolayer to a few nanometers is deposited.
  • the advantage of NLD over ALD is thus throughput is higher than that of ALD, since multiple atomic layers are deposited in contrast to ALD.
  • NLD NLD
  • the precursors or gases in NLD process are not limited to only those having the self-limiting surface reactions since NLD is a deposition process. NLD thus is precursor-dependent and can be used to deposit a vast number of film materials from currently available precursors. Since NLD process has high throughput, the minimal volume constraint as in ALD process is not necessary, and conventional CVD chamber can be used to achieve highly conformal, high quality, high throughput films.
  • the helical ribbon provides a highly uniform plasma and also results in a chamber with a small volume.
  • the system enables high precision etching, deposition or sputtering performance. This is achieved using the pulse modulation of a radio frequency powered plasma source, which enables a tight control the radical production ratio in plasmas, the ion temperature and the charge accumulation. Also, since the time for accumulation of charges in a wafer is on the order of milli-seconds, the accumulation of charges to the wafer is suppressed by the pulse-modulated plasma on the order of micro-seconds, and this enables the suppression of damage to devices on the wafer caused by the charge accumulation and of notches caused during the electrode etching process.
  • the system requires that the substrate be heated to a relatively low temperature such as 400 degrees Celsius.
  • the system attains highly efficient plasma operation in a compact substrate process module that can attain excellent characteristics for etching, depositing or sputtering of semiconductor wafers as represented by high etch rate, high uniformity, high selectivity, high anisotropy, and low damage.
  • the system achieves high density and highly uniform plasma operation at low pressure for etching substrates and for deposition of films on to substrates. Additionally, the system is capable of operating with a wide variety of gases and combinations of gases, including highly reactive and corrosive gases.
  • FIGS. 2A-2C show more details of the helical ribbon of FIG. 1 .
  • FIG. 3 shows a flowchart of one exemplary semiconductor manufacturing process using the system of FIG. 1 .
  • FIGS. 4A-4B show exemplary generator embodiments.
  • FIG. 5 shows a multi-chamber semiconductor processing system.
  • FIG. 6 shows an exemplary an apparatus for liquid and vapor precursor delivery.
  • FIGS. 7A-7B show two operating conditions of an embodiment to perform plasma deposition.
  • FIG. 8 shows a flow chart of a nanolayer thick film process in accordance with one embodiment of the invention.
  • FIG. 9 shows an SEM of an exemplary wafer created in accordance with one embodiment of the invention.
  • FIG. 10 shows a plot of film resistance increase as a function of time for an exemplary process recipe.
  • the chamber 102 includes a plasma excitation circuit 106 driven by a solid state plasma generator 110 with fast ignition capability.
  • a plasma source is the Litmas source, available from LITMAS Worldwide of Matthews, N.C.
  • the generator 110 includes a switching power supply 112 that is connected to an alternating current (AC) line.
  • the power supply 112 rectifies AC input and switches the AC input to drive an RF amplifier 116 .
  • the RF amplifier 116 operates at a reference frequency (13.56 MHz, for example) provided by a reference frequency generator 104 .
  • the RF amplifier 116 drives current through a power measurement circuit 118 that provides feedback signals to a comparator 120 and to the reference frequency generator 104 .
  • the plasma excitation circuit 106 uses helical ribbon electrodes 170 in the chamber. However, other equivalent circuits can be used, including an external electrode of capacitance coupling or inductance coupling type, for example.
  • a heat exchanger 182 Positioned above the helical ribbon electrodes 170 is a heat exchanger 182 that removes heat from the helical ribbon electrodes 170 during operation.
  • the heat exchanger is a pipe that circulates fluid to remove heat. The fluid moves through the pipe and the helical ribbon electrodes 170 .
  • a controller 130 generates a periodic pulse and drives one input of the frequency reference 104 .
  • the pulse effectively turns on or off the plasma generation.
  • One embodiment of the controller 130 generates a pulse with a frequency of ten hertz (10 Hz) or less.
  • the pulse generated has a pulse-width of approximately two hundred fifty (250) millisecond and the pulse is repeated approximately every fifty (50) microseconds.
  • FIG. 1B includes a helical ribbon electrode 252 connected to a generator 250 .
  • the helical ribbon electrode 252 rests above a dielectric wall 254 .
  • the dielectric wall 254 rests above a chamber 256 and is supported by chamber walls 258 .
  • the dielectric wall 254 allows the energy generated from the generator 250 to pass through to generate a plasma inside the chamber 256 .
  • the dielectric materials can be any non-metallic materials such as ceramics, glass, quartz, or plastic.
  • FIG. 1C shows a third embodiment where the helical ribbon electrode 262 is positioned inside a chamber 266 with walls 268 .
  • the walls 268 has a electrical feed through 255 through which the generator 250 can drive the helical ribbon electrode 262 .
  • FIG. 1D shows a fourth embodiment where the helical ribbon electrode 272 wraps around a tubular dielectric wall 278 .
  • a chamber 276 is positioned within the helical ribbon electrode 272 and the tubular dielectric wall 278 .
  • FIG. 1E shows a fifth embodiment optimized for pulsed processing.
  • This embodiment defines an elongated chamber 286 with a small volume above a wafer 283 .
  • the volume is dependent on the diameter of the wafer 283 and the distance between a helical ribbon electrode 282 and the wafer. Typically, the distance is less than five (5) inches, but can also be between one and three inches.
  • the helical ribbon electrode 252 in turn is driven by the generator 250 .
  • the large ratio of the width to the thickness of the ribbon electrode allows the short distance (less than 5 inches, and typically between 1 and 3 inches) and still offers the plasma uniformity required on the wafer surface.
  • the characteristics of a film deposited by the above techniques are dependent upon the electron temperature in the plasma, the energy of ion incident on a substrate, and the ion and radical produced in the vicinity of an ion sheath.
  • the electron temperature distribution in the plasma, the kind of each of the ion and radical produced in the plasma, and the ratio between the amount of the ion and the amount of the radical can be controlled by modulating a high-frequency voltage in the same manner as having been explained with respect to the plasma etching. Accordingly, when conditions for depositing a film having excellent characteristics are known, the discharge plasma is controlled by a modulated signal according to the present invention so that the above conditions are satisfied. Thus, the processing characteristics with respect to the film deposition can be improved.
  • FIGS. 2A-2C show more details of the helical ribbon 170 .
  • an elongate conductive coil 172 insulated by a sheet of dielectric material 174 is wound to form a cylindrical helix.
  • the two sides of the helix are then compressed into planes such that the coil 172 surfaces in each side lie flat and engage the adjacent side of the sheet of dielectric material 174 .
  • the ribbon coil 172 may have about three to ten turns and may be made of any conductive, ductile metal, such as copper or aluminum.
  • the coil 172 has a width that is substantially greater than its thickness. Preferably, the width is approximately one hundred times the thickness, although the ratio of width w to thickness t may conceivably range from 1 to 10000, depending on mechanical considerations and/or electrical parameters. Mechanical considerations affecting the optimum width/thickness ratio include, for example, build height and turns ratio.
  • the coil 172 has three turns, with the width of the coil 172 at about 40 millimeters and a thickness at about one millimeter.
  • Electrical parameters affecting the optimum width/thickness ratio include electrical resistance, skin effect, and proximity effect, for example.
  • the conductive coil 172 and dielectric sheet 174 are wound in one continuous direction on a cylindrical mandrel and then compressed into a plane. With the exception of the outermost coil layers, the compressed coil engages on one side a sheet 174 A of dielectric material, and on the other side a sheet 174 B of dielectric material. Bends (not illustrated) are formed in the ribbon coil 172 near the ends so that the ends project radially from conductive coil 172 for external connection.
  • the conductive coil 172 is then compressed into a plane such that the coils lie flat and engage one side of the dielectric material sheet 174 .
  • the compressed sides form flat, concentric spirals.
  • the width conductive coil 172 is smaller than the width of the dielectric material sheet 172 such that, when compressed, the interior or exterior of adjacent coil surfaces does not touch.
  • the ends of the ribbon coil 172 project from the outer coil surfaces, where attachment to other electrical components can readily be accomplished.
  • the coil 172 may be adhered to sheet 174 of dielectric material by at least two methods.
  • One method is to provide a sheet of dielectric material that is coated on both sides with thermal set adhesive (not illustrated). After compression, a winder is heated sufficiently to activate the thermal set adhesive to adhere the coil 172 to the dielectric material sheet 174 .
  • the coil 172 may be adhered to sheet 174 by insulating adhesive tape (not illustrated) disposed between each coil layer.
  • the helical ribbon 170 is available from LITMAS Corporation of Matthews, N.C.
  • the helical ribbon 170 enhances the uniformity of power density due to its width/thickness ratio. Power transmittance is higher because the ribbon 170 is closer to chamber. Hence, power loss is reduced.
  • the ribbon 170 is low in profile, and supports a high density, low profile semiconductor processing system.
  • the choice of activation switch for any device fabrication process also may significantly affect the final semiconductor device properties.
  • the gas in the chamber is purged (step 206 ), and the chamber is ready to accept further processing.
  • suitable processing gas is introduced into the chamber (step 208 ).
  • the chamber is pressurized to a high pressure level above approximately one hundred milliTorr (100 mTorr) (step 209 ), and the controller 130 is periodically turned on to drive the desired process (step 210 ).
  • the gas in the chamber is purged (step 212 ), and the chamber is ready to accept yet another layer of material. This process is repeated for each layer in the multi-layer wafer.
  • thin film is deposited using chemical vapor deposition by evacuating a chamber of gases; exposing a part to a gaseous first reactant, wherein the first reactant deposits on the part to form the thin film; evacuating the chamber of gases; exposing the part, coated with the first reactant, to a gaseous second reactant of plasma at a high pressure, wherein the plasma converts the second reactant on the part to one or more elements, wherein the thin film deposited is treated; and evacuating the chamber of gases.
  • FIG. 4A shows one exemplary controller 300 .
  • the controller 300 includes a computer 302 driving a digital to analog converter (DAC) 306 .
  • the DAC 306 generates shaped waveforms and is connected to a high-voltage isolation unit 308 such as a power transistor or a relay to drive the plasma generator 110 .
  • the controller 300 can generate various waveforms such as a rectangular wave and a sinusoidal wave, and moreover can change the period and amplitude of such waveforms.
  • the RF power supplied to a plasma is modulated with a rectangular wave.
  • the modulation waveform is not limited to the rectangular wave.
  • the modulation waveform is determined in accordance with these factors.
  • the use of a rectangular wave as the modulation waveform has an advantage that a processing condition can be readily set and the plasma processing can be readily controlled. It is to be noted that since the rectangular wave modulates the signal from the RF source in a discrete fashion, the rectangular wave can readily set the processing condition, as compared with the sinusoidal wave and the compound wave of it. Further, the pulse generator can also generate amplitude modulated signals in addition or in combination with the frequency modulated signals.
  • FIG. 4B shows an exemplary embodiment that uses a timer chip such as a 555 timer, available from Signetics of Sunnyvale, Calif.
  • the timer chip 555 is preconfigured through suitable resistive-capacitive (RC) network to generate pulses at specified intervals.
  • the timer chip 555 generates shaped waveforms and is connected to a high-voltage isolation unit 308 such as a power transistor or a relay to drive the plasma generator 110 , as discussed above.
  • a high-voltage isolation unit 308 such as a power transistor or a relay to drive the plasma generator 110 , as discussed above.
  • the processing system 800 has a plurality of chambers 802 , 804 , 806 , 808 and 810 adapted to receive and process wafers 842 .
  • Controllers 822 , 824 , 826 , 828 and 830 control each of the chambers 802 , 804 , 808 and 810 , respectively.
  • a controller 821 controls another chamber, which is not shown for illustrative purposes.
  • Each of chambers 802 - 810 provides a lid 104 on the chamber body 102 .
  • the lid 104 can be actuated into the open position so that components inside the chamber body 102 can be readily accessed for cleaning or replacement as needed.
  • the chambers 802 - 810 are connected to a transfer chamber 840 that receives a wafer 842 .
  • the wafer 842 rests on top of a robot blade or arm 846 .
  • the robot blade 846 receives wafer 842 from an outside processing area.
  • the transport of wafers 842 between processing areas entails passing the wafers through one or more doors separating the areas.
  • the doors can be load lock chambers 860 - 862 for passing a wafer-containing container or wafer boat that can hold about twenty-five wafers in one embodiment.
  • the wafers 842 are transported in the container through the chamber from one area to another area.
  • the load lock can also provide an air circulation and filtration system that effectively flushes the ambient air surrounding the wafers.
  • Each load lock chamber 860 or 862 is positioned between sealed opening 850 or 852 , and provides the ability to transfer semiconductor wafers between fabrication areas.
  • the load locks 860 - 862 can include an air circulation and filtration system that effectively flushes the ambient air surrounding the wafers.
  • the air within each load lock chamber 860 or 862 can also be purged during wafer transfer operations, significantly reducing the number of airborne contaminants transferred from one fabrication area into the other.
  • the load lock chambers 860 - 862 can also include pressure sensors 870 - 872 that take air pressure measurements for control purposes.
  • a wafer cassette on a wafer boat is loaded at openings 850 - 852 in front of the system to a load lock through the load lock doors.
  • the doors are closed, and the system is evacuated to a pressure as measured by the pressure sensors 870 - 872 .
  • a slit valve (not shown) is opened to allow the wafer to be transported from the load lock into the transfer chamber.
  • the robot blade takes the wafer and delivers the wafer to an appropriate chamber.
  • a second slit valve opens between the transfer chamber and process chamber, and wafer is brought inside the process chamber.
  • Containers thus remain within their respective fabrication areas during wafer transfer operations, and any contaminants clinging to containers are not transferred with the wafers from one fabrication area into the other.
  • the air within the transfer chamber can be purged during wafer transfer operations, significantly reducing the number of airborne contaminants transferred from one fabrication area into the other.
  • the transfer chamber provides a high level of isolation between fabrication stations.
  • FIG. 6 shows an exemplary apparatus 40 for liquid and vapor precursor delivery using either the system 100 or the system 300 .
  • the apparatus 40 includes a chamber 44 such as a CVD or NLD chamber.
  • the chamber 40 includes a chamber body 71 that defines an evacuable enclosure for carrying out substrate processing.
  • the chamber body has a plurality of ports including at least a substrate entry port that is selectively sealed by a slit valve and a side port through which a substrate support member can move.
  • the apparatus 40 also includes a vapor precursor injector 46 connected to the chamber 44 and a liquid precursor injector 42 connected to the chamber 44 .
  • a precursor 60 is placed in a sealed container 61 .
  • An inert gas 62 such as argon, is injected into the container 61 through a tube 63 to increase the pressure in the container 61 to cause the copper precursor 60 to flow through a tube 64 when a valve 65 is opened.
  • the liquid precursor 60 is metered by a liquid mass flow controller 66 and flows into a tube 67 and into a vaporizer 68 , which is attached to the CVD or NLD chamber 71 .
  • the vaporizer 68 heats the liquid causing the precursor 60 to vaporize into a gas 69 and flow over a substrate 70 , which is heated to an appropriate temperature by a susceptor to cause the copper precursor 60 to decompose and deposit a copper layer on the substrate 70 .
  • the CVD chamber 71 is sealed from the atmosphere with exhaust pumping 72 and allows the deposition to occur in a controlled partial vacuum.
  • a liquid precursor 88 is contained in a sealed container 89 which is surrounded by a temperature controlled jacket 91 and allows the precursor temperature to be controlled to within 0.1.degree. C.
  • a thermocouple (not shown) is immersed in the precursor 88 and an electronic control circuit (not shown) controls the temperature of the jacket 91 , which controls the temperature of the liquid precursor and thereby controls the precursor vapor pressure.
  • the liquid precursor can be either heated or cooled to provide the proper vapor pressure required for a particular deposition process.
  • a carrier gas 80 is allowed to flow through a gas mass flow controller 82 when valve 83 and either valve 92 or valve 95 but not both are opened.
  • additional gas mass flow controllers 86 to allow additional gases 84 to also flow when valve 87 is opened, if desired.
  • Additional gases 97 can also be injected into the vaporizer 68 through an inlet tube attached to valve 79 , which is attached to a gas mass flow controller 99 .
  • a certain amount of precursor 88 will be carried by the carrier gases 80 and 84 , and exhausted through tube 93 when valve 92 is open.
  • valve 92 is closed and valve 95 is opened allowing the carrier gases 80 and 84 and the precursor vapor to enter the vaporizer 68 through the attached tube 96 attached tube 96 .
  • a vapor distribution system such as a shower head 68 or a distribution ring (not shown), is used to evenly distribute the precursor vapor over the substrate 70 .
  • the flow rate of the carrier gas can be accurately controlled to as little as 1 sccm per minute and the vapor pressure of the precursor can be reduced to a fraction of an atmosphere by cooling the precursor 88 .
  • Such an arrangement allows for accurately controlling the deposition rate to less than 10 angstroms per minute if so desired.
  • FIGS. 7A-7B show two operating conditions of an embodiment 600 to perform high pressure barrier pulsed plasma atomic layer deposition.
  • FIG. 7A shows the embodiment 600 in a deposition condition
  • FIG. 7B shows the embodiment 600 in a rest condition.
  • a chamber 602 receives gases through one or more gas inlets 604 .
  • a solid state plasma generator 605 is mounted on top of the chamber 602 and one or more plasma excitation coils 607 are positioned near the gas inlets 604 .
  • a liquid precursor system 606 introduces precursor gases through a vaporizer 609 into the chamber 602 using a precursor distribution ring 630 .
  • a chuck 608 movably supports a substrate 610 .
  • the chuck 608 and the substrate 610 are elevated and ready for deposition.
  • the substrate 610 is positioned inside the chamber.
  • Suitable processing gas is introduced into the chamber through the inlets 604 , and a pulsed plasma controller 605 is periodically turned on in accordance with a process activation switch to drive the desired process.
  • the particular type of process to be performed affects the process activation switch choice.
  • the choice of activation switch for any device fabrication process regardless of whether the process is a deposition or etch process, also may significantly affect the final semiconductor device properties.
  • the gas in the chamber 602 is purged, and the chamber 602 is ready to accept further processing. This process is repeated for each layer in the multi-layer wafer.
  • the chuck 608 is lowered and the substrate 610 can be removed through an opening 611 .
  • the system allows the substrates to have temperature uniformity through reliable real-time, multi-point temperature measurements in a closed-loop temperature control.
  • the control portion is implemented in a computer program executed on a programmable computer having a processor, a data storage system, volatile and non-volatile memory and/or storage elements, at least one input device and at least one output device.
  • Each computer program is tangibly stored in a machine-readable storage medium or device (e.g., program memory 522 or magnetic disk) readable by a general or special purpose programmable computer, for configuring and controlling operation of a computer when the storage media or device is read by the computer to perform the processes described herein.
  • a machine-readable storage medium or device e.g., program memory 522 or magnetic disk
  • the invention may also be considered to be embodied in a computer-readable storage medium, configured with a computer program, where the storage medium so configured causes a computer to operate in a specific and predefined manner to perform the functions described herein.
  • Steps 1-3 relate to pre-cleaning of the substrate surface.
  • the chamber is brought to a low pressure by turning on a pump for 4 seconds.
  • the plasma is struck for 3 seconds.
  • the strike operation allows the plasma to be started at low pressure and then the plasma is turned on for 15 seconds at high pressure.
  • the plasma is turned on at a pressure of 0.4 Torr to provide high pressure, high density plasma for isotropic surface conditioning.
  • a first deposition step is performed for 6 seconds.
  • the chamber is purged with carrier gas or an inert gas such as N 2 for 3 seconds, and the valve to the pump is open on for 3 seconds to remove all liquid precursors and/or vapor residues in the chamber.
  • a plasma strike operation is performed for 3 seconds, and plasma treatment for the first deposition is activated for 30 seconds at high pressure of 0.4 Torr.
  • the process loops back to step 4.
  • the plasma is turned off for one second and the substrate is optionally cooled down for 30 seconds before it is removed from the chamber.
  • the timing of the steps are illustrative and can be varied from as low as a half a second to as high as five minutes, depending on the desired property of the film and the film quality, among others.
  • FIG. 8 shows a flow chart of a nanolayer thick film process in accordance with one embodiment of the invention.
  • Nanolayer deposition (NLD) technique is a combination of ALD and CVD and thus makes use of the advantages of both ALD and CVD.
  • the process of FIG. 8 includes evacuating a chamber of gases (step 802 ); exposing a device to a gaseous first reactant, wherein the first reactant deposits on the device to form the thin film; purging the chamber (step 804 ); evacuating the chamber of gases (step 806 ); and exposing the device, coated with the first reactant, to a gaseous second reactant under plasma, wherein the thin film deposited by the first reactant is treated to form the same materials or a different material (step 808 ).
  • a first gas reactant is flown over wafer surface and deposits on the wafer.
  • the amount of the first gas reactant into the chamber is controlled by a liquid flow controller (LFC) and valves to control the deposition of a layer that is more than a monolayer thick to a few nanometers thick.
  • the first reactant then is purged with inert gas (optional), and pumped.
  • a second reactant is flown into chamber to react with the first reactant to form a layer that is more than a monolayer thick to a few nanometers thick.
  • a high density plasma may be used during the second reactant injection to enhance or maintain the conformality of the deposited film on complex topography.
  • the density of the deposited film may also increased after the high density plasma treatment during the second reactant injection.
  • the second reactant then is optionally purged and removed by pumping.
  • Other reactants can be flown in to react with deposited and reacted film to form a final film.
  • the above steps are repeated to form a thick film that is a multiple in thickness of the layer that is more than a monolayer thick to a few nanometers thick.
  • the thickness of each repeat or cycle deposited thus is more than a monolayer thick but within a thickness that the subsequent reactants under high density plasma can react with the full thickness of the deposited film to achieve a high quality and uniform film.
  • the materials deposit on the wafer in the deposition temperature regime and not adsorption regime.
  • the temperature in some cases is higher than the temperature of the ALD process, but lower than that of the conventional CVD process. Since it is a deposition process rather than a self-limiting surface adsorption reaction process, the deposition rate in the NLD process to achieve a thickness of more than a monolayer to a few nanometer is controlled by an LFC and valves.
  • the deposition rate in NLD process to achieve a film thickness of more than a monolayer to a few nanometers can also be controlled by tailoring the wafer temperature or chuck (or susceptor) temperature, process pressure, gas flow rate, among others.
  • the process of deposition of nanolayer thick film provides almost completely conformal deposition on complex topography as that in semiconductor devices having 0.1 micron width with an aspect ratio of more than 8:1. Excellent conformality of film is achieved with NLD similar to that of ALD, and far superior than conformality of thick CVD film. Since in each cycle of NLD process, a film of more than a monolayer to a few nanometers thick film is deposited, throughput is higher than that of ALD, when a film of a few nanometer thick to tens of nanometers thick is required. The temperature of deposition is lower than CVD and adequate for other semiconductor processes.
  • the microstructure of the resulting film can be of nanocrystalline structure in an amorphous matrix, which can be ideal for certain applications such as diffusion barrier for copper.
  • NLD is a deposition process
  • the precursors or gases are not limited to only those having the self-limiting surface reaction. NLD thus is precursor-dependent and can be used to deposit a vast number of film materials from currently available precursors. Since NLD process has high throughput, the minimal volume constraint as in ALD process is not necessary, and conventional CVD chamber can be used to achieve highly conformal, high quality, high throughput films.
  • FIG. 9 shows a Transmission Electron Micrograph (TEM) of a structure that is deposited with a thin film in accordance with the steps discussed above.
  • the structure has a height of approximately 800 nm, and an average width of approximately 90 nm.
  • the aspect ratio of the structure is thus more than 8:1.
  • 9 nm thin film of TiN that is deposited film in accordance with the steps discussed above onto the structure from the top.
  • the thickness of the deposited film is approximately the same on top, on the sidewalls, and on the bottom of the structure, within the measurement approximation. Close examination of the micrograph indicates that the conformality of the deposited film is close to 100%.
  • conventional deposition methods using low density plasma--capacitance coupled plasma typically result in a directional, non-isotropic bombardment of plasma treatment, resulting in non-treatment of the sidewalls.
  • FIG. 10 shows a plot of film resistance increase of the titanium nitride (TiN) thin films as a function of time for the above process.
  • TiN titanium nitride
  • the demonstrated TiN film was deposited using TDMAT precursor and N 2 flow under plasma treatment in accordance with the steps discussed above.
  • the wafer temperature during deposition was approximately 325 degrees Celsius.
  • the resistivity of the bulk film is approximately 300 ⁇ -cm.
  • the sheet resistance increases approximately 4.2% for sample 1 which has a thickness of approximately 10 nm, approximately 3.5% for sample 2 which has a thickness of approximately 20 nm, and less than 2% for sample 3 which has a thickness of approximately 60 nm, over a period of approximately twenty four hours.
  • the plot shows that the film is stable with minor resistance fluctuations.
  • Thermal TDMAT and TDEAT process produce highly conformal TiN films but with high carbon contamination, high resistivity of more than 2000 ⁇ -cm, and unstable after air-exposure. Reactions with these films with NH 3 in various plasma reduce the impurities and resistivity, the conformality however is also degraded. Nitrogen plasma treatments have also been studied with TDMAT precursor. In general however, conventional deposition methods using low density plasma-capacitance coupled plasma in down-stream or parallel plate configuration typically result in a directional, non-isotropic bombardment of plasma treatment, resulting in non-treatment of the sidewalls. More details on TiN deposition using different precursors and plasma treatments are discussed in K.C. Park et al., the content of which is incorporated by reference.
  • the radiation source can be a radio frequency heater rather than a lamp.
  • the scope of the invention is defined by the appended claims. It is further contemplated that the appended claims will cover such modifications that fall within the true scope of the invention.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

A process to deposit a thin film by chemical vapor deposition includes evacuating a chamber of gases; exposing a device to a gaseous first reactant, wherein the first reactant deposits on the device to form the thin film having a plurality of monolayers in thickness; evacuating the chamber of gases; exposing the device, coated with the first reactant, to a gaseous second reactant under a plasma treatment, wherein the thin film is treated by the first reactant; and repeating the previous steps.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of U.S. application Ser. No. 12/783,431, filed May 19, 2010, entitled: “NANOLAYER DEPOSITION USING BIAS POWER TREATMENT”, which is a Continuation-In-Part Patent Application of U.S. application Ser. No. 11/739,637 filed Apr. 24, 2007, entitled: “NANOLAYER THICK FILM PROCESSING SYSTEM”, which is a Divisional Patent Application of U.S. application Ser. No. 10/790,652 filed Mar. 1, 2004, now U.S. Pat. No. 7,235,484, issued Jun. 26, 2007, entitled: “NANOLAYER THICK FILM PROCESSING SYSTEM AND METHOD”, which is a Continuation of application Ser. No. 09/954,244 filed on Sep. 10, 2001, now U.S. Pat. No. 6,756,318, issued Jun. 29, 2004, entitled: “NANOLAYER THICK FILM PROCESSING SYSTEM AND METHOD”, all of which are incorporated herein by reference.
  • BACKGROUND OF THE INVENTION
  • The present invention relates to semiconductor thin film processing. The fabrication of modern semiconductor device structures has traditionally relied on plasma processing in a variety of operations such as etching and deposition. Plasma etching involves using chemically active atoms or energetic ions to remove material from a substrate. Deposition techniques employing plasma includes Chemical Vapor Deposition (CVD) and Physical Vapor Deposition (PVD) or sputtering. PVD uses a high vacuum apparatus and generated plasma that sputters atoms or clusters of atoms toward the surface of the wafer substrates. PVD is a line of sight deposition process that is more difficult to achieve conform film deposition over complex topography such as deposition of a thin and uniform liner or barrier layer over the small trench or via of 0.13 μm or less, especially with high aspect ratio greater than 4:1.
  • In CVD, a gas or vapor mixture is flowed over the wafer surface at an elevated temperature. Reactions then take place at the hot surface where deposition takes place. Temperature of the wafer surface is an important factor in CVD deposition, as it depends on the chemistry of the precursor for deposition and affects the uniformity of deposition over the large wafer surface. CVD typically requires high temperature for deposition which may not be compatible with other processes in the semiconductor process. CVD at lower temperature tends to produce low quality films in term of uniformity and impurities. More details on PVD and CVD are discussed in International Pub. Number WO 00/79019 Al or PCT/US00/17202 to Gadgil, the content of which is incorporated by reference.
  • In a deposition technology known as atomic layer deposition (ALD), various gases are injected into the chamber for as short as 100-500 milliseconds in alternating sequences. For example, a first gas is delivered into the chamber for about 500 milliseconds and the substrate is heated, then the first gas (heat optional) is turned off. Another gas is delivered into the chamber for another 500 milliseconds (heat optional) before the gas is turned off. The next gas is delivered for about 500 milliseconds (and optionally heated) before it is turned off. This sequence is done for until all gases have been cycled through the chamber, each gas sequence forming a monolayer which is highly conformal. ALD technology thus pulses gas injection and heating sequences that are between 100 and 500 milliseconds. This approach has a high dissociation energy requirement to break the bonds in the various precursor gases such as silane and oxygen and thus requires the substrate to be heated to a high temperature, for example in the order of 600-800 degrees Celsius for silane and oxygen processes.
  • U.S. Pat. No. 5,916,365 to Sherman entitled “Sequential chemical vapor deposition” provides for sequential chemical vapor deposition by employing a reactor operated at low pressure, a pump to remove excess reactants, and a line to introduce gas into the reactor through a valve. Sherman exposes the part to a gaseous first reactant, including a non-semiconductor element of the thin film to be formed, wherein the first reactant adsorbs on the part. The Sherman process produces sub-monolayers due to adsorption. The first reactant forms a monolayer on the part to be coated (after multiple cycles), while the second reactant passes through a radical generator which partially decomposes or activates the second reactant into a gaseous radical before it impinges on the monolayer. This second reactant does not necessarily form a monolayer but is available to react with the monolayer. A pump removes the excess second reactant and reaction products completing the process cycle. The process cycle can be repeated to grow the desired thickness of film.
  • U.S. Pat. No. 6,200,893 to Sneh entitled “Radical-assisted sequential CVD” discusses a method for CVD deposition on a substrate wherein radical species are used in alternate steps to depositions from a molecular precursor to treat the material deposited from the molecular precursor and to prepare the substrate surface with a reactive chemical in preparation for the next molecular precursor step. By repetitive cycles a composite integrated film is produced. In a preferred embodiment the depositions from the molecular precursor are metals, and the radicals in the alternate steps are used to remove ligands left from the metal precursor reactions, and to oxidize or nitride the metal surface in subsequent layers.
  • In one embodiment taught by Sneh, a metal is deposited on a substrate surface in a deposition chamber by (a) depositing a monolayer of metal on the substrate surface by flowing a molecular precursor gas or vapor bearing the metal over a surface of the substrate, the surface saturated by a first reactive species with which the precursor will react by depositing the metal and forming reaction product, leaving a metal surface covered with ligands from the metal precursor and therefore not further reactive with the precursor; (b) terminating flow of the precursor gas or vapor; (c) purging the precursor with inert gas; (d) flowing at least one radical species into the chamber and over the surface, the radical species highly reactive with the surface ligands of the metal precursor layer and eliminating the ligands as reaction product, and also saturating the surface, providing the first reactive species; and (e) repeating the steps in order until a metallic film of desired thickness results.
  • In another Sneh aspect, a metal nitride is deposited on a substrate surface in a deposition chamber by (a) depositing a monolayer of metal on the substrate surface by flowing a metal precursor gas or vapor bearing the metal over a surface of the substrate, the surface saturated by a first reactive species with which the precursor will react by depositing the metal and forming reaction product, leaving a metal surface covered with ligands from the metal precursor and therefore not further reactive with the precursor; (b) terminating flow of the precursor gas or vapor; (c) purging the precursor with inert gas; (d) flowing a first radical species into the chamber and over the surface, the atomic species highly reactive with the surface ligands of the metal precursor layer and eliminating the ligands as reaction product and also saturating the surface; (e) flowing radical nitrogen into the chamber to combine with the metal monolayer deposited in step (a), forming a nitride of the metal; (f) flowing a third radical species into the chamber terminating the surface with the first reactive species in preparation for a next metal deposition step; and (g) repeating the steps in order until a composite film of desired thickness results.
  • The Sneh embodiments thus deposit monolayers, one at a time. This process is relatively time-consuming as a thick film is desired.
  • In comparison with CVD, atomic layer deposition (ALD or ALCVD) is a modified CVD process that is temperature sensitive and flux independent. ALD is based on self-limiting surface reaction. ALD provides a uniform deposition over complex topography and temperature independent since the gases are adsorbed onto the surface and lower temperature than CVD because it is in adsorption regime. As discussed in Sherman and Sneh, the ALD process includes cycles of flowing gas reactant into the chamber, adsorbing one sub-monolayer onto the wafer surface, purging the gas reactant, flowing a second gas reactant into the chamber, and reacting the second gas reactant with the first gas reactant to form a monolayer on the wafer substrate. Thick film is achieved by deposition of multiple cycles.
  • Precise thickness can be controlled by number of cycles since monolayer is deposited per cycle. However, the conventional ALD method is slow in depositing films such as those around 100 angstroms in thickness. Growth rate of ALE TiN for example was reported at 0.2 angstrom/cycle, which is typical of metal nitrides from corresponding chlorides and NH3.
  • The throughput in device fabrication for a conventional ALD system is slow. Even if the chamber is designed with minimal volume, the throughput is still slow due to the large number of cycles required to achieve the thickness. Conventional ALD is a slower process than CVD with a rate of deposition almost 10 times as slow as CVD deposition. The process is also chemical dependent to have the proper self-limiting surface reaction for deposition.
  • SUMMARY OF THE INVENTION
  • In one aspect, a process of depositing a thin film by chemical vapor deposition includes evacuating a chamber of gases; exposing a device to a gaseous first reactant, wherein the first reactant deposits on the device to form the thin film; evacuating the chamber of gases; and exposing the device, coated with the first reactant, to a gaseous second reactant under plasma, wherein the thin film deposited by the first reactant is treated to form the same materials or a different material.
  • Implementations of the above aspect may include one or more of the following. The device can be a wafer. The plasma enhances or maintains the thin film conformality. The plasma can be a high density plasma with higher than 5×109 ions/cm3. The reactant can be a metal organic, organic, to form a thin film of metal, metal nitride, or metal oxide. The second reactant is exposed under high pressure above 100 mTorr. The first and second reactants react and the reaction creates a new compound. The thin film thickness is more than one atomic layer thickness. The thin film thickness can be between a fraction of a nanometer and tens of nanometers. The plasma can be sequentially pulsed for each layer to be deposited. The plasma can be excited with a solid state RF plasma source such as a helical ribbon electrode. The chamber containing the device can be purged. The process includes pre-cleaning a surface of a device; evacuating a chamber; stabilizing precursor flow and pressure; exposing the device to a first reactant, wherein the first reactant deposits on the device to form the nanolayer thin film having a thickness of more than one atomic layer; purging the chamber; evacuating the chamber; striking the plasma; performing a plasma treatment on the deposited film; exposing the device, coated with the first reactant, to a gaseous second reactant under the plasma treatment, wherein the thin film deposited by the first reactant is treated to form the same materials or a different material. Repeating of the nanolayer deposition steps deposit a thick film with thickness controlled by the number of repeats.
  • In another aspect, the deposition steps discussed above can take place in multiple chambers. The process includes pre-cleaning of the device surface, evacuating the chamber, stabilizing precursor flow and pressure, exposing the device to a first reactant, wherein the first reactant deposits on the device to form the nanolayer thin film having a thickness of more than one atomic layer, purging the chamber, evacuating the chamber; then the device is transferred to another chamber that is purged and pumped, then striking the plasma, performing a plasma treatment on the deposited film, exposing the device, coated with the first reactant in the first chamber, to a gaseous second reactant under the plasma treatment in the second chamber, wherein the thin film deposited by the first reactant is treated to form the same materials or a different material. Repeating of the nanolayer deposition steps between the first and second chambers deposit a thick film with thickness controlled by the number of repeats.
  • In another aspect, an apparatus to perform semiconductor processing includes a high density inductive coupled plasma generator to generate plasma; and a process chamber housing the plasma generator, wherein the chamber exposes a device to a gaseous first reactant, wherein the first reactant deposits on the device to form the thin film and, after purging, exposes the device, coated with the first reactant, to a gaseous second reactant under plasma, wherein the thin film deposited by the first reactant is treated to form the same materials or a different material. The method can provide deposition of copper metal from Cu hfacI and plasma (gas), Cu hfacII and plasma (gas), CuI4 and plasma (gas), CuCl4 and plasma (gas), and organo metallic and plasma (gas); of titanium nitride from TDMAT and plasma (gas), TDEAT and plasma (gas), TMEAT and plasma (gas), TiCl4 and plasma (gas), TiI4 and plasma (gas), and organo metallic and plasma (gas); of tantalum nitride from PDMAT and plasma (gas), PDEAT and plasma (gas), and organo metallic and plasma (gas); wherein gas is one of N2, H2, Ar, He, NH3, and combinations thereof
  • Implementations of the apparatus can include gas distribution, chuck, vaporizer, pumping port to pump, and port for gas purge.
  • Advantages of the system may include one or more of the followings. The resulting deposition is highly conformal and is similar in quality to that of atomic layer deposition. The nanolayer thick film deposition process provides almost 100% conformal deposition on complex topography as that in semiconductor devices having 0.1 micron width with an aspect ratio greater than 8:1. Excellent conformality of film is achieved with NLD similar to that of ALD, and far superior than conformality of thick CVD film. Further, such conformality is achieved at high speed since multiple atomic layers are deposited at once, in contrast to conventional monolayer deposition techniques such as atomic layer deposition technique. In each cycle of NLD process, a film with thickness of more than a monolayer to a few nanometers is deposited. The advantage of NLD over ALD is thus throughput is higher than that of ALD, since multiple atomic layers are deposited in contrast to ALD.
  • The microstructure of the film resulting from NLD can be of a nanocrystalline grain structure in an amorphous matrix using the NLD technique, since a film of more than a monolayer to a few nanometer thick is deposited in each cycle. This structure is not typical of conventional CVD or PVD processes. The surface morphology of the films deposited by NLD technique is also smoother than that of films deposited by the conventional CVD technique. This microstructure and morphology can be ideal for certain applications. In the application of copper diffusion barrier thin film deposition, this microstructure of the barrier thin film is a key to the resistance to copper. In fact, our initial data show that our NLD TiN film deposited from TDMAT precursor and N2 plasma has superior barrier properties to PVD TiN, PVD TaN, or conventional CVD TiN. Additionally, the low temperature of the NLD deposition process (lower than CVD) is consistent with the processing requirements of advanced films such as low-k dielectric.
  • The precursors or gases in NLD process are not limited to only those having the self-limiting surface reactions since NLD is a deposition process. NLD thus is precursor-dependent and can be used to deposit a vast number of film materials from currently available precursors. Since NLD process has high throughput, the minimal volume constraint as in ALD process is not necessary, and conventional CVD chamber can be used to achieve highly conformal, high quality, high throughput films.
  • Other advantages of the system may include one or more of the followings. The helical ribbon provides a highly uniform plasma and also results in a chamber with a small volume. The system enables high precision etching, deposition or sputtering performance. This is achieved using the pulse modulation of a radio frequency powered plasma source, which enables a tight control the radical production ratio in plasmas, the ion temperature and the charge accumulation. Also, since the time for accumulation of charges in a wafer is on the order of milli-seconds, the accumulation of charges to the wafer is suppressed by the pulse-modulated plasma on the order of micro-seconds, and this enables the suppression of damage to devices on the wafer caused by the charge accumulation and of notches caused during the electrode etching process. The system requires that the substrate be heated to a relatively low temperature such as 400 degrees Celsius.
  • Yet other advantages may include one or more of the followings. The system attains highly efficient plasma operation in a compact substrate process module that can attain excellent characteristics for etching, depositing or sputtering of semiconductor wafers as represented by high etch rate, high uniformity, high selectivity, high anisotropy, and low damage. The system achieves high density and highly uniform plasma operation at low pressure for etching substrates and for deposition of films on to substrates. Additionally, the system is capable of operating with a wide variety of gases and combinations of gases, including highly reactive and corrosive gases.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A-1E show exemplary embodiments of a plasma processing system with a helical ribbon.
  • FIGS. 2A-2C show more details of the helical ribbon of FIG. 1.
  • FIG. 3 shows a flowchart of one exemplary semiconductor manufacturing process using the system of FIG. 1.
  • FIGS. 4A-4B show exemplary generator embodiments.
  • FIG. 5 shows a multi-chamber semiconductor processing system.
  • FIG. 6 shows an exemplary an apparatus for liquid and vapor precursor delivery.
  • FIGS. 7A-7B show two operating conditions of an embodiment to perform plasma deposition.
  • FIG. 8 shows a flow chart of a nanolayer thick film process in accordance with one embodiment of the invention.
  • FIG. 9 shows an SEM of an exemplary wafer created in accordance with one embodiment of the invention.
  • FIG. 10 shows a plot of film resistance increase as a function of time for an exemplary process recipe.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • FIG. 1A shows an exemplary plasma processing system 100 with a processing chamber 102. The process chamber 102 has a chamber body enclosing components of the process chamber such as a chuck 103 supporting a substrate 105. The process chamber typically maintains vacuum and provides a sealed environment for process gases during substrate processing. On occasions, the process chamber needs to be periodically accessed to cleanse the chamber and to remove unwanted materials cumulating in the chamber. To support maintenance for the process chamber, an opening is typically provided at the top of the process chamber that is sufficiently large to provide access to the internal components of the process chamber.
  • The chamber 102 includes a plasma excitation circuit 106 driven by a solid state plasma generator 110 with fast ignition capability. One commercially available plasma source is the Litmas source, available from LITMAS Worldwide of Matthews, N.C. The generator 110 includes a switching power supply 112 that is connected to an alternating current (AC) line. The power supply 112 rectifies AC input and switches the AC input to drive an RF amplifier 116. The RF amplifier 116 operates at a reference frequency (13.56 MHz, for example) provided by a reference frequency generator 104. The RF amplifier 116 drives current through a power measurement circuit 118 that provides feedback signals to a comparator 120 and to the reference frequency generator 104. In this embodiment, power is measured only once, and the information is used to control the RF amplifier 116 gain, as well as a tuning system if needed. Power is then delivered to an output match section 122, which directly drives the plasma excitation circuit 106. In one embodiment, the plasma excitation circuit 106 uses helical ribbon electrodes 170 in the chamber. However, other equivalent circuits can be used, including an external electrode of capacitance coupling or inductance coupling type, for example. Positioned above the helical ribbon electrodes 170 is a heat exchanger 182 that removes heat from the helical ribbon electrodes 170 during operation. In one embodiment, the heat exchanger is a pipe that circulates fluid to remove heat. The fluid moves through the pipe and the helical ribbon electrodes 170. Fluid then enters the heat exchanger 182 and traverses through a loop. Thermal energy in the form of heat transfers to fluid in another loop, which is cooler in temperature and draws heat away from the heat in the fluid in the first loop. In a specific embodiment, cooling fluid enters and leaves the heat exchanger 182.
  • A controller 130 generates a periodic pulse and drives one input of the frequency reference 104. The pulse effectively turns on or off the plasma generation. One embodiment of the controller 130 generates a pulse with a frequency of ten hertz (10 Hz) or less. In another embodiment, the pulse generated has a pulse-width of approximately two hundred fifty (250) millisecond and the pulse is repeated approximately every fifty (50) microseconds.
  • Turning now to FIG. 1B, a second embodiment is shown. FIG. 1B includes a helical ribbon electrode 252 connected to a generator 250. The helical ribbon electrode 252 rests above a dielectric wall 254. The dielectric wall 254 rests above a chamber 256 and is supported by chamber walls 258. The dielectric wall 254 allows the energy generated from the generator 250 to pass through to generate a plasma inside the chamber 256. The dielectric materials can be any non-metallic materials such as ceramics, glass, quartz, or plastic.
  • FIG. 1C shows a third embodiment where the helical ribbon electrode 262 is positioned inside a chamber 266 with walls 268. The walls 268 has a electrical feed through 255 through which the generator 250 can drive the helical ribbon electrode 262.
  • FIG. 1D shows a fourth embodiment where the helical ribbon electrode 272 wraps around a tubular dielectric wall 278. A chamber 276 is positioned within the helical ribbon electrode 272 and the tubular dielectric wall 278.
  • FIG. 1E shows a fifth embodiment optimized for pulsed processing. This embodiment defines an elongated chamber 286 with a small volume above a wafer 283. The volume is dependent on the diameter of the wafer 283 and the distance between a helical ribbon electrode 282 and the wafer. Typically, the distance is less than five (5) inches, but can also be between one and three inches. The helical ribbon electrode 252 in turn is driven by the generator 250. The large ratio of the width to the thickness of the ribbon electrode allows the short distance (less than 5 inches, and typically between 1 and 3 inches) and still offers the plasma uniformity required on the wafer surface.
  • The characteristics of a film deposited by the above techniques are dependent upon the electron temperature in the plasma, the energy of ion incident on a substrate, and the ion and radical produced in the vicinity of an ion sheath. The electron temperature distribution in the plasma, the kind of each of the ion and radical produced in the plasma, and the ratio between the amount of the ion and the amount of the radical, can be controlled by modulating a high-frequency voltage in the same manner as having been explained with respect to the plasma etching. Accordingly, when conditions for depositing a film having excellent characteristics are known, the discharge plasma is controlled by a modulated signal according to the present invention so that the above conditions are satisfied. Thus, the processing characteristics with respect to the film deposition can be improved.
  • FIGS. 2A-2C show more details of the helical ribbon 170. In FIG. 2A, an elongate conductive coil 172 insulated by a sheet of dielectric material 174 is wound to form a cylindrical helix. The two sides of the helix are then compressed into planes such that the coil 172 surfaces in each side lie flat and engage the adjacent side of the sheet of dielectric material 174.
  • The ribbon coil 172 may have about three to ten turns and may be made of any conductive, ductile metal, such as copper or aluminum. The coil 172 has a width that is substantially greater than its thickness. Preferably, the width is approximately one hundred times the thickness, although the ratio of width w to thickness t may conceivably range from 1 to 10000, depending on mechanical considerations and/or electrical parameters. Mechanical considerations affecting the optimum width/thickness ratio include, for example, build height and turns ratio. In one embodiment, the coil 172 has three turns, with the width of the coil 172 at about 40 millimeters and a thickness at about one millimeter.
  • Electrical parameters affecting the optimum width/thickness ratio include electrical resistance, skin effect, and proximity effect, for example. During manufacturing, the conductive coil 172 and dielectric sheet 174 are wound in one continuous direction on a cylindrical mandrel and then compressed into a plane. With the exception of the outermost coil layers, the compressed coil engages on one side a sheet 174A of dielectric material, and on the other side a sheet 174B of dielectric material. Bends (not illustrated) are formed in the ribbon coil 172 near the ends so that the ends project radially from conductive coil 172 for external connection.
  • The conductive coil 172 is then compressed into a plane such that the coils lie flat and engage one side of the dielectric material sheet 174. The compressed sides form flat, concentric spirals. The width conductive coil 172 is smaller than the width of the dielectric material sheet 172 such that, when compressed, the interior or exterior of adjacent coil surfaces does not touch. The ends of the ribbon coil 172 project from the outer coil surfaces, where attachment to other electrical components can readily be accomplished.
  • The coil 172 may be adhered to sheet 174 of dielectric material by at least two methods. One method is to provide a sheet of dielectric material that is coated on both sides with thermal set adhesive (not illustrated). After compression, a winder is heated sufficiently to activate the thermal set adhesive to adhere the coil 172 to the dielectric material sheet 174. Alternatively, the coil 172 may be adhered to sheet 174 by insulating adhesive tape (not illustrated) disposed between each coil layer. In one embodiment, the helical ribbon 170 is available from LITMAS Corporation of Matthews, N.C.
  • The helical ribbon 170 enhances the uniformity of power density due to its width/thickness ratio. Power transmittance is higher because the ribbon 170 is closer to chamber. Hence, power loss is reduced. The ribbon 170 is low in profile, and supports a high density, low profile semiconductor processing system.
  • FIG. 3 shows a flowchart of one exemplary semiconductor manufacturing process using the system 100 of FIG. 1. First, a wafer is positioned inside the chamber (step 200). Next, suitable processing gas is introduced into the chamber (step 202). The chamber is pressurized to a high pressure level such as four hundred millitorr (400 mTorr). The pressure level can range between about one hundred milliTorr (100 mTorr) to about ten Torr (10 Torr) (step 203). The controller 130 is periodically turned on in accordance with a process activation switch to drive the desired process (step 204). The particular type of process to be performed affects the process activation switch choice. The choice of activation switch for any device fabrication process, regardless of whether the process is a deposition or etch process, also may significantly affect the final semiconductor device properties. At the conclusion of the processing of one layer of material, the gas in the chamber is purged (step 206), and the chamber is ready to accept further processing. Thus, for the next layer of material, suitable processing gas is introduced into the chamber (step 208). The chamber is pressurized to a high pressure level above approximately one hundred milliTorr (100 mTorr) (step 209), and the controller 130 is periodically turned on to drive the desired process (step 210). At the conclusion of the processing of the second layer of material, the gas in the chamber is purged (step 212), and the chamber is ready to accept yet another layer of material. This process is repeated for each layer in the multi-layer wafer.
  • In another embodiment, thin film is deposited using chemical vapor deposition by evacuating a chamber of gases; exposing a part to a gaseous first reactant, wherein the first reactant deposits on the part to form the thin film; evacuating the chamber of gases; exposing the part, coated with the first reactant, to a gaseous second reactant of plasma at a high pressure, wherein the plasma converts the second reactant on the part to one or more elements, wherein the thin film deposited is treated; and evacuating the chamber of gases.
  • FIG. 4A shows one exemplary controller 300. The controller 300 includes a computer 302 driving a digital to analog converter (DAC) 306. The DAC 306 generates shaped waveforms and is connected to a high-voltage isolation unit 308 such as a power transistor or a relay to drive the plasma generator 110. The controller 300 can generate various waveforms such as a rectangular wave and a sinusoidal wave, and moreover can change the period and amplitude of such waveforms. Further, in the above explanation, the RF power supplied to a plasma is modulated with a rectangular wave. However, the modulation waveform is not limited to the rectangular wave. In other words, when a desired ion energy distribution, a desired electron temperature distribution, and a desired ratio between the amount of the desired ion and the amount of the desired radical, are known, the modulation waveform is determined in accordance with these factors. The use of a rectangular wave as the modulation waveform has an advantage that a processing condition can be readily set and the plasma processing can be readily controlled. It is to be noted that since the rectangular wave modulates the signal from the RF source in a discrete fashion, the rectangular wave can readily set the processing condition, as compared with the sinusoidal wave and the compound wave of it. Further, the pulse generator can also generate amplitude modulated signals in addition or in combination with the frequency modulated signals.
  • FIG. 4B shows an exemplary embodiment that uses a timer chip such as a 555 timer, available from Signetics of Sunnyvale, Calif. The timer chip 555 is preconfigured through suitable resistive-capacitive (RC) network to generate pulses at specified intervals. The timer chip 555 generates shaped waveforms and is connected to a high-voltage isolation unit 308 such as a power transistor or a relay to drive the plasma generator 110, as discussed above.
  • Referring now to FIG. 5, a multi-chamber semiconductor processing system 800 is shown. The processing system 800 has a plurality of chambers 802, 804, 806, 808 and 810 adapted to receive and process wafers 842. Controllers 822, 824, 826, 828 and 830 control each of the chambers 802, 804, 808 and 810, respectively. Additionally, a controller 821 controls another chamber, which is not shown for illustrative purposes.
  • Each of chambers 802-810 provides a lid 104 on the chamber body 102. During maintenance operations, the lid 104 can be actuated into the open position so that components inside the chamber body 102 can be readily accessed for cleaning or replacement as needed.
  • The chambers 802-810 are connected to a transfer chamber 840 that receives a wafer 842. The wafer 842 rests on top of a robot blade or arm 846. The robot blade 846 receives wafer 842 from an outside processing area.
  • The transport of wafers 842 between processing areas entails passing the wafers through one or more doors separating the areas. The doors can be load lock chambers 860-862 for passing a wafer-containing container or wafer boat that can hold about twenty-five wafers in one embodiment. The wafers 842 are transported in the container through the chamber from one area to another area. The load lock can also provide an air circulation and filtration system that effectively flushes the ambient air surrounding the wafers.
  • Each load lock chamber 860 or 862 is positioned between sealed opening 850 or 852, and provides the ability to transfer semiconductor wafers between fabrication areas. The load locks 860-862 can include an air circulation and filtration system that effectively flushes the ambient air surrounding the wafers. The air within each load lock chamber 860 or 862 can also be purged during wafer transfer operations, significantly reducing the number of airborne contaminants transferred from one fabrication area into the other. The load lock chambers 860-862 can also include pressure sensors 870-872 that take air pressure measurements for control purposes.
  • During operation, a wafer cassette on a wafer boat is loaded at openings 850-852 in front of the system to a load lock through the load lock doors. The doors are closed, and the system is evacuated to a pressure as measured by the pressure sensors 870-872. A slit valve (not shown) is opened to allow the wafer to be transported from the load lock into the transfer chamber. The robot blade takes the wafer and delivers the wafer to an appropriate chamber. A second slit valve opens between the transfer chamber and process chamber, and wafer is brought inside the process chamber.
  • Containers thus remain within their respective fabrication areas during wafer transfer operations, and any contaminants clinging to containers are not transferred with the wafers from one fabrication area into the other. In addition, the air within the transfer chamber can be purged during wafer transfer operations, significantly reducing the number of airborne contaminants transferred from one fabrication area into the other. Thus during operation, the transfer chamber provides a high level of isolation between fabrication stations.
  • FIG. 6 shows an exemplary apparatus 40 for liquid and vapor precursor delivery using either the system 100 or the system 300. The apparatus 40 includes a chamber 44 such as a CVD or NLD chamber. The chamber 40 includes a chamber body 71 that defines an evacuable enclosure for carrying out substrate processing. The chamber body has a plurality of ports including at least a substrate entry port that is selectively sealed by a slit valve and a side port through which a substrate support member can move. The apparatus 40 also includes a vapor precursor injector 46 connected to the chamber 44 and a liquid precursor injector 42 connected to the chamber 44.
  • In the liquid precursor injector 42, a precursor 60 is placed in a sealed container 61. An inert gas 62, such as argon, is injected into the container 61 through a tube 63 to increase the pressure in the container 61 to cause the copper precursor 60 to flow through a tube 64 when a valve 65 is opened. The liquid precursor 60 is metered by a liquid mass flow controller 66 and flows into a tube 67 and into a vaporizer 68, which is attached to the CVD or NLD chamber 71. The vaporizer 68 heats the liquid causing the precursor 60 to vaporize into a gas 69 and flow over a substrate 70, which is heated to an appropriate temperature by a susceptor to cause the copper precursor 60 to decompose and deposit a copper layer on the substrate 70. The CVD chamber 71 is sealed from the atmosphere with exhaust pumping 72 and allows the deposition to occur in a controlled partial vacuum.
  • In the vapor precursor injector 46, a liquid precursor 88 is contained in a sealed container 89 which is surrounded by a temperature controlled jacket 91 and allows the precursor temperature to be controlled to within 0.1.degree. C. A thermocouple (not shown) is immersed in the precursor 88 and an electronic control circuit (not shown) controls the temperature of the jacket 91, which controls the temperature of the liquid precursor and thereby controls the precursor vapor pressure. The liquid precursor can be either heated or cooled to provide the proper vapor pressure required for a particular deposition process. A carrier gas 80 is allowed to flow through a gas mass flow controller 82 when valve 83 and either valve 92 or valve 95 but not both are opened. Also shown is one or more additional gas mass flow controllers 86 to allow additional gases 84 to also flow when valve 87 is opened, if desired. Additional gases 97 can also be injected into the vaporizer 68 through an inlet tube attached to valve 79, which is attached to a gas mass flow controller 99. Depending on its vapor pressure, a certain amount of precursor 88 will be carried by the carrier gases 80 and 84, and exhausted through tube 93 when valve 92 is open.
  • After the substrate has been placed into the CVD or NLD chamber 71, it is heated by a heater 100 or 300, as discussed above. After the substrate has reached an appropriate temperature, valve 92 is closed and valve 95 is opened allowing the carrier gases 80 and 84 and the precursor vapor to enter the vaporizer 68 through the attached tube 96 attached tube 96. Such a valve arrangement prevents a burst of vapor into the chamber 71. A vapor distribution system, such as a shower head 68 or a distribution ring (not shown), is used to evenly distribute the precursor vapor over the substrate 70. After a predetermined time, depending on the deposition rate and the thickness required for the initial film deposition, valve 95 is closed and valve 92 is opened. The flow rate of the carrier gas can be accurately controlled to as little as 1 sccm per minute and the vapor pressure of the precursor can be reduced to a fraction of an atmosphere by cooling the precursor 88. Such an arrangement allows for accurately controlling the deposition rate to less than 10 angstroms per minute if so desired.
  • FIGS. 7A-7B show two operating conditions of an embodiment 600 to perform high pressure barrier pulsed plasma atomic layer deposition. FIG. 7A shows the embodiment 600 in a deposition condition, while FIG. 7B shows the embodiment 600 in a rest condition. Referring now to FIGS. 7A-7B, a chamber 602 receives gases through one or more gas inlets 604. A solid state plasma generator 605 is mounted on top of the chamber 602 and one or more plasma excitation coils 607 are positioned near the gas inlets 604. A liquid precursor system 606 introduces precursor gases through a vaporizer 609 into the chamber 602 using a precursor distribution ring 630.
  • A chuck 608 movably supports a substrate 610. In FIG. 6A, the chuck 608 and the substrate 610 are elevated and ready for deposition. The substrate 610 is positioned inside the chamber. Suitable processing gas is introduced into the chamber through the inlets 604, and a pulsed plasma controller 605 is periodically turned on in accordance with a process activation switch to drive the desired process. The particular type of process to be performed affects the process activation switch choice. The choice of activation switch for any device fabrication process, regardless of whether the process is a deposition or etch process, also may significantly affect the final semiconductor device properties. At the conclusion of the processing of one layer of material, the gas in the chamber 602 is purged, and the chamber 602 is ready to accept further processing. This process is repeated for each layer in the multi-layer wafer. At the conclusion of deposition of all layers, the chuck 608 is lowered and the substrate 610 can be removed through an opening 611.
  • The system allows the substrates to have temperature uniformity through reliable real-time, multi-point temperature measurements in a closed-loop temperature control. The control portion is implemented in a computer program executed on a programmable computer having a processor, a data storage system, volatile and non-volatile memory and/or storage elements, at least one input device and at least one output device.
  • Each computer program is tangibly stored in a machine-readable storage medium or device (e.g., program memory 522 or magnetic disk) readable by a general or special purpose programmable computer, for configuring and controlling operation of a computer when the storage media or device is read by the computer to perform the processes described herein. The invention may also be considered to be embodied in a computer-readable storage medium, configured with a computer program, where the storage medium so configured causes a computer to operate in a specific and predefined manner to perform the functions described herein.
  • The results of one experimental run are discussed next. First, an exemplary process recipe for depositing titanium nitride is detailed below:
  • Pressure
    Process step time function (Torr) carrier liquid N2 plasma H2 chuck
    Pre-clean 1 4 s Pump 0 0 0 0 0 0 up
    2 3 s Strike 0 0 0 5 1200 0 up
    3 15 s  Plasma 0.4 100 0 5 1200 0 up
    deposition 4 10 s  Stab 1.5 100 −10 −0 0 0 up
    5 6 s dep1 1.5 100 10 0 0 0 up
    6 3 s Purge 0 100 0 0 0 0 up
    7 3 s Pump 0 0 0 0 0 0 up
    8 3 s Strike 0 0 0 5 1200 0 up
    9 30 s  plasma1 0.4 100 0 5 1200 0 up
    10 loop to step 4 (stabl
    Cooling 11 1 s Plasma off 0 100 0 0 0 0 up
    12 30 s  Cool 0 100 0 0 0 0 down
  • Steps 1-3 relate to pre-cleaning of the substrate surface. In these steps, the chamber is brought to a low pressure by turning on a pump for 4 seconds. Next, the plasma is struck for 3 seconds. The strike operation allows the plasma to be started at low pressure and then the plasma is turned on for 15 seconds at high pressure. The plasma is turned on at a pressure of 0.4 Torr to provide high pressure, high density plasma for isotropic surface conditioning.
  • After pre-cleaning, the flow and pressure is stabilized for 10 seconds. A first deposition step is performed for 6 seconds. The chamber is purged with carrier gas or an inert gas such as N2 for 3 seconds, and the valve to the pump is open on for 3 seconds to remove all liquid precursors and/or vapor residues in the chamber. A plasma strike operation is performed for 3 seconds, and plasma treatment for the first deposition is activated for 30 seconds at high pressure of 0.4 Torr. For each additional deposition, the process loops back to step 4. When the wafer deposition is complete, the plasma is turned off for one second and the substrate is optionally cooled down for 30 seconds before it is removed from the chamber. The timing of the steps are illustrative and can be varied from as low as a half a second to as high as five minutes, depending on the desired property of the film and the film quality, among others.
  • FIG. 8 shows a flow chart of a nanolayer thick film process in accordance with one embodiment of the invention. Nanolayer deposition (NLD) technique is a combination of ALD and CVD and thus makes use of the advantages of both ALD and CVD. The process of FIG. 8 includes evacuating a chamber of gases (step 802); exposing a device to a gaseous first reactant, wherein the first reactant deposits on the device to form the thin film; purging the chamber (step 804); evacuating the chamber of gases (step 806); and exposing the device, coated with the first reactant, to a gaseous second reactant under plasma, wherein the thin film deposited by the first reactant is treated to form the same materials or a different material (step 808).
  • In one embodiment of the process of FIG. 8, a first gas reactant is flown over wafer surface and deposits on the wafer. The amount of the first gas reactant into the chamber is controlled by a liquid flow controller (LFC) and valves to control the deposition of a layer that is more than a monolayer thick to a few nanometers thick. The first reactant then is purged with inert gas (optional), and pumped. A second reactant is flown into chamber to react with the first reactant to form a layer that is more than a monolayer thick to a few nanometers thick. A high density plasma may be used during the second reactant injection to enhance or maintain the conformality of the deposited film on complex topography. The density of the deposited film may also increased after the high density plasma treatment during the second reactant injection. The second reactant then is optionally purged and removed by pumping. Other reactants can be flown in to react with deposited and reacted film to form a final film. The above steps are repeated to form a thick film that is a multiple in thickness of the layer that is more than a monolayer thick to a few nanometers thick. The thickness of each repeat or cycle deposited thus is more than a monolayer thick but within a thickness that the subsequent reactants under high density plasma can react with the full thickness of the deposited film to achieve a high quality and uniform film.
  • For the above NLD process, the materials deposit on the wafer in the deposition temperature regime and not adsorption regime. The temperature in some cases is higher than the temperature of the ALD process, but lower than that of the conventional CVD process. Since it is a deposition process rather than a self-limiting surface adsorption reaction process, the deposition rate in the NLD process to achieve a thickness of more than a monolayer to a few nanometer is controlled by an LFC and valves. The deposition rate in NLD process to achieve a film thickness of more than a monolayer to a few nanometers can also be controlled by tailoring the wafer temperature or chuck (or susceptor) temperature, process pressure, gas flow rate, among others.
  • The process of deposition of nanolayer thick film provides almost completely conformal deposition on complex topography as that in semiconductor devices having 0.1 micron width with an aspect ratio of more than 8:1. Excellent conformality of film is achieved with NLD similar to that of ALD, and far superior than conformality of thick CVD film. Since in each cycle of NLD process, a film of more than a monolayer to a few nanometers thick film is deposited, throughput is higher than that of ALD, when a film of a few nanometer thick to tens of nanometers thick is required. The temperature of deposition is lower than CVD and adequate for other semiconductor processes. Since a film of more than a monolayer to a few nanometer thick is deposited in each cycle, the microstructure of the resulting film can be of nanocrystalline structure in an amorphous matrix, which can be ideal for certain applications such as diffusion barrier for copper. Since NLD is a deposition process, the precursors or gases are not limited to only those having the self-limiting surface reaction. NLD thus is precursor-dependent and can be used to deposit a vast number of film materials from currently available precursors. Since NLD process has high throughput, the minimal volume constraint as in ALD process is not necessary, and conventional CVD chamber can be used to achieve highly conformal, high quality, high throughput films.
  • FIG. 9 shows a Transmission Electron Micrograph (TEM) of a structure that is deposited with a thin film in accordance with the steps discussed above. The structure has a height of approximately 800 nm, and an average width of approximately 90 nm. The aspect ratio of the structure is thus more than 8:1. Also seen in the Figure is 9 nm thin film of TiN that is deposited film in accordance with the steps discussed above onto the structure from the top. As shown therein, the thickness of the deposited film is approximately the same on top, on the sidewalls, and on the bottom of the structure, within the measurement approximation. Close examination of the micrograph indicates that the conformality of the deposited film is close to 100%. In comparison, conventional deposition methods using low density plasma--capacitance coupled plasma typically result in a directional, non-isotropic bombardment of plasma treatment, resulting in non-treatment of the sidewalls.
  • FIG. 10 shows a plot of film resistance increase of the titanium nitride (TiN) thin films as a function of time for the above process. The demonstrated TiN film was deposited using TDMAT precursor and N2 flow under plasma treatment in accordance with the steps discussed above. The wafer temperature during deposition was approximately 325 degrees Celsius. The resistivity of the bulk film is approximately 300 μΩ-cm. As shown therein, the sheet resistance increases approximately 4.2% for sample 1 which has a thickness of approximately 10 nm, approximately 3.5% for sample 2 which has a thickness of approximately 20 nm, and less than 2% for sample 3 which has a thickness of approximately 60 nm, over a period of approximately twenty four hours. The plot shows that the film is stable with minor resistance fluctuations. The increase in resistance in these TiN films deposited with a thin film in accordance with the steps discussed above is significantly lower than reported values. K.-C. Park et al. reported a 100 nm thick TiN film deposited using TDMAT precursor in an N2 ion-beam-induced plasma CVD system increases almost 10% in resistivity after 24 hour air exposure. The conformality of the films also degrades to below 5%, in contrast to almost 100% conformality achieved with the film deposited in accordance with the steps discussed above. The advantage of using TDMAT precursor for TiN deposition is the lower deposition temperature compared to other precursors such as TiCl4 and NH3 which require a deposition temperature of higher than 600 degrees Celsius for good quality TiN film. Thermal TDMAT and TDEAT process produce highly conformal TiN films but with high carbon contamination, high resistivity of more than 2000 μΩ-cm, and unstable after air-exposure. Reactions with these films with NH3 in various plasma reduce the impurities and resistivity, the conformality however is also degraded. Nitrogen plasma treatments have also been studied with TDMAT precursor. In general however, conventional deposition methods using low density plasma-capacitance coupled plasma in down-stream or parallel plate configuration typically result in a directional, non-isotropic bombardment of plasma treatment, resulting in non-treatment of the sidewalls. More details on TiN deposition using different precursors and plasma treatments are discussed in K.C. Park et al., the content of which is incorporated by reference.
  • It should be realized that the above examples represent a few of a virtually unlimited number of applications of the plasma processing techniques embodied within the scope of the present invention. Furthermore, although the invention has been described with reference to the above specific embodiments, this description is not to be construed in a limiting sense. For example, the duty ratio, cycle time and other parameter/condition may be changed in order to obtain a desired characteristic for the wafer.
  • Various modifications of the disclosed embodiment, as well as alternative embodiments of the invention will become apparent to persons skilled in the art upon reference to the above description. The invention, however, is not limited to the embodiment depicted and described. For instance, the radiation source can be a radio frequency heater rather than a lamp. Hence, the scope of the invention is defined by the appended claims. It is further contemplated that the appended claims will cover such modifications that fall within the true scope of the invention.

Claims (22)

1. A process to deposit a thin film on a device by chemical vapor deposition, comprising:
(a) exposing a device to a gaseous first reactant, wherein the first reactant deposits on the device to form a layer having a thickness of more than a monolayer;
(b) exposing the device, coated with the first reactant, to a gaseous second reactant under a plasma treatment, wherein a full thickness of the layer deposited by the first reactant is treated; and
(c) repeating steps (a) and (b) until the thin film comprising a plurality of layers is deposited.
2. The process of claim 1, wherein the device is a wafer.
3. The process of claim 1, wherein the plasma treatment enhances or maintains the thin film density or conformality.
4. The process of claim 1, wherein the plasma is a high density plasma with higher than 5×109 ions/cm3.
5. The process of claim 1, wherein one of the reactants comprises a metal organic reactant.
6. The process of claim 1, wherein one of the reactants comprises an organic reactant.
7. The process of claim 1, wherein the thin film comprises a metal film.
8. The process of claim 1, wherein the thin film comprises a metal nitride film or a metal oxide film.
9. The process of claim 1, wherein the second reactant is exposed under high pressure above approximately one hundred milliTorr (100 mTorr).
10. The process of claim 1, further comprising pressurizing the chamber to a high pressure above approximately one hundred milliTorr (100 mTorr).
11. The process of claim 1, wherein the first and second reactants react.
12. The process of claim 11, wherein the reaction creates a new compound.
13. The process of claim 1, wherein the thin film thickness is between a fraction of a nanometer and ten nanometers.
14. The process of claim 1, further comprising sequentially pulsing the plasma for each layer to be deposited.
15. The process of claim 1, further comprising exciting the plasma with a solid state RF plasma source.
16. The process of claim 1, further comprising purging a chamber containing the device.
17. The process of claim 1, wherein the plasma treatment is an isotropic plasma treatment.
18. The process of claim 1, wherein the plasma treatment treats the layer deposited by the first reactant to form a different material.
19. The process of claim 1, wherein the plasma treatment treats the layer deposited by the first reactant to form a same material.
20. A process to deposit a thin film by chemical vapor deposition, comprising:
(a) pre-cleaning a surface of a device;
(b) stabilizing precursor flow and pressure;
(c) exposing the device to a gaseous first reactant, wherein the first reactant deposits on the device to form a layer having a thickness of more than a monolayer;
(d) purging the chamber;
(e) striking a plasma;
(f) performing an isotropic plasma treatment for the deposition;
(g) exposing the device, coated with the first reactant, to a gaseous second reactant under the isotropic plasma treatment, the layer deposited by the first reactant is treated; and
(h) repeating steps (b)-(g) until the thin film comprising a plurality of layers is deposited.
21. The process of claim 20, wherein striking the plasma comprises supplying one or a combination of N2, H2, Ar, He and NH3.
22. The process of claim 20, wherein performing the isotropic plasma treatment treats a full thickness of the layer deposited by the first reactant.
US13/449,241 2001-09-10 2012-04-17 Nanolayer deposition using plasma treatment Abandoned US20120202353A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/449,241 US20120202353A1 (en) 2001-09-10 2012-04-17 Nanolayer deposition using plasma treatment

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US09/954,244 US6756318B2 (en) 2001-09-10 2001-09-10 Nanolayer thick film processing system and method
US10/790,652 US7235484B2 (en) 2001-09-10 2004-03-01 Nanolayer thick film processing system and method
US11/739,637 US20070251451A1 (en) 2001-09-10 2007-04-24 Nanolayer Thick Film Processing System
US12/783,431 US9708707B2 (en) 2001-09-10 2010-05-19 Nanolayer deposition using bias power treatment
US13/449,241 US20120202353A1 (en) 2001-09-10 2012-04-17 Nanolayer deposition using plasma treatment

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/783,431 Continuation US9708707B2 (en) 2001-09-10 2010-05-19 Nanolayer deposition using bias power treatment

Publications (1)

Publication Number Publication Date
US20120202353A1 true US20120202353A1 (en) 2012-08-09

Family

ID=43062488

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/783,431 Active 2026-05-28 US9708707B2 (en) 2001-09-10 2010-05-19 Nanolayer deposition using bias power treatment
US13/449,241 Abandoned US20120202353A1 (en) 2001-09-10 2012-04-17 Nanolayer deposition using plasma treatment

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US12/783,431 Active 2026-05-28 US9708707B2 (en) 2001-09-10 2010-05-19 Nanolayer deposition using bias power treatment

Country Status (1)

Country Link
US (2) US9708707B2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080302302A1 (en) * 2006-01-24 2008-12-11 Hitachi Kokusai Electric Inc. Substrate Processing System
US20110265719A1 (en) * 2009-02-09 2011-11-03 Beneq Oy Reaction chamber
US8852693B2 (en) 2011-05-19 2014-10-07 Liquipel Ip Llc Coated electronic devices and associated methods
WO2023114403A1 (en) * 2021-12-16 2023-06-22 The Board Of Trustees Of The University Of Illinois Non-thermal, liquid phase deposition of thin films with vacuum ultraviolet lamps

Families Citing this family (320)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9255329B2 (en) * 2000-12-06 2016-02-09 Novellus Systems, Inc. Modulated ion-induced atomic layer deposition (MII-ALD)
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9136180B2 (en) 2011-06-01 2015-09-15 Asm Ip Holding B.V. Process for depositing electrode with high effective work function
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
CN103608966B (en) * 2011-06-17 2017-02-15 应用材料公司 Pinhole-free dielectric thin film fabrication
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
EP3182500B1 (en) 2012-04-18 2018-06-13 Applied Materials, Inc. Pinhole-free solid state electrolytes with high ionic conductivity
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9607825B2 (en) * 2014-04-08 2017-03-28 International Business Machines Corporation Hydrogen-free silicon-based deposited dielectric films for nano device fabrication
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
KR102637922B1 (en) * 2016-03-10 2024-02-16 에이에스엠 아이피 홀딩 비.브이. Plasma stabilization method and deposition method using the same
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) * 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (en) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. Exhaust apparatus and substrate processing apparatus and thin film fabricating method using the same
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180077392A (en) * 2016-12-28 2018-07-09 삼성전자주식회사 apparatus for processing plasma and method for manufacturing semiconductor device using the same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) * 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (en) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US20190112709A1 (en) * 2017-10-12 2019-04-18 Gelest Technologies, Inc. Methods and System for the Integrated Synthesis, Delivery, and Processing of Source Chemicals for Thin Film Manufacturing
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
CN111344522B (en) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 Including clean mini-environment device
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
KR20200108016A (en) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. Method of depositing a gap fill layer by plasma assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (en) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
TWI815915B (en) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP7202814B2 (en) * 2018-08-31 2023-01-12 キヤノントッキ株式会社 Film forming apparatus, film forming method, and electronic device manufacturing method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) * 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TW202405220A (en) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN109763101A (en) * 2019-01-30 2019-05-17 南京大学 A method of preparing ultra-thin pin-free dielectric film
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
CN111593319B (en) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling recesses formed in a substrate surface
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188254A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP7499079B2 (en) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー Plasma device using coaxial waveguide and substrate processing method
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
KR20210042810A (en) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. Reactor system including a gas distribution assembly for use with activated species and method of using same
CN112635282A (en) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 Substrate processing apparatus having connection plate and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (en) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
KR20210050453A (en) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
TW202140135A (en) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Gas supply assembly and valve plate assembly
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (en) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
TW202140831A (en) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride–containing layer and structure comprising the same
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
CN113571403A (en) * 2020-04-28 2021-10-29 东京毅力科创株式会社 Plasma processing apparatus and plasma processing method
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
KR20210145080A (en) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Apparatus for depositing thin films using hydrogen peroxide
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220006455A (en) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. Method for processing a substrate
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
KR20220081905A (en) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. Silicon precursors for silicon silicon nitride deposition
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US20220364230A1 (en) * 2021-05-12 2022-11-17 Applied Materials, Inc. Pulsing plasma treatment for film densification
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116536650B (en) * 2023-05-05 2023-10-20 浙江大学 Film growth interface optimization method for film growth optimization
CN116536647A (en) * 2023-05-05 2023-08-04 浙江大学 Film for realizing low-temperature high-quality film growth and deposition method thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5654679A (en) * 1996-06-13 1997-08-05 Rf Power Products, Inc. Apparatus for matching a variable load impedance with an RF power generator impedance
US5972179A (en) * 1997-09-30 1999-10-26 Lucent Technologies Inc. Silicon IC contacts using composite TiN barrier layer
US5993916A (en) * 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US6066609A (en) * 1997-07-31 2000-05-23 Siemens Aktiengesellschaft Aqueous solution for cleaning a semiconductor substrate

Family Cites Families (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3632406A (en) * 1970-01-20 1972-01-04 Norton Co Low-temperature vapor deposits of thick film coatings
SE393967B (en) 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
US4439463A (en) * 1982-02-18 1984-03-27 Atlantic Richfield Company Plasma assisted deposition system
EP0117764A1 (en) * 1983-03-01 1984-09-05 Mitsubishi Denki Kabushiki Kaisha Coil device
GB8516537D0 (en) * 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5755886A (en) * 1986-12-19 1998-05-26 Applied Materials, Inc. Apparatus for preventing deposition gases from contacting a selected region of a substrate during deposition processing
US4783248A (en) * 1987-02-10 1988-11-08 Siemens Aktiengesellschaft Method for the production of a titanium/titanium nitride double layer
US4900716A (en) * 1987-05-18 1990-02-13 Sumitomo Electric Industries, Ltd. Process for producing a compound oxide type superconducting material
JPH0743815Y2 (en) * 1988-04-11 1995-10-09 ティーディーケイ株式会社 Tape cassette
US5688565A (en) * 1988-12-27 1997-11-18 Symetrix Corporation Misted deposition method of fabricating layered superlattice materials
US4918031A (en) * 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5102694A (en) * 1990-09-27 1992-04-07 Cvd Incorporated Pulsed chemical vapor deposition of gradient index optical material
US6110531A (en) * 1991-02-25 2000-08-29 Symetrix Corporation Method and apparatus for preparing integrated circuit thin films by chemical vapor deposition
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5314603A (en) * 1991-07-24 1994-05-24 Tokyo Electron Yamanashi Limited Plasma processing apparatus capable of detecting and regulating actual RF power at electrode within chamber
US5242530A (en) * 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
US5627013A (en) * 1991-11-14 1997-05-06 Rohm Co., Ltd. Method of forming a fine pattern of ferroelectric film
JPH05148654A (en) 1991-11-28 1993-06-15 Shinko Seiki Co Ltd Film forming method by pulse plasma cvd and device therefor
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5344792A (en) * 1993-03-04 1994-09-06 Micron Technology, Inc. Pulsed plasma enhanced CVD of metal silicide conductive films such as TiSi2
US5273783A (en) * 1993-03-24 1993-12-28 Micron Semiconductor, Inc. Chemical vapor deposition of titanium and titanium containing films using bis (2,4-dimethylpentadienyl) titanium as a precursor
DE9309251U1 (en) * 1993-06-22 1993-08-19 Rohr Schiffswerft Oberelbe, 01814 Bad Schandau Swimming belt system
KR100264445B1 (en) * 1993-10-04 2000-11-01 히가시 데쓰로 Plasma treatment equipment
KR100276736B1 (en) * 1993-10-20 2001-03-02 히가시 데쓰로 Plasma processing equipment
US5468341A (en) * 1993-12-28 1995-11-21 Nec Corporation Plasma-etching method and apparatus therefor
US5460689A (en) * 1994-02-28 1995-10-24 Applied Materials, Inc. High pressure plasma treatment method and apparatus
US5580385A (en) * 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
US5773363A (en) 1994-11-08 1998-06-30 Micron Technology, Inc. Semiconductor processing method of making electrical contact to a node
US5576071A (en) * 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
US5747116A (en) * 1994-11-08 1998-05-05 Micron Technology, Inc. Method of forming an electrical contact to a silicon substrate
US5989999A (en) * 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
FI100409B (en) * 1994-11-28 1997-11-28 Asm Int Method and apparatus for making thin films
JP3522917B2 (en) * 1995-10-03 2004-04-26 株式会社東芝 Semiconductor device manufacturing method and semiconductor manufacturing apparatus
US5851293A (en) 1996-03-29 1998-12-22 Atmi Ecosys Corporation Flow-stabilized wet scrubber system for treatment of process gases from semiconductor manufacturing operations
RU2169698C2 (en) * 1996-04-26 2001-06-27 Асахи Гласс Компани Лтд. Method of production of polysulfides by electrolytic oxidation
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
JP3718297B2 (en) 1996-08-12 2005-11-24 アネルバ株式会社 Thin film manufacturing method and thin film manufacturing apparatus
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5792522A (en) * 1996-09-18 1998-08-11 Intel Corporation High density plasma physical vapor deposition
US5961793A (en) * 1996-10-31 1999-10-05 Applied Materials, Inc. Method of reducing generation of particulate matter in a sputtering chamber
US5710070A (en) * 1996-11-08 1998-01-20 Chartered Semiconductor Manufacturing Pte Ltd. Application of titanium nitride and tungsten nitride thin film resistor for thermal ink jet technology
JPH10237662A (en) * 1996-12-24 1998-09-08 Sony Corp Plasma cvd method of metallic coating, formation of metallic nitride coating and semiconductor device
US5919531A (en) * 1997-03-26 1999-07-06 Gelest, Inc. Tantalum and tantalum-based films and methods of making the same
US5968610A (en) * 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
US6158384A (en) * 1997-06-05 2000-12-12 Applied Materials, Inc. Plasma reactor with multiple small internal inductive antennas
US6089184A (en) * 1997-06-11 2000-07-18 Tokyo Electron Limited CVD apparatus and CVD method
US6221792B1 (en) * 1997-06-24 2001-04-24 Lam Research Corporation Metal and metal silicide nitridization in a high density, low pressure plasma reactor
US6200651B1 (en) * 1997-06-30 2001-03-13 Lam Research Corporation Method of chemical vapor deposition in a vacuum plasma processor responsive to a pulsed microwave source
US5902563A (en) * 1997-10-30 1999-05-11 Pl-Limited RF/VHF plasma diamond growth method and apparatus and materials produced therein
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6101971A (en) * 1998-05-13 2000-08-15 Axcelis Technologies, Inc. Ion implantation control using charge collection, optical emission spectroscopy and mass analysis
US5985375A (en) * 1998-09-03 1999-11-16 Micron Technology, Inc. Method for pulsed-plasma enhanced vapor deposition
US6159842A (en) * 1999-01-11 2000-12-12 Taiwan Semiconductor Manufacturing Company Method for fabricating a hybrid low-dielectric-constant intermetal dielectric (IMD) layer with improved reliability for multilevel interconnections
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6306211B1 (en) * 1999-03-23 2001-10-23 Matsushita Electric Industrial Co., Ltd. Method for growing semiconductor film and method for fabricating semiconductor device
US6150209A (en) * 1999-04-23 2000-11-21 Taiwan Semiconductor Manufacturing Company Leakage current reduction of a tantalum oxide layer via a nitrous oxide high density annealing procedure
US6268288B1 (en) * 1999-04-27 2001-07-31 Tokyo Electron Limited Plasma treated thermal CVD of TaN films from tantalum halide precursors
US6236076B1 (en) * 1999-04-29 2001-05-22 Symetrix Corporation Ferroelectric field effect transistors for nonvolatile memory applications having functional gradient material
WO2000079019A1 (en) 1999-06-24 2000-12-28 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
US6333202B1 (en) * 1999-08-26 2001-12-25 International Business Machines Corporation Flip FERAM cell and method to form same
US6140249A (en) * 1999-08-27 2000-10-31 Micron Technology, Inc. Low dielectric constant dielectric films and process for making the same
US6146907A (en) * 1999-10-19 2000-11-14 The United States Of America As Represented By The United States Department Of Energy Method of forming a dielectric thin film having low loss composition of Bax Sry Ca1-x-y TiO3 : Ba0.12-0.25 Sr0.35-0.47 Ca0.32-0.53 TiO3
US6406991B2 (en) * 1999-12-27 2002-06-18 Hoya Corporation Method of manufacturing a contact element and a multi-layered wiring substrate, and wafer batch contact board
US6436819B1 (en) * 2000-02-01 2002-08-20 Applied Materials, Inc. Nitrogen treatment of a metal nitride/metal stack
US6743473B1 (en) 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6492283B2 (en) * 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
EP1266054B1 (en) * 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
US6451390B1 (en) * 2000-04-06 2002-09-17 Applied Materials, Inc. Deposition of TEOS oxide using pulsed RF plasma
US6451161B1 (en) * 2000-04-10 2002-09-17 Nano-Architect Research Corporation Method and apparatus for generating high-density uniform plasma
US20010051215A1 (en) * 2000-04-13 2001-12-13 Gelest, Inc. Methods for chemical vapor deposition of titanium-silicon-nitrogen films
US6560991B1 (en) * 2000-12-28 2003-05-13 Kotliar Igor K Hyperbaric hypoxic fire escape and suppression systems for multilevel buildings, transportation tunnels and other human-occupied environments
US6482740B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH
US6521544B1 (en) 2000-08-31 2003-02-18 Micron Technology, Inc. Method of forming an ultra thin dielectric film
US6521529B1 (en) * 2000-10-05 2003-02-18 Advanced Micro Devices, Inc. HDP treatment for reduced nickel silicide bridging
US6689220B1 (en) * 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6613656B2 (en) * 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US20020170677A1 (en) * 2001-04-07 2002-11-21 Tucker Steven D. RF power process apparatus and methods
US7867905B2 (en) * 2001-04-21 2011-01-11 Tegal Corporation System and method for semiconductor processing
US6610169B2 (en) * 2001-04-21 2003-08-26 Simplus Systems Corporation Semiconductor processing system and method
US7442615B2 (en) * 2001-04-21 2008-10-28 Tegal Corporation Semiconductor processing system and method
US6756318B2 (en) * 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
JP4938962B2 (en) * 2001-09-14 2012-05-23 エーエスエム インターナショナル エヌ.ヴェー. Metal nitride deposition by ALD using gettering reactant
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US9121098B2 (en) 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
US6987059B1 (en) * 2003-08-14 2006-01-17 Lsi Logic Corporation Method and structure for creating ultra low resistance damascene copper wiring
US7148155B1 (en) * 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7341959B2 (en) * 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7718538B2 (en) * 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5654679A (en) * 1996-06-13 1997-08-05 Rf Power Products, Inc. Apparatus for matching a variable load impedance with an RF power generator impedance
US5993916A (en) * 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US6066609A (en) * 1997-07-31 2000-05-23 Siemens Aktiengesellschaft Aqueous solution for cleaning a semiconductor substrate
US5972179A (en) * 1997-09-30 1999-10-26 Lucent Technologies Inc. Silicon IC contacts using composite TiN barrier layer

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080302302A1 (en) * 2006-01-24 2008-12-11 Hitachi Kokusai Electric Inc. Substrate Processing System
US8506714B2 (en) * 2006-01-24 2013-08-13 Hitachi Kokusai Electric Inc. Substrate processing system
US8641829B2 (en) * 2006-01-24 2014-02-04 Hitachi Kokusai Electric Inc. Substrate processing system
US20110265719A1 (en) * 2009-02-09 2011-11-03 Beneq Oy Reaction chamber
US8852693B2 (en) 2011-05-19 2014-10-07 Liquipel Ip Llc Coated electronic devices and associated methods
WO2023114403A1 (en) * 2021-12-16 2023-06-22 The Board Of Trustees Of The University Of Illinois Non-thermal, liquid phase deposition of thin films with vacuum ultraviolet lamps

Also Published As

Publication number Publication date
US20100285237A1 (en) 2010-11-11
US9708707B2 (en) 2017-07-18

Similar Documents

Publication Publication Date Title
US6756318B2 (en) Nanolayer thick film processing system and method
US20120202353A1 (en) Nanolayer deposition using plasma treatment
US6921555B2 (en) Method and system for sequential processing in a two-compartment chamber
US7153542B2 (en) Assembly line processing method
US6610169B2 (en) Semiconductor processing system and method
US20020123237A1 (en) Plasma pulse semiconductor processing system and method
US7582544B2 (en) ALD film forming method
US20060046412A1 (en) Method and system for sequential processing in a two-compartment chamber
US6800173B2 (en) Variable gas conductance control for a process chamber
US20060040055A1 (en) Method and system for sequential processing in a two-compartment chamber
US7442615B2 (en) Semiconductor processing system and method
US7959985B2 (en) Method of integrating PEALD Ta-containing films into Cu metallization
US7189432B2 (en) Varying conductance out of a process region to control gas flux in an ALD reactor
US20040058293A1 (en) Assembly line processing system
US8394200B2 (en) Vertical plasma processing apparatus for semiconductor process
JP2004538374A (en) Atomic layer deposition reactor
WO2002043114A2 (en) Plasma enhanced pulsed layer deposition
EP1733069A1 (en) Method and apparatus for forming a metal layer
KR20100132779A (en) Method for manufacturing thin film and apparatus for the same
US7867905B2 (en) System and method for semiconductor processing
US6858085B1 (en) Two-compartment chamber for sequential processing
US7678705B2 (en) Plasma semiconductor processing system and method
KR20220051192A (en) PEALD Titanium Nitride Using Direct Microwave Plasma
US20240170254A1 (en) Batch processing chambers for plasma-enhanced deposition
EP2032744A2 (en) System and method for semiconductor processing

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION