KR20100132779A - Method for manufacturing thin film and apparatus for the same - Google Patents

Method for manufacturing thin film and apparatus for the same Download PDF

Info

Publication number
KR20100132779A
KR20100132779A KR1020090051551A KR20090051551A KR20100132779A KR 20100132779 A KR20100132779 A KR 20100132779A KR 1020090051551 A KR1020090051551 A KR 1020090051551A KR 20090051551 A KR20090051551 A KR 20090051551A KR 20100132779 A KR20100132779 A KR 20100132779A
Authority
KR
South Korea
Prior art keywords
gas
raw material
ionized
reaction
inert gas
Prior art date
Application number
KR1020090051551A
Other languages
Korean (ko)
Other versions
KR101610773B1 (en
Inventor
전성진
김희철
Original Assignee
주성엔지니어링(주)
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주성엔지니어링(주) filed Critical 주성엔지니어링(주)
Priority to KR1020090051551A priority Critical patent/KR101610773B1/en
Publication of KR20100132779A publication Critical patent/KR20100132779A/en
Application granted granted Critical
Publication of KR101610773B1 publication Critical patent/KR101610773B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers

Abstract

PURPOSE: A thin film manufacturing method and an apparatus thereof are provided to offer a thin film having step coverage which is excellent in low temperature by increasing the reactivity between the source material and the reaction material by ion assist ALD(Atomic Layer Deposition). CONSTITUTION: A substrate is settled on the deposition apparatus on the inner side having reaction space. The raw material is supplied to the reaction space and the raw material is adsorbed on the top of the substrate. The raw material which is not adsorbed on the substrate is purged. The ionized reaction gas is provided to the reaction space(10).

Description

박막 형성 방법 및 이의 제조 장치{METHOD FOR MANUFACTURING THIN FILM AND APPARATUS FOR THE SAME}Thin film formation method and manufacturing apparatus therefor {METHOD FOR MANUFACTURING THIN FILM AND APPARATUS FOR THE SAME}

본 발명은 박막 형성 방법 및 이의 제조 장치에 관한 것으로, 저온에서 진공 증착이 가능하고, 막질이 향상되고, 우수한 스텝 커버리지를 갖는 박막 형성 방법 및 이의 제조 장치에 관한 것이다. BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a thin film forming method and a manufacturing apparatus thereof, and to a thin film forming method and a manufacturing apparatus thereof capable of vacuum deposition at low temperature, improved film quality, and excellent step coverage.

종래의 반도체 소자, 평판 표시 소자, 솔라셀 및 다이오드 등에서 사용하는 박막은 진공 증착법에 의해 형성되었다. 그리고, 박막은 그 특성에 따라 사용되는 원료 물질이 매우 다양하다. 또한, 이러한 원료 물질의 다양성으로 인해 그 증착 방법 또한 매우 다양한 실정이다. Thin films used in conventional semiconductor devices, flat panel display devices, solar cells, diodes, and the like have been formed by vacuum deposition. In addition, the thin film has a wide variety of raw materials used according to its characteristics. In addition, due to the variety of raw materials, the deposition method is also very diverse.

이러한 박막 중 하나인 금속 질화막은 고집적화된 반도체 소자의 금속 전극 또는 금속 배선의 배리어 금속층으로 사용된다. 즉, 예를 들어 고유전율(High-K) 물질의 상부 및/또는 하부에 위치한다. One of such thin films, a metal nitride film, is used as a barrier metal layer of a metal electrode or a metal wiring of a highly integrated semiconductor device. That is, for example, it is located above and / or below the high-k material.

종래의 전극으로 사용되는 금속 질화막으로는 TiN, TaN, WN과 같은 물질이 사용된다. 이러한 금속 질화막은 화학 기상 증착법(Chemical Vaper Deposition; CVD)을 이용하여 제작되었다. 이중 TiN의 경우, 소스 물질(즉, 전구체)로 TiCl4를 사용하였고, 반응 가스로 NH3를 사용하였다.As a metal nitride film used as a conventional electrode, materials such as TiN, TaN, and WN are used. The metal nitride film was manufactured by using chemical vapor deposition (CVD). In the case of TiN, TiCl 4 was used as the source material (ie, precursor) and NH 3 was used as the reaction gas.

이러한, 종래의 금속 질화막 제조 방법은 공정 온도가 400도 이상의 고온에서 수행되었다. 따라서, 금속 질화막 형성시의 온도(400도 이상)에 의해 하부 구조물이 열적 손상을 받는 문제가 발생하였다. In the conventional method of manufacturing a metal nitride film, the process temperature is performed at a high temperature of 400 degrees or more. Therefore, there is a problem that the lower structure is thermally damaged by the temperature (400 degrees or more) when forming the metal nitride film.

이뿐만 아니라, 소자의 선폭이 40nm 급 이하로 좁아짐으로 인해 종래의 금속 질화막 제조 방법으로는 금속 질화막의 비저항이 높아지고 스텝 커버리지가 나쁜 단점이 있다. 또한, 반응 가스로 NH3를 사용할 경우, 반응시 발생한 H2에 의해 고유전율 물질 특성을 변화시키는 문제가 발생하였다. In addition, since the line width of the device is narrowed to 40 nm or less, the conventional metal nitride film manufacturing method has a disadvantage in that the resistivity of the metal nitride film is high and the step coverage is bad. In addition, when NH 3 is used as the reaction gas, there is a problem of changing the high dielectric constant material properties by H 2 generated during the reaction.

상기와 같이 종래의 박막 증착 기술로는 400도(바람직하게는 300도) 이하의 저온에서 박막을 증착하는 것이 어려웠다. 또한, 스텝커버리지가 좋치 않아 종횡비가 높은 영역에서 원하는 두께의 박막을 증착시키지 못하는 단점이 있었다. As described above, it was difficult to deposit a thin film at a low temperature of 400 degrees or less (preferably 300 degrees) using a conventional thin film deposition technique. In addition, there is a disadvantage in that the step coverage is not good to deposit a thin film of a desired thickness in a region having a high aspect ratio.

상술한 바와 같은 문제를 해결하기 위해 이온 어시스트 ALD(Atomic Layer Deposition)를 통해, 원료 물질과 반응 물질간의 반응성을 증대시켜 저온에서 우수한 스텝커버리지를 갖는 박막을 제작할 수 있는 박막 형성 방법 및 이의 제조 장치를 제공한다. In order to solve the problems as described above, through the ion assist ALD (Atomic Layer Deposition), to increase the reactivity between the raw material and the reaction material to produce a thin film having a good step coverage at a low temperature and a manufacturing apparatus thereof to provide.

본 발명에 따른 반응 공간을 갖는 증착 장치 내측에 기판을 안치시키는 단계와, 상기 반응 공간에 원료물질을 공급하여 상기 기판 상에 상기 원료물질을 흡착시키는 단계와, 상기 기판에 흡착되지 않은 원료물질을 퍼지하는 단계와, 상기 반응 공간에 이온화된 반응 가스를 공급하여 상기 이온화된 반응 가스와 상기 원료물질을 반응시켜 상기 기판 상에 박막을 형성하는 단계 및 상기 반응 부산물 및 미반응 물질을 퍼지하는 단계를 포함하고, 적어도 상기 원료물질을 흡착시키는 단계에서 이온화된 불활성 가스를 상기 반응 공간에 공급하는 박막 형성 방법을 제공한다.Placing a substrate inside the deposition apparatus having a reaction space according to the present invention, supplying a raw material to the reaction space to adsorb the raw material onto the substrate, and removing the raw material not adsorbed onto the substrate. Purging, supplying an ionized reaction gas to the reaction space to react the ionized reaction gas with the raw material to form a thin film on the substrate, and purging the reaction by-products and unreacted materials. And a thin film forming method of supplying at least an ionized inert gas to the reaction space in the step of adsorbing the raw material.

상기 원료물질 흡착 단계, 원료물질 퍼지 단계, 박막 형성 단계 및 반응 부 산물 및 미반응 물질을 퍼지하는 단계를 복수번 반복하여 목표 두께의 박막을 형성하되, 상기 이온화된 불활성 가스를 모든 단계 동안 공급하거나, 상기 박막 형성 단계를 제외한 나머지 단계 동안 공급하거나, 상기 원료물질 흡착 단계 및 원료물질 퍼지 단계 동안만 공급하는 것이 가능하다. Repeating the raw material adsorption step, raw material purge step, thin film forming step and purging the reaction by-products and unreacted material a plurality of times to form a thin film of the target thickness, supplying the ionized inert gas for all steps or It is possible to supply during the remaining steps except the thin film forming step, or only during the raw material adsorption step and the raw material purge step.

상기 이온화된 불활성 가스의 이온 에너지는 상기 원료물질을 구성하는 요소들의 결합 에너지보다 작은 것이 효과적이다. It is effective that the ion energy of the ionized inert gas is smaller than the binding energy of the elements constituting the raw material.

상기 원료물질의 흡착시 제공되는 상기 이온화된 불활성 가스의 이온 에너지는 상기 이온화된 반응 가스의 이온 에너지보다 작은 것이 가능하다. The ion energy of the ionized inert gas provided upon adsorption of the raw material may be smaller than the ion energy of the ionized reaction gas.

상기 이온화된 불화성 가스 및 상기 이온화된 반응 가스는 불활성 가스와 반응 가스를 제공 받는 외부 이온화 장치를 통해 상기 반응 공간에 공급되고, 상기 원료물질 흡착 단계시 공급되는 이온화된 불활성 가스 형성을 위해 상기 이온화 장치에 제공되는 이온화 에너지가 상기 박막 형성 단계시 공급되는 이온화된 반응 가스 형성을 위해 상기 이온화 장치에 제공되는 이온화 에너지보다 작을 수 있다. The ionized fluorinated gas and the ionized reactant gas are supplied to the reaction space through an external ionization device provided with an inert gas and a reactant gas, and the ionized to form an ionized inert gas supplied during the adsorption of the raw material. The ionization energy provided to the device may be less than the ionization energy provided to the ionizer for forming the ionized reaction gas supplied during the thin film forming step.

상기 이온화 장치는 RF 주파수와, 마이크로 웨이브 및 DC 방전 중 적어도 어느 하나를 이용하여 가스를 이온화시키는 기기를 사용하는 것이 효과적이다. The ionizer is effective to use a device that ionizes the gas by using at least one of RF frequency, microwave and DC discharge.

상기 이온화 장치로 리모트 플라즈마 장치를 사용하는 경우, 상기 원료물질 흡착 단계에서 공급되는 이온화된 불활성 가스 형성을 위해 상기 리모트 플라즈마 장치에 제공되는 RF파워가 300 내지 700W 이고, 상기 박막 형성 단계에서 공급되는 이온화된 반응 가스 형성을 위해 상기 리모트 플라즈마 장치에 제공되는 RF파워는 1K 내지 15KW인 것이 효과적이다.When the remote plasma apparatus is used as the ionizer, the RF power provided to the remote plasma apparatus for forming the ionized inert gas supplied in the raw material adsorption step is 300 to 700 W, and the ionization supplied in the thin film forming step. It is effective that the RF power provided to the remote plasma apparatus for forming the reactive gas is 1K to 15KW.

상기 원료물질을 흡착시키는 단계와 상기 원료물질을 퍼지하는 단계가 동시에 수행될 수 있다. Adsorbing the raw material and purging the raw material may be performed at the same time.

또한, 본 발명에 따른 원료물질을 공급하여 기판에 상기 원료물질을 흡착시키되, 상기 원료물질을 구성하는 요소의 결합 에너지 보다 작은 에너지를 상기 원료물질에 제공하는 단계와, 상기 원료물질을 퍼지하는 단계와, 반응 가스를 공급하여 상기 기판 상에 흡착된 원료물질과 반응시키는 단계와, 상기 반응 가스를 퍼지하는 단계를 포함하는 박막 형성 방법을 제공한다. In addition, supplying the raw material according to the present invention to adsorb the raw material to the substrate, but providing less energy to the raw material than the binding energy of the elements constituting the raw material, and purging the raw material And supplying a reaction gas to react with the raw material adsorbed on the substrate, and purging the reaction gas.

이온화된 불활성 가스 이온을 통해 상기 원료물질에 에너지를 공급하는것이 가능하다. It is possible to supply energy to the raw material through ionized inert gas ions.

상기 이온화된 불활성 가스 이온은 리모트 플라즈마 장치를 이용하여 제공되는 것이 가능하다. The ionized inert gas ions may be provided using a remote plasma apparatus.

상기 이온화된 불활성 가스 이온은 적어도 상기 반응 가스 공급 전까지 제공되는 것이 가능하다. The ionized inert gas ions may be provided at least before the reaction gas supply.

상기 반응 가스는 리모트 플라즈마에 의해 이온화되어 공급되는 것이 가능하다. The reaction gas can be ionized and supplied by a remote plasma.

또한, 본 발명에 따른 반응 공간을 갖는 챔버와, 상기 반응 공간내에 기판을 안치하는 기판 안치부와, 원료 물질과 퍼지 가스를 상기 반응 공간에 분사하는 인젝터부와, 상기 반응 공간에 불활성 가스와 반응 가스 중 적어도 어느 하나의 가스를 이온화하여 제공하는 가스 공급부를 포함하는 박막 제조 장치를 제공한다. Further, a chamber having a reaction space according to the present invention, a substrate placing portion for placing a substrate in the reaction space, an injector portion for injecting a raw material and a purge gas into the reaction space, and reacting with an inert gas in the reaction space Provided is a thin film manufacturing apparatus including a gas supply unit that ionizes and provides at least one of the gases.

상기 가스 공급부는 상기 반응 가스가 저장된 반응 가스 저장부와, 상기 불 활성 가스가 저장된 불활성 가스 저장부와, 상기 반응 가스와 불활성 가스가 이동하고, 상기 챔버에 연통된 파이프와, 상기 반응 가스 저장부 및 상기 불활성 가스 저장부 그리고 상기 파이프간을 연결하고, 상기 반응 가스 및 불활성 가스중 적어도 어느 하나의 가스를 상기 파이프에 제공하는 밸브와, 상기 파이프 내측에 공급된 가스의 이온을 발생시키는 플라즈마 발생 장치를 구비하는 것이 가능하다. The gas supply unit includes a reaction gas storage unit in which the reaction gas is stored, an inert gas storage unit in which the inert gas is stored, a pipe in which the reaction gas and the inert gas move and communicate with the chamber, and the reaction gas storage unit. And a valve connecting the inert gas storage unit and the pipe, the valve providing at least one of the reactive gas and the inert gas to the pipe, and generating ions of the gas supplied inside the pipe. It is possible to have a.

상기 인젝터부는 상기 원료물질 분사 이후 2번의 퍼지 가스를 상기 반응 공간에 분사하고, 상기 가스 공급부는 상기 원료물질이 분사되는 동안 이온화된 불활성 가스를 반응 공간에 공급하고, 상기 2번의 퍼지 가스가 분사되는 사이 구간 동안 이온화된 반응 가스를 반응 공간에 공급하는 것이 가능하다. The injector unit injects two purge gases into the reaction space after the raw material injection, the gas supply unit supplies ionized inert gas to the reaction space while the raw materials are injected, and the two purge gases are injected. It is possible to supply ionized reaction gas to the reaction space during the interval.

상술한 바와 같이 본 발명은 원료물질 공급, 퍼지, 반응 가스 공급 및 퍼지를 수행하여 박막을 증착하는 ALD 공정을 통해 기판 상에 박막을 형성하되, 적어도 원료물질 공급 시 소정의 에너지를 갖는 이온(즉, 플라즈마를 통하여 해리된 불활성 가스)을 공급하여 원료물질의 결합에너지를 깨지 않을 정도의 에너지를 원료물질에 공급할 수 있다. 이를 통해 원료물질의 기판 흡착은 물론 원료물질을 활성화시켜 원료물질과 반응 가스 간의 반응성을 향상시킬 수 있다. As described above, in the present invention, a thin film is formed on a substrate through an ALD process of supplying a raw material, purging, supplying a reactive gas, and purging to form a thin film, and at least supplying ions having a predetermined energy when supplying a raw material (that is, Inert gas dissociated through the plasma) may be supplied to the raw material so as not to break the binding energy of the raw material. Through this, as well as substrate adsorption of the raw material can be activated to improve the reactivity between the raw material and the reaction gas.

또한, 본 발명은 원료물질과 반응 가스간의 반응성을 향상시켜 저온(약 300도 이하)에서 막을 증착할 수 있다. In addition, the present invention can improve the reactivity between the raw material and the reaction gas to deposit a film at a low temperature (about 300 degrees or less).

또한, 본 발명은 원료물질의 흡착 및 반응 가스와 원료물질의 반응에 의해 스텝 커버리지가 우수한 박막을 제조할 수 있다. In addition, the present invention can produce a thin film having excellent step coverage by the adsorption of the raw material and the reaction of the reaction gas and the raw material.

이하, 첨부된 도면을 참조하여 본 발명의 실시예를 더욱 상세히 설명하기로 한다. 그러나 본 발명은 이하에서 개시되는 실시예에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 실시예들은 본 발명의 개시가 완전하도록 하며, 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이다. 도면상에서 동일 부호는 동일한 요소를 지칭한다. Hereinafter, with reference to the accompanying drawings will be described an embodiment of the present invention in more detail. It will be apparent to those skilled in the art that the present invention may be embodied in many different forms and should not be construed as limited to the embodiments set forth herein. Rather, these embodiments are provided so that this disclosure will be thorough and complete, It is provided to let you know. Like numbers refer to like elements in the figures.

도 1은 본 발명의 제 1 실시예에 따른 박막 증착 장치의 단면도이고, 도 2는 제 1 실시예에 따른 박막 증착 장치의 평면 개념도이다. 도 3은 제 1 실시예에 따른 가스 공급부의 단면 개념도이다. 1 is a cross-sectional view of a thin film deposition apparatus according to a first embodiment of the present invention, Figure 2 is a plan view of the thin film deposition apparatus according to the first embodiment. 3 is a cross-sectional conceptual view of a gas supply unit according to the first embodiment.

도 1 내지 도 3을 참조하면, 본 실시예에 따른 박막 증착 장치는 반응 공간을 갖는 챔버(100)와, 상기 반응 공간내에 기판(10)을 안치하는 기판 안치부(200)와, 상기 기판(10)에 원료물질과 퍼지 가스를 각기 다른 경로로 각기 분사하는 인젝터부(300)와, 상기 기판(10)에 이온화된 반응 가스와 불활성 가스를 제공하는 가스 공급부(400)를 포함한다. 여기서, 가스 공급부(400)는 적어도 원료 물질이 제공되는 동안 이온화된 불활성 가스를 챔버(100) 내부로 공급한다. 1 to 3, the thin film deposition apparatus according to the present embodiment includes a chamber 100 having a reaction space, a substrate placing part 200 for placing a substrate 10 in the reaction space, and the substrate ( 10, an injector unit 300 for injecting raw materials and a purge gas into different paths, and a gas supply unit 400 for providing ionized reactant gas and inert gas to the substrate 10. Here, the gas supply unit 400 supplies the ionized inert gas into the chamber 100 at least while the raw material is provided.

챔버(100)는 하부 챔버 몸체(120)와 하부 챔버 몸체(120)를 덮는 챔버 리드(110)를 구비한다. 하부 챔버 몸체(120)는 상측이 개방된 통 형상으로 제작된다. 그리고, 챔버 리드(110)는 상기 통을 덮는 대략 판 형상으로 제작된다. 하부 챔버 몸체(120)와 챔버 리드(110)는 탈착 가능하게 결합된다. 그리고, 도시되지 않았지만, 하부 챔버 몸체(120)의 일측에는 기판(10)이 출입하는 출입구가 마련된다. 여기서, 출입구는 별도의 이송 챔버에 접속될 수도 있다. The chamber 100 has a lower chamber body 120 and a chamber lid 110 covering the lower chamber body 120. The lower chamber body 120 is manufactured in a cylindrical shape with an open upper side. And the chamber lid 110 is manufactured in the substantially plate shape which covers the said cylinder. The lower chamber body 120 and the chamber lid 110 are detachably coupled. And, although not shown, an entrance through which the substrate 10 enters and exits is provided at one side of the lower chamber body 120. Here, the entrance and exit may be connected to a separate transfer chamber.

그리고, 도시되지 않았지만, 챔버(100) 내부의 압력을 조절하는 압력 조절 수단과, 챔버(100) 내부의 불순물 및 반응 부산물을 배기하는 배기부를 더 구비할 수도 있다. 물론 반응성 향상을 위해 챔버(100) 내부를 가열하기 위한 가열 수단을 더 구비할 수도 있다. 본 실시예의 박막 증착 장치는 저온(약 300도 이하)에서 공정이 수행됨으로 인해 챔버(100)를 냉각하기 위한 별도의 냉각 수단을 더 구비할 수도 있다. Although not shown, pressure adjusting means for adjusting the pressure inside the chamber 100 and an exhaust unit for exhausting impurities and reaction by-products inside the chamber 100 may be further provided. Of course, the heating means for heating the inside of the chamber 100 may be further provided to improve the reactivity. The thin film deposition apparatus of this embodiment may further include a separate cooling means for cooling the chamber 100 because the process is performed at a low temperature (about 300 degrees or less).

기판 안치부(200)는 기판(10)을 안치하는 기판 안치판(210)과, 상기 기판 안치판(210)을 승강 및/또는 회전시키는 안치판 구동부(230)와, 안치판 구동부(230)와 기판 안치판(210)간을 연결하는 연결축(220)을 구비한다. 도시되지 않았지만, 기판(10)의 로딩 및 언로딩을 위한 복수의 리프트 핀부를 더 구비할 수 있다. The substrate setter 200 includes a substrate setter plate 210 for placing the substrate 10, a plate setter 230 for lifting and / or rotating the substrate setter plate 210, and a setter plate driver 230. And a connecting shaft 220 connecting the substrate mounting plate 210 with the substrate. Although not shown, a plurality of lift pins for loading and unloading the substrate 10 may be further provided.

본 실시예에서는 상기 기판(10)으로 실리콘 웨이퍼를 사용한다. 물론 이에 한정되지 않고, 박막 형성을 위한 다양한 형태의 기판이 사용될 수 있다. 상기 기판 안치판(210)은 적어도 하나의 기판(10)을 안치하는 것이 바람직하다. 도 2에 도시된 바와 같이 기판 안치판(210)에는 5개의 기판(10)이 안치된다. 이를 통해 한번의 박막 증착 공정을 수행하여 5개의 기판(10) 상에 박막을 증착할 수 있다. 이때, 기판 안치판(210)에 안치되는 기판(10)의 개수는 이에 한정되지 않고, 이보다 많거 나 적을 수 있다. 상기 기판(10)은 그 상부에 소정의 패턴 또는 물질막이 형성될 수 있다. In this embodiment, a silicon wafer is used as the substrate 10. Of course, the present invention is not limited thereto, and various types of substrates for forming a thin film may be used. It is preferable that the substrate mounting plate 210 accommodates at least one substrate 10. As shown in FIG. 2, five substrates 10 are placed on the substrate mounting plate 210. Through this, one thin film deposition process may be performed to deposit thin films on five substrates 10. At this time, the number of the substrate 10 is placed on the substrate mounting plate 210 is not limited to this, may be more or less than this. The substrate 10 may have a predetermined pattern or material film formed thereon.

여기서, 기판 안치판(210)은 기판(10)을 가열 및 냉각하는 온도 조절 수단을 구비할 수도 있다. Here, the substrate mounting plate 210 may be provided with temperature control means for heating and cooling the substrate 10.

기판 안치판(210)은 안치판 구동부(230)에 의해 승하강 및/또는 회전한다. 이를 통해 기판(10)의 공정 위치를 설정할 수 있고, 기판(10)의 로딩 및 언로딩을 용이하게 수행할 수도 있다. 이때, 안치판 구동부(230)로 모터를 구비하는 스테이지를 사용할 수 있다. 그리고, 안치판 구동부(230)는 챔버(100)의 외측에 마련되는 것이 효과적이다. 이를 통해 안치판 구동부(230)의 움직임에 의한 파티클 발생을 방지할 수 있다. The substrate mounting plate 210 is lowered and / or rotated by the mounting plate driver 230. Through this, the process position of the substrate 10 may be set, and the loading and unloading of the substrate 10 may be easily performed. In this case, a stage including a motor may be used as the mounting plate driver 230. And, the base plate driver 230 is effectively provided on the outside of the chamber 100. Through this, particles generated by the movement of the base plate driver 230 may be prevented.

여기서, 연결축(220)에 의해 안치판 구동부(230)의 구동력이 기판 안치판(210)에 전달된다. 연결축(220)은 챔버(100)의 바닥면을 관통하여 기판 안치판(210)에 접속된다. 이때, 연결축(220)이 관통하는 챔버(100)의 관통홀 영역에는 챔버(100)의 밀봉을 위한 벨로우즈(미도시)가 마련되는 것이 효과적이다. Here, the driving force of the base plate driver 230 is transmitted to the substrate base plate 210 by the connecting shaft 220. The connecting shaft 220 penetrates the bottom surface of the chamber 100 and is connected to the substrate mounting plate 210. At this time, it is effective that a bellows (not shown) for sealing the chamber 100 is provided in the through hole region of the chamber 100 through which the connecting shaft 220 passes.

인젝터부(300)는 기판 안치판(210) 상의 기판(10)에 원료 물질과 퍼지가스를 분사한다. The injector unit 300 injects a raw material and a purge gas to the substrate 10 on the substrate mounting plate 210.

이러한 인젝터부(300)는 도 1 및 도 2에 도시된 바와 같이 원료물질을 분사하는 원료물질 분사부(310)와, 퍼지 가스를 분사하는 퍼지 가스 분사부(320)와, 상기 원료물질 분사부(310)와 퍼지 가스 분사부(320)를 회전시키는 회전축(330)과, 상기 회전축(330)을 지지하고, 밀봉하는 하우징(340)과, 원료 물질 분사부(310)에 원료물질을 공급하는 원료물질 공급부(350)와, 퍼지 가스를 퍼지 가스 분사부(320)에 공급하는 퍼지가스 공급부(360)를 포함한다. As shown in FIGS. 1 and 2, the injector unit 300 includes a raw material injection part 310 for injecting raw materials, a purge gas injection part 320 for injecting purge gas, and the raw material injection part. The rotary shaft 330 for rotating the 310 and the purge gas injection unit 320, the housing 340 for supporting and sealing the rotary shaft 330, and for supplying the raw material to the raw material injection unit 310 A raw material supply unit 350 and a purge gas supply unit 360 to supply the purge gas to the purge gas injection unit 320.

본 실시예의 원료물질 분사부(310)와 퍼지 가스 분사부(320)는 회전축(330)에 의해 기판 안치판(210) 상의 기판(10) 전체 상부에서 회전한다. 물론 원료물질 분사판(310)과 퍼지 가스 분사판(320)의 회전 반경은 동일하고, 이들의 회전 반경 내에 상기 기판(10)이 안치된다. 이를 통해 원료물질 분사부(310)와 퍼지 가스 분사부(320)가 각기 원료 물질과 퍼지 가스를 분사하면서 회전하게 되는 경우, 기판(10)에 원료물질이 흡착되고 연속하여 흡착되지 않은 원료물질이 퍼지될 수 있다. 이를 통해 기판(10) 상부를 원료물질이 쓸듯이(즉, 스처가듯) 지나가고, 연속하여 퍼지 가스가 기판(10) 상부를 쓸듯이 지나간다.The raw material injection unit 310 and the purge gas injection unit 320 of the present embodiment rotate on the entire substrate 10 on the substrate mounting plate 210 by the rotation shaft 330. Of course, the rotation radii of the raw material injection plate 310 and the purge gas injection plate 320 are the same, and the substrate 10 is placed within these rotation radii. When the raw material injection unit 310 and the purge gas injection unit 320 are rotated while injecting the raw material and the purge gas, the raw material is adsorbed on the substrate 10 and the raw material is not adsorbed continuously. Can be purged. Through this, the upper portion of the substrate 10 passes as if the raw material sweeps (ie, rubs), and the purge gas continuously passes like the upper portion of the substrate 10.

본 실시예에서는 도 2에 도시된 바와 같이 하나의 원료물질 분사부(310)와 각기 직교하는 3개의 퍼지 가스 분사부(320)를 구비할 수 있다. 이때, 원료물질 분사부(310)와 퍼지 가스 분사부(320)가 '+'자 형태로 배열 될 수 있다. 이와 같이 원료물질 분사부(310)의 개수보다 퍼지 가스 분사부(320)의 개수를 더 많게 하여 퍼지 단계의 시간을 줄일 수 있다. 즉, 반응기(즉, 챔버) 외부에서 이온화되어 제공되는 반응 가스의 퍼지 시간을 줄일 수 있다. 이는 챔버 외부의 이온화 기기(예를 들어 RF, 마이크로 웨이브, DC 방전을 이용한 장치)를 통하여 이온화된 가스를 형성하고, 이를 챔버(100)로 제공한다. 또한, 실시예 에서는 퍼지 가스 분사부(320)의 개수는 3개에 한정되지 않고, 이보다 적거나 많을 수 있다. 이와 마찬가지로 원료물질 분사부(310)의 개수는 1개에 한정되지 않고, 이보다 많을 수 있다. 본 실시예에서는 이러한 이온화 기기(즉, 이온화 장치)의 일 예로 리모트 플라즈마 장치를 사용한다. In the present exemplary embodiment, as shown in FIG. 2, three purge gas injectors 320 orthogonal to one raw material injector 310 may be provided. At this time, the raw material injection unit 310 and the purge gas injection unit 320 may be arranged in a '+' shape. As such, the number of purge gas injection units 320 may be larger than the number of raw material injection units 310 to reduce the time of the purge step. In other words, it is possible to reduce the purge time of the reaction gas which is ionized and provided outside the reactor (ie, the chamber). This forms ionized gas through an ionization device (eg, a device using RF, microwave, DC discharge) outside the chamber and provides it to the chamber 100. In addition, in the embodiment, the number of purge gas injection units 320 is not limited to three, but may be less or more than this. Likewise, the number of the raw material injection parts 310 is not limited to one, but may be larger than this. In this embodiment, a remote plasma device is used as an example of such an ionization device (ie, an ionizer).

그리고, 본 실시예에서는 퍼지 가스 분사부(320)가 회전하기 때문에 균일한 퍼지를 위해서는 퍼지 가스 분사부(320)간이 이루는 각이 서로 동일할 수도 있다. 즉, 도 2에서는 퍼지 가스 분사부(320) 간이 이루는 각도가 90도 및 180도 였다. 이는 180도를 이루는 퍼지 가스 분사부(320) 사이에 원료물질을 분사하는 원료물질 분사부(310)가 배치되기 때문이다. 그러나 이에 한정되지 않고, 앞서 언급한 바와 같이 퍼지 가스 분사부(320) 간의 각도를 각기 120도로 하여 퍼지 가스 분사부(320) 간이 이루는 각도를 서로 동일하게 한다. 이를 통해 기판(10)에 퍼지 가스가 균일한 간격으로 제공될 수 있다. In addition, in this embodiment, since the purge gas injector 320 rotates, angles formed between the purge gas injectors 320 may be the same for uniform purging. That is, in FIG. 2, the angles formed between the purge gas injection units 320 were 90 degrees and 180 degrees. This is because the raw material injection part 310 for injecting the raw material is disposed between the purge gas injection parts 320 forming 180 degrees. However, the present invention is not limited thereto, and as described above, angles between the purge gas injectors 320 are 120 degrees, respectively, to make the angles between the purge gas injectors 320 equal to each other. Through this, purge gas may be provided to the substrate 10 at uniform intervals.

회전축(330)은 챔버 리드(110)를 관통하여 하우징(340)에 고정된다. 그리고, 회전축(330)은 별도의 회전 수단에 의해 회전한다. 이러한 회전축(330)에는 원료물질이 이동하는 원료물질 공급 유로와, 퍼지 가스가 이동하는 퍼지 가스 공급 유로가 마련된다. 이를 통해 회전축(330)의 끝단 영역에 마련된 원료물질 분사부(310)와 퍼지 가스 분사부(320)에 각기 원료물질과 퍼지 가스를 제공할 수 있다. The rotating shaft 330 is fixed to the housing 340 through the chamber lid 110. And the rotating shaft 330 is rotated by a separate rotating means. The rotary shaft 330 is provided with a raw material supply flow path through which the raw material moves, and a purge gas supply flow path through which the purge gas moves. Through this, the raw material and the purge gas may be provided to the raw material injection unit 310 and the purge gas injection unit 320 provided at the end region of the rotary shaft 330, respectively.

하우징(340)은 회전축(330)을 지지 고정한다. 하우징(340)의 외측에는 원료물질 공급부(350)와 퍼지 가스 공급부(360)가 마련된다. 원료물질 공급부(350)와 퍼지 가스 공급부(360)는 하우징(340)을 통해 회전축(330) 내측의 원료 공급 유로와 퍼지 가스 공급 유로에 각기 원료물질과 퍼지 가스를 공급한다. The housing 340 supports and fixes the rotating shaft 330. A raw material supply unit 350 and a purge gas supply unit 360 are provided outside the housing 340. The raw material supply unit 350 and the purge gas supply unit 360 supply the raw material and the purge gas to the raw material supply passage and the purge gas supply passage inside the rotary shaft 330 through the housing 340, respectively.

그리고, 상기 하우징(340)과 회전축(330) 사이의 밀봉과 회전 지지를 위해 마그네틱 실(370)이 마련된다. 또한, 회전 지지를 위해 하우징(340)과 회전축(330) 사이에 베어링이 마련될 수도 있다. In addition, a magnetic seal 370 is provided for sealing and rotational support between the housing 340 and the rotation shaft 330. In addition, a bearing may be provided between the housing 340 and the rotating shaft 330 to support rotation.

이와 같이 본 실시예에서는 원료물질을 하우징(340)과 회전축(330) 내의 원료물질 공급 유로를 통해 원료물질 분사부(310)에 제공한다. 그리고, 퍼지가스를 하우징(340)과 회전축(330) 내의 퍼지 가스 공급 유로를 통해 퍼지 가스 분사부(320)에 제공한다. As such, in the present embodiment, the raw material is provided to the raw material injection part 310 through the raw material supply flow path in the housing 340 and the rotating shaft 330. Then, the purge gas is provided to the purge gas injection part 320 through the purge gas supply flow path in the housing 340 and the rotating shaft 330.

본 실시예에서는 가스 공급부(400)를 통해 이온화된 반응 가스와 불활성 가스를 반응 공간(즉, 기판(10))에 제공한다. 가스 공급부(400)로 리모트 플라즈마 발생 수단을 사용하는 것이 바람직하다. In the present embodiment, the reaction gas and the inert gas ionized through the gas supply unit 400 are provided to the reaction space (that is, the substrate 10). It is preferable to use a remote plasma generating means as the gas supply unit 400.

도 1에 도시된 바와 같이 상기 가스 공급부(400)는 챔버(100)의 측벽 영역에 마련된다. 이를 통해 상기 인젝터부(300)와 기판 안치부(200) 사이 공간으로 이온화된 반응 가스와 이온화된 불활성 가스를 공급할 수 있다. As shown in FIG. 1, the gas supply unit 400 is provided in a sidewall region of the chamber 100. Through this, the ionized reaction gas and the ionized inert gas may be supplied to the space between the injector 300 and the substrate setter 200.

가스 공급부(400)는 도 3에 도시된 바와 같이 반응 가스가 저장된 반응 가스 저장부(410)와, 불활성 가스가 저장된 불활성 가스 저장부(420)와, 상기 반응 가스 및/또는 불활성 가스가 이동하고 챔버(100)와 연통된 파이프(430)와, 상기 반응 가스 저장부(410) 및 상기 불활성 가스 저장부(420)와 상기 파이프(430) 간을 연결하고, 상기 반응 가스 및 불활성 가스 중 적어도 어느 하나의 가스를 상기 파이프(430)에 제공하는 밸브(440)와, 상기 파이프(430)를 둘러 싸도록 배치된 자기장 형성부(450)와, 상기 자기장 형성부(450)를 코일 형태로 감싸는 전선(460)과, 상기 전선(460)에 고주파 교류 전원을 인가하는 전원부(470)를 구비한다. 이때, 자기장 형성부(450), 전선(460) 및 전원부(470)에 의해 플라즈마가 파이프(430) 내측 공간에서 형성된다. As shown in FIG. 3, the gas supply unit 400 includes a reaction gas storage unit 410 in which a reaction gas is stored, an inert gas storage unit 420 in which an inert gas is stored, and the reaction gas and / or inert gas are moved. A pipe 430 in communication with the chamber 100 and the reaction gas storage unit 410 and the inert gas storage unit 420 and the pipe 430 are connected to each other, and at least any one of the reaction gas and the inert gas. A valve 440 for providing one gas to the pipe 430, a magnetic field forming unit 450 disposed to surround the pipe 430, and an electric wire surrounding the magnetic field forming unit 450 in a coil form. 460 and a power supply unit 470 for applying a high frequency AC power to the wire 460. At this time, the plasma is formed in the inner space of the pipe 430 by the magnetic field forming unit 450, the wire 460, and the power supply unit 470.

여기서, 상기 파이프(430)에 반응 가스 및/또는 불활성 가스가 공급되고, 전원부(470)를 통해 고주파 교류 전원을 인가하면 파이프(430) 내측의 반응 가스가 플라즈마화 된다. 즉, 고주파 교류 전류가 자기장 형성부를 코일 형태로 통과할 경우, 파이프(430) 내의 반응 가스 및/또는 불활성 가스 입자에 에너지가 가해져 파이프(430) 내부 가스 플라즈마가 생성된다. 이와 같이 플라즈마가 형성됨으로써 이온화된 가스(반응 가스 및/또는 불활성 가스)는 파이프(430)를 통해 챔버(100)의 반응 공간으로 이동한다. 이때, 가스 공급부(400)는 반응 가스 및/또는 불활성 가스의 유량에 따라 플라즈마 생성을 위한 전력의 파워가 가변된다. Here, the reaction gas and / or the inert gas is supplied to the pipe 430, and when the high frequency AC power is applied through the power supply unit 470, the reaction gas inside the pipe 430 is converted into plasma. That is, when the high frequency alternating current passes through the magnetic field forming unit in the form of a coil, energy is applied to the reactant gas and / or the inert gas particles in the pipe 430 to generate a gas plasma inside the pipe 430. As a result of the plasma formation, the ionized gas (reactive gas and / or inert gas) moves to the reaction space of the chamber 100 through the pipe 430. At this time, the gas supply unit 400 is the power of the power for the plasma is changed according to the flow rate of the reaction gas and / or inert gas.

물론 가스 공급부(400)는 상술한 설명에 한정되지 않고, 다양한 변형이 가능하다. 예를 들어 상기 파이프(430)에 고주파 교류 전류를 제공하고, 상기 파이프(430)가 자기장 형성부(450)를 코일 형태로 감싸도록 형성될 수 있다. 이때, 파이프(430)는 전도성 금속으로 제작된다. 이를 통해 전도성 파이프에 고주파 교류 전류를 인가하여, 고주파 교류 전류가 자기장 형성부(450)에 의한 자속 밀집 영역을 통과하도록 한다. 이때, 고주파 교류 전류는 자기장의 방향과 수직인 방향으로 통과하게 된다. 여기서, 고주파 교류 전류에 의해 파이프(430) 내측에 전계가 형성되고, 이 전계에 의해 파이프(430) 내의 가스를 이온화 시킬 수도 있다. Of course, the gas supply unit 400 is not limited to the above description, and various modifications are possible. For example, the pipe 430 may be provided with a high frequency alternating current, and the pipe 430 may be formed to surround the magnetic field forming unit 450 in the form of a coil. In this case, the pipe 430 is made of a conductive metal. Through this, a high frequency alternating current is applied to the conductive pipe so that the high frequency alternating current passes through the magnetic flux density region by the magnetic field forming unit 450. At this time, the high frequency AC current passes in a direction perpendicular to the direction of the magnetic field. Here, an electric field is formed inside the pipe 430 by the high frequency alternating current, and the gas in the pipe 430 may be ionized by the electric field.

본 실시예의 장치 구성은 상술한 설명에 한정되지 않고, 다양한 변형이 가능하다. The apparatus configuration of this embodiment is not limited to the above description, and various modifications are possible.

도 4 및 도 5는 제 1 실시예의 변형예에 따른 박막 증착 장치의 단면도들이다. 4 and 5 are cross-sectional views of a thin film deposition apparatus according to a modification of the first embodiment.

도 4에 도시된 변형예에서와 같이 박막 증착 장치는 가스 공급부(400)가 챔버리드(110) 상측에 위치할 수 있다. 이를 통해 가스 공급부(400)로부터 제공되는 이온화된 가스(반응 가스 및/또는 불활성 가스)가 기판 안치부(200) 상에 위치한 다수의 기판(10)에 균일하게 제공될 수 있다. 이는 이온화된 가스가 챔버(100) 상측에서 낙하됨으로 인해 반응 공간에서 넓게 퍼질 수 있다. As in the modified example shown in FIG. 4, in the thin film deposition apparatus, the gas supply unit 400 may be positioned above the chamber lid 110. Through this, the ionized gas (reactive gas and / or inert gas) provided from the gas supply unit 400 may be uniformly provided to the plurality of substrates 10 positioned on the substrate mounting unit 200. This can spread widely in the reaction space as the ionized gas falls above the chamber 100.

또한, 가스 공급부(400)가 챔버 리드(110)에 위치함으로 인해 가스 공급부(400)의 유지 보수 공정을 간편화시킬 수 있다. 또한, 원료물질, 퍼지가스 및 반응 가스를 동일 공간에 배치시킴으로 인해 가스를 자유롭게 조절할 수 있다.In addition, since the gas supply unit 400 is located in the chamber lid 110, the maintenance process of the gas supply unit 400 may be simplified. In addition, the gas can be freely controlled by disposing the raw material, the purge gas and the reaction gas in the same space.

그리고, 도 4의 변형예에서와 같이 기판 안치부(200)의 기판 안치판(210)이 회전할 수 있다. 이는 구동부(230)가 승하강력 뿐만 아니라 회전력을 생성할 수 있다. 이를 통해 기판 안치판(210)이 시계방향 또는 반시계 방향으로 회전하게 된다. 이때, 도 1에서와 같이 인젝터부(300)도 함께 회전할 수 있다. 물론 이에 한정되지 않고, 기판 안치판(210)이 회전하는 경우 인젝터부(300)가 고정되어 있을 수도 있다. In addition, as in the modification of FIG. 4, the substrate mounting plate 210 of the substrate mounting unit 200 may rotate. This may cause the driving unit 230 to generate a rotating force as well as a lifting force. As a result, the substrate mounting plate 210 rotates in a clockwise or counterclockwise direction. In this case, as shown in FIG. 1, the injector unit 300 may also rotate together. Of course, the present invention is not limited thereto, and when the substrate mounting plate 210 rotates, the injector unit 300 may be fixed.

또한, 도 5에 도시된 변형예에서와 같이 챔버(100)의 내측면에 가스 공급부(400)와 연통되어 이온화된 반응 가스 및/또는 불활성 가스를 챔버(100)의 반응 공간에 균일하게 제공하는 링 형태의 분사수단(401)을 더 구비할 수 있다. 이를 통해 챔버(100)의 측벽면 전체 영역을 통해 이온화된 반응 가스 및/또는 불활성 가스 가 반응 공간에 제공된다. In addition, as in the modification shown in FIG. 5, the inner surface of the chamber 100 communicates with the gas supply unit 400 to uniformly provide ionized reaction gas and / or inert gas to the reaction space of the chamber 100. It may further comprise a ring-type injection means 401. This provides the reaction gas and / or inert gas ionized through the entire sidewall surface of the chamber 100 to the reaction space.

상술한 바와 같이 본 실시예의 박막 증착 장치는 인젝터부(300)를 통해 원료물질과 퍼지가스를 다수의 기판(10)에 연속적으로 제공한다. 이어서, 가스 공급부(400)를 통해 반응 가스 공급 구간에서만 이온화된 반응 가스를 챔버(100)의 반응 공간 제공하고, 나머지 구간에서는 이온화된 불활성 가스를 챔버(100)의 반응 공간에 제공한다. 이를 통해 박막을 저온에서 증착할 수 있고, 박막의 스텝 커버리지 특성을 향상시킬 수 있다. As described above, the thin film deposition apparatus of the present embodiment continuously supplies the raw materials and the purge gas to the plurality of substrates 10 through the injector unit 300. Subsequently, the reaction space of the chamber 100 is provided with the reaction gas ionized only in the reaction gas supply section through the gas supply unit 400, and the ionized inert gas is provided in the reaction space of the chamber 100 in the remaining sections. Through this, the thin film can be deposited at low temperature, and the step coverage characteristics of the thin film can be improved.

하기에서는 상술한 구조의 장치를 이용한 박막의 형성 방법에 관해 설명한다. Hereinafter, a method of forming a thin film using the apparatus having the above-described structure will be described.

도 6은 제 1 실시예에 따른 박막 형성 방법을 설명하기 위한 도면이고, 도 7은 제 1 실시예에 따른 박막 형성을 설명하기 위한 기판 단면도이다.6 is a diagram for describing a method of forming a thin film according to a first embodiment, and FIG. 7 is a cross-sectional view illustrating a substrate for forming a thin film according to a first embodiment.

본 실시예에서는 회전하는 인젝터부(300)를 통해 원료물질과 퍼지가스를 다수의 기판(10)에 연속적으로 제공한다. 그리고, 이때, 가스 공급부(400)를 통해 이온화된 불활성 가스를 함께 챔버(100)에 제공한다. 즉, 도 7의 (a)에 도시된 바와 같이 기판(10)의 상면에 원료물질을 흡착시키면서 흡착되지 않는 원료물질을 퍼지 가스로 퍼지한다. 이때, 이온화된 불활성 가스가 원료물질과 함께 챔버에 제공된다. 이를 통해 원료물질에 에너지를 추가로 공급된다. 이때, 추가로 공급되는 에너지는 이온화된 불활성 가스 이온에 의해 제공된 에너지이다. 이러한 에너지에 의해 반응성과 기판 흡착성이 향상된다. In this embodiment, the raw material and the purge gas are continuously provided to the plurality of substrates 10 through the rotating injector unit 300. In this case, the inert gas ionized through the gas supply unit 400 is provided to the chamber 100 together. That is, as shown in FIG. 7A, the raw material that is not adsorbed while the raw material is adsorbed on the upper surface of the substrate 10 is purged with a purge gas. At this time, the ionized inert gas is provided to the chamber together with the raw material. This provides additional energy to the raw materials. In this case, the additionally supplied energy is energy provided by ionized inert gas ions. This energy improves reactivity and substrate adsorption.

즉, 챔버(100)로 유입된 불활성 가스의 이온은 원료물질에 에너지를 공급하 되, 원료물질을 구성하는 성분들이 완전히 분해되지 않는 에너지를 공급한다. 이를 통해 낮은 온도에서 증착 공정이 가능하고, 높은 종횡비의 넓은 표면적에 대해서도 원료물질의 표면 확산이 쉽게 이루어지도록 할 수 있다. That is, the ions of the inert gas introduced into the chamber 100 supply energy to the raw material, but supply energy that does not completely decompose the components constituting the raw material. This enables the deposition process at low temperatures and facilitates surface diffusion of the raw materials for a large surface area with high aspect ratios.

여기서, 앞서 언급한 바와 같이 원료물질이 공급되는 구간동안 챔버(100)로 유입되는 불활성 가스 이온의 에너지는 원료물질을 구성하는 성분(요소)들의 결합 에너지보다 작은 것이 효과적이다. 바람직하게는 원료물질을 구성하는 성분들 중 제 1 결합 성분의 결합 에너지를 100으로 할 경우, 상기 이온화된 불활성 가스의 에너지는 30 내지 90%인 것이 효과적이다. 이는 본딩을 깰 수 있는 에너지를 100으로 할 경우, 그 이하의 값을 갖는 것이 효과적이다. 여기서, 1차 결합 성분의 결합 에너지를 지칭함은, 원료물질의 주 원소(박막 증착물질)에 1차 본딩을 형성하는 리간드(즉, 복합체의 경우 1차 본딩에 추가 본딩으로 고분자 결합을 하는 경우가 대부분임)물질과의 결합이 중요하기 때문이다. 물론 원료물질 요소들의 결합 에너지를 100으로 할 경우에도 동일한 에너지를 이온화된 불활성 가스가 갖는 것이 효과적이다Here, as mentioned above, the energy of the inert gas ions introduced into the chamber 100 during the period in which the raw material is supplied is effectively smaller than the binding energy of the components (elements) constituting the raw material. Preferably, when the binding energy of the first binding component of the components constituting the raw material is 100, it is effective that the energy of the ionized inert gas is 30 to 90%. It is effective to have a value of less than 100 when the energy capable of breaking the bonding is set to 100. Here, the binding energy of the primary binding component refers to a ligand that forms a primary bonding on the main element (thin film deposition material) of the raw material (that is, in the case of a composite, a polymer bonding is performed by additional bonding to the primary bonding). This is because bonding with the material is important. Of course, even when the binding energy of the raw material elements is set to 100, it is effective to have the same energy in the ionized inert gas.

이때, 원료물질은 기판(10) 상에 형성하고자 하는 박막에 따라 다양하게 변화될 수 있다. 상기 불활성 가스로는 Ar을 사용하는 것이 효과적이다. 또한, 퍼지 가스로 Ar을 사용할 수도 있다. 물론 이외에 가능한 불활성 가스로 He 또는 Ne 등의 가스를 사용할 수 있다. In this case, the raw material may be variously changed according to the thin film to be formed on the substrate 10. It is effective to use Ar as said inert gas. Ar may also be used as the purge gas. Of course, other inert gases may be used such as He or Ne.

이어서, 인젝터부(300)로 부터의 원료물질과 퍼지가스의 공급을 차단한다. 그리고, 가스 공급부(400)를 통해 이온화된 반응 가스를 챔버(100)의 반응 공간에 제공한다. 이때, 가스 공급부(400)로 부터 제공되된 이온화된 불활성 가스의 공급량이 줄어드는 것이 효과적이다. 물론 상기 불활성 가스의 공급이 차단될 수도 있고, 불활성 가스의 공급량이 변화되지 않을 수도 있다. Subsequently, the supply of the raw material and the purge gas from the injector unit 300 is blocked. In addition, the reaction gas ionized through the gas supply unit 400 is provided to the reaction space of the chamber 100. At this time, it is effective to reduce the supply amount of the ionized inert gas provided from the gas supply unit 400. Of course, the supply of the inert gas may be blocked, and the supply amount of the inert gas may not be changed.

이를 통해 도 7의 (b)에 도시된 바와 같이 기판(10)에 흡착된 원료물질과 이온화된 반응 가스가 반응하여 기판(10) 상에 박막(11)을 형성한다. 이때, 반응 가스로는 기판(10) 상에 형성될 박막(11)에 따라 다양하게 변화될 수 있다. As a result, as shown in FIG. 7B, the raw material adsorbed on the substrate 10 and the ionized reaction gas react to form the thin film 11 on the substrate 10. In this case, the reaction gas may be variously changed according to the thin film 11 to be formed on the substrate 10.

여기서, 반응 가스를 리모트 플라즈마화하여 기판(10)에 제공함으로 인해 원료물질과 반응 가스 간의 반응성을 향상시킬 수 있다. 이때, 이온화된 반응 가스 이온의 에너지는 원료물질의 결합 에너지 보다 큰 에너지값을 갖는 것이 효과적이다. Here, the reactive gas may be remotely plasma-formed and provided to the substrate 10 to improve the reactivity between the raw material and the reactive gas. At this time, it is effective that the energy of the ionized reactive gas ions has an energy value larger than the binding energy of the raw material.

또한, 앞서 언급한 바와 같이 기판(10)에 흡착된 원료물질이 기판 흡착 단계에서 이온화된 불활성 가스 이온에 의해 반응을 위한 에너지를 제공 받은 상태이기 때문에 원료물질과 반응 가스 간의 반응성이 더욱 향상될 수 있다. 이와 같이 원료물질이 반응을 위한 에너지를 1차로 제공 받았기 때문에 원료물질과 반응 가스 간의 반응을 위해 공급되었던 열 에너지를 줄일 수 있다. 이를 통해 본 실시예의 박막 증착은 300도 이하의 저온(200도 내지 300도)에서 실시할 수 있게 된다. In addition, as mentioned above, since the raw material adsorbed on the substrate 10 is provided with energy for reaction by the inert gas ions ionized in the substrate adsorption step, the reactivity between the raw material and the reactive gas may be further improved. have. As such, since the raw material is primarily provided with energy for the reaction, the thermal energy supplied for the reaction between the raw material and the reaction gas may be reduced. Through this, the thin film deposition of the present embodiment can be carried out at a low temperature (200 degrees to 300 degrees) of less than 300 degrees.

또한, 본 실시예에서는 가스 공급부(400)에서 계속적으로 이온화된 불활성 가스 이온을 제공하기 때문에 이온화된 반응 가스를 챔버로 공급하는데 걸리는 시간을 줄일 수 있다. 이를 통해 박막 증착 시간을 단축 시킬 수 있다. 즉, 가스 공급부(400)의 가동을 중지하지 않고 계속적으로 가동을 하기 때문이다. 이는 ALD공 정에서는 이온화된 반응 가스를 공급하는 구간이 존재한다. 그리고, 이 구간에서만 반응 가스를 공급하여야 한다. 이에 통상 반응 가스 공급 구간이 아닌 영역에서는 가스 공급부(400)의 가동을 중지시켜 둔다. 하지만, 가스 공급부(400)의 가동을 위해서는 일정 시간이 필요하고, 이 시간 만큼이 박막 증착을 위한 딜레이 시간으로 작용한다. 그러나, 본 실시예에서는 가스 공급부(400)를 통해 일정범위의 에너지를 갖는 이온화된 불활성 가스 이온을 지속적으로 챔버(100)에 공급함으로 가스 공급부(400)의 가동을 중단하지 않을 수 있다. 따라서, 가스 공급부(400)의 파이프(430) 내에는 불활성 가스에 의해 항상 플라즈마가 켜져 있기 때문에 반응 가스를 파이프(430)에 제공함으로 인해 반응 가스를 바로 플라즈마화할 수 있다. In addition, in the present embodiment, since the inert gas ions continuously ionized are provided by the gas supply unit 400, the time taken to supply the ionized reaction gas to the chamber can be reduced. This can shorten the thin film deposition time. That is, it is because it continues to operate, without stopping operation of the gas supply part 400. FIG. In the ALD process, there is a section for supplying ionized reaction gas. In addition, the reaction gas must be supplied only in this section. In the region other than the reaction gas supply section, the operation of the gas supply unit 400 is stopped. However, a certain time is required for the operation of the gas supply unit 400, and this time serves as a delay time for thin film deposition. However, in this embodiment, the gas supply unit 400 may not be stopped by continuously supplying ionized inert gas ions having a predetermined range of energy to the chamber 100 through the gas supply unit 400. Therefore, since the plasma is always turned on by the inert gas in the pipe 430 of the gas supply unit 400, the reaction gas may be directly converted into plasma by providing the reaction gas to the pipe 430.

상기와 같이 이온화된 반응 가스와 원료물질을 반응 시켜 기판(10) 상에 박막(11)을 증착한 이후, 이온화된 반응 가스의 공급을 차단한다. 이어서, 인젝터부(300)를 통해 퍼지 가스를 제공하여 미반응된 반응 가스를 퍼지한다. 이때, 이온화된 반응 가스의 공급을 차단함은 가스 공급부(400)의 파이프(430) 내측으로 공급되는 반응 가스의 공급을 차단함을 의미한다. 이를 통해 다시 가스 공급부(400)를 통해 이온화된 불활성 가스가 챔버(100)의 반응 공간으로 제공된다. 이때, 앞서 이온화된 반응 가스 공급 구간 동안 그 유입량이 줄어들었던 이온화된 불활성 가스의 유입량이 증가될 수도 있다. 이를 통해 미반응 부산물들이 이온화된 불활성 가스의 이온에 의해 에너지를 제공 받게 된다. 이는 미반응 부산물이 활성화되어 챔버 외부로 용이하게 배기될 수 있다. 또한, 이에 한정되지 않고, 미반응된 반응 가스의 퍼지 단계에서 상기 이온화된 불활성 가스의 공급을 차단할 수도 있다. 이는 순수한 퍼지 가스만으로 챔버 내부를 완전히 퍼지할 수 있다. After the thin film 11 is deposited on the substrate 10 by reacting the ionized reaction gas with the raw material as described above, the supply of the ionized reaction gas is blocked. Subsequently, a purge gas is provided through the injector unit 300 to purge the unreacted reaction gas. At this time, blocking supply of the ionized reaction gas means blocking supply of the reaction gas supplied into the pipe 430 of the gas supply unit 400. Through this, the inert gas ionized through the gas supply unit 400 is provided to the reaction space of the chamber 100 again. In this case, the inflow rate of the ionized inert gas, which has decreased in the inflow rate during the ionized reaction gas supply section, may be increased. This allows unreacted byproducts to be energized by ions of the ionized inert gas. This allows unreacted byproducts to be activated and easily vented out of the chamber. In addition, the present invention is not limited thereto, and the supply of the ionized inert gas may be blocked in the purge step of the unreacted reaction gas. This can completely purge the interior of the chamber with pure purge gas.

상술한 바와 같이 본 실시예에서는 원료물질과 퍼지 가스 그리고, 이온화된 불활성 가스의 공급과, 이온화된 반응 가스의 공급 그리고, 퍼지 가스의 공급을 하나의 사이클로 하고, 이를 적어도 한번 반복 수행하여 목표로 하는 두께의 박막을 형성한다. As described above, in the present embodiment, the raw material and the purge gas, the supply of the ionized inert gas, the supply of the ionized reaction gas, and the supply of the purge gas are performed in one cycle, and the target is repeatedly performed at least once. Form a thin film of thickness.

본 발명은 상술한 장치에 한정되지 않고, 다양한 장치를 통해 상기 박막을 형성할 수 있다. The present invention is not limited to the above-described apparatus, and the thin film may be formed through various apparatuses.

하기에서는 도면을 참조하여 본 발명의 제 2 실시예에 따른 박막 증착 장치 및 이에 따른 박막 증착 방법을 설명한다. 후술되는 설명중 상술한 설명과 중복되는 설명은 생략한다. 제 1 실시예와 제 2 실시예에서 설명되는 기술은 상호 적용될 수 있다. Hereinafter, a thin film deposition apparatus and a thin film deposition method according to a second embodiment of the present invention will be described with reference to the drawings. The description overlapping with the above description will be omitted. The techniques described in the first and second embodiments can be applied to each other.

도 8은 본 발명의 제 2 실시예에 따른 박막 증착 장치의 단면도이다. 8 is a cross-sectional view of a thin film deposition apparatus according to a second embodiment of the present invention.

도 8을 참조하면, 본 실시예에 따른 박막 증착 장치는 반응 공간을 갖는 챔버(100)와, 기판(10)이 안치되는 기판 안치부(200)와, 반응 공간에 원료 물질과 퍼지 가스를 분사하는 인젝터부(500)와, 반응 공간에 이온화된 반응 가스 및 이온화된 불활성 가스를 분사하는 가스 공급부(400)를 구비한다.Referring to FIG. 8, the thin film deposition apparatus according to the present embodiment sprays a raw material and a purge gas into a chamber 100 having a reaction space, a substrate settling unit 200 on which the substrate 10 is placed, and a reaction space. And an injector unit 500 and a gas supply unit 400 for injecting ionized reaction gas and ionized inert gas into the reaction space.

인젝터부(500)는 기판 안치부(200)에 대응하는 챔버 리드(110)에 설치된 샤워 헤드부(510)와, 원료물질을 공급하는 원료물질 공급부(520)와, 퍼지가스를 공급하는 퍼지 가스 공급부(530)와, 상기 원료물질 공급부(520)와 퍼지 가스 공급부(530)에 각기 접속되어 원료물질 또는 퍼지 가스를 상기 샤워 헤드부(510)에 제 공하는 제어부(540)를 구비한다. The injector 500 includes a shower head 510 installed in the chamber lid 110 corresponding to the substrate setter 200, a raw material supply unit 520 for supplying raw materials, and a purge gas for supplying a purge gas. A supply unit 530 and a control unit 540 connected to the raw material supply unit 520 and the purge gas supply unit 530, respectively, provide the raw material or purge gas to the shower head unit 510.

이를 통해 챔버(100) 상측에 고정된 샤워 헤드부(510)를 통해 원료물질과 퍼지가스가 반응 공간으로 제공된다. Through this, the raw material and the purge gas are provided to the reaction space through the shower head 510 fixed to the upper side of the chamber 100.

본 실시예에서는 하나의 샤워 헤드부(510)를 통해 원료물질과 퍼지가스가 각기 반응 공간으로 제공됨에 관해 설명하였다. 그러나 이에 한정되지 않고, 다수의 샤워 헤드부(510)를 설치하여 이들이 각기 원료물질과 퍼지가스를 반응 공간에 제공할 수도 있다. In this embodiment, the raw material and the purge gas are respectively provided to the reaction space through one shower head 510. However, the present invention is not limited thereto, and a plurality of shower heads 510 may be provided to provide the raw materials and the purge gas to the reaction space, respectively.

하기에서는 상술한 장치를 이용한 박막 증착 방법에 관해 설명한다. Hereinafter, a thin film deposition method using the above-described apparatus will be described.

도 9는 제 2 실시예에 따른 박막 형성 방법을 설명하기 위한 도면이다. 9 is a view for explaining a method of forming a thin film according to a second embodiment.

도 9를 참조하면, 먼저, 인젝터부(500)를 통해 챔버(100)의 반응 공간에 원료물질을 제공한다. 이때, 가스 공급부(400)를 통해 이온화된 불활성 가스도 함께 챔버(100)의 반응 공간에 제공된다. 이를 통해 반응 공간 내의 기판(10)에 원료물질이 흡착된다. 이때, 원료 물질은 이온화된 불활성 가스 이온으로 부터 에너지를 공급 받아 기판(10)으로의 흡착력이 향상되고, 기판 단차 영역에도 균일하게 흡착될 수 있다. Referring to FIG. 9, first, a raw material is provided to the reaction space of the chamber 100 through the injector unit 500. At this time, the inert gas ionized through the gas supply unit 400 is also provided to the reaction space of the chamber 100. Through this, the raw material is adsorbed onto the substrate 10 in the reaction space. At this time, the raw material is supplied with energy from the ionized inert gas ions to improve the adsorption power to the substrate 10, it can be uniformly adsorbed in the substrate step area.

이어서, 원료물질의 공급을 차단하고, 퍼지가스를 공급한다. 이를 통해 흡착되지 않은 원료물질을 퍼지한다. 이때, 이온화된 불활성 가스는 지속적으로 제공된다. 이를 통해 퍼지시에도 기판(10)에 흡착된 원료물질에 에너지를 제공할 수 있다. 이를 통해 기판(10)에 흡착된 원료물질에 고르게 에너지를 제공할 수 있다. 앞서 설명한 바와 같이 원료 물질에 제공되는 에너지는 이온화된 불활성 가스 이온의 에너지로 원료물질을 구성하는 성분이 분리되지 않을 정도의 에너지를 갖는 것이 바람직하다. 이러한 에너지를 원료물질이 제공 받음으로 인해 원료물질 내부의 구성 성분(요소)들 간의 결합력이 낮아지게 되고, 이로인해 작은 외부 에너지 만으로도 원료물질이 반응하게 된다. Subsequently, the supply of raw materials is cut off and a purge gas is supplied. This purges unadsorbed raw material. At this time, the ionized inert gas is continuously provided. Through this, even when purging, energy may be provided to the raw material adsorbed on the substrate 10. This may provide energy evenly to the raw material adsorbed on the substrate 10. As described above, the energy provided to the raw material preferably has energy such that the components constituting the raw material are not separated by the energy of ionized inert gas ions. Since the raw material is provided with this energy, the binding force between components (elements) inside the raw material is lowered, and thus the raw material reacts with only a small external energy.

이어서, 퍼지 가스의 공급을 차단하고, 가스 공급부(400)를 통해 이온화된 반응 가스를 반응 공간에 공급한다. 이를 통해 기판(10)에 흡착된 원료물질과 반응 가스가 반응 하여 박막을 형성한다. 이때, 이온화된 반응 가스 이온의 에너지는 원료물질의 결합 에너지 보다 큰 값을 갖는 것이 효과적이다. 여기서, 이온화된 반응 가스 이온의 에너지는 플라즈마 발생을 위해 가스 공급부(400)에 제공되는 전력(즉, 파워 또는 RF파워)에 비례한다. 따라서, 가스 공급부(400)의 파워를 조정하여 반응 가스 이온의 에너지를 조절할 수 있다. Subsequently, the supply of the purge gas is interrupted, and the reaction gas ionized through the gas supply unit 400 is supplied to the reaction space. Through this, the raw material adsorbed on the substrate 10 reacts with the reaction gas to form a thin film. At this time, it is effective that the energy of the ionized reactive gas ions has a value larger than the binding energy of the raw material. Here, the energy of the ionized reactive gas ions is proportional to the power (ie, power or RF power) provided to the gas supply unit 400 for plasma generation. Therefore, the energy of the reaction gas ions may be adjusted by adjusting the power of the gas supply unit 400.

이때, 도 9에서와 같이 불활성 가스의 공급 유량을 줄이지 않고, 일정하게 유지함으로 인해 이온화된 불활성 가스 이온의 에너지도 반응 가스 이온의 에너지와 유사하거나 큰 에너지 값을 갖는다. 이는 단일의 가스 공급부(400)를 통해 반응 가스와 불활성 가스가 이온화되어 제공되기 때문이다. 즉, 이는 도 9에서는 반응 가스의 공급 구간 동안 불활성 가스의 공급량은 다른 구간(즉, 원료가스 공급 구간, 퍼지 가스 공급 구간)과 동일하다. 하지만, 반응 가스 공급 구간 동안의 이온화된 불활성 가스 이온의 에너지는 다른 구간에 비하여 높다. 이는 앞서 언급한 바와 같이 가스 공급부(400)에 제공되는 전력이 높기 때문이다.In this case, as shown in FIG. 9, the energy of the inert gas ions ionized by maintaining the constant flow rate of the inert gas is not equal to or greater than that of the reactive gas ions. This is because the reaction gas and the inert gas are ionized and provided through the single gas supply unit 400. That is, in FIG. 9, the supply amount of the inert gas during the supply section of the reaction gas is the same as that of other sections (ie, source gas supply section and purge gas supply section). However, the energy of the ionized inert gas ions during the reaction gas supply section is higher than the other sections. This is because, as mentioned above, the power provided to the gas supply unit 400 is high.

이와 같이, 본 실시예에서는 이온화된 반응 가스가 공급되는 동안에도 이온 화된 불활성 가스를 함께 제공함으로 인해 기판(10)에 흡착된 원료 물질의 분해를 촉진하여 반응 가스와의 반응성을 향상시킬 수 있다. 또한, 반응 가스를 단차가 큰 영역에도 깊이 침투하도록 하여 스텝 커버리지 특성을 향상시킬 수 있다. As such, in the present exemplary embodiment, since the ionized inert gas is also provided while the ionized reaction gas is supplied, the decomposition of the raw material adsorbed on the substrate 10 may be promoted to improve the reactivity with the reaction gas. In addition, the step coverage characteristics can be improved by allowing the reaction gas to penetrate deeply into a region having a large step height.

이후, 반응 가스의 공급을 차단하고, 인젝터부(500)를 통해 퍼지 가스를 반응 공간에 제공한다. 이를 통해 미반응 부산물을 퍼지한다. 이때도, 이온화된 불활성 가스가 제공된다. 이러한 이온화된 불활성 가스에 의해 미반응 부산물이 에너지를 제공받게 되어 이들의 활동성이 증대된다. 이로인해 미반응 부산물의 추가 반응 혹은 챔버 외측으로의 퍼지(즉, 배기)가 용이하게 수행될 수 있다. Thereafter, the supply of the reaction gas is cut off, and the purge gas is provided to the reaction space through the injector unit 500. This purges unreacted byproducts. Again, ionized inert gas is provided. These ionized inert gases provide energy to the unreacted by-products, thereby increasing their activity. This allows further reaction of unreacted byproducts Alternatively, purging (ie, evacuation) out of the chamber can be easily performed.

상기와 같이 원료물질 공급, 퍼지 가스 공급, 이온화된 반응 가스 공급, 퍼지 가스 공급을 하나의 사이클로 하고, 이 한 사이클 동안 이온화된 불활성 가스를 지속적으로 공급한다. 그리고, 이 사이클을 반복하여 목표로 하는 두께의 막을 형성한다. As described above, the raw material supply, the purge gas supply, the ionized reaction gas supply, and the purge gas supply are one cycle, and the ionized inert gas is continuously supplied during this one cycle. This cycle is repeated to form a film having a target thickness.

또한, 본 발명은 상술한 박막 형성 방법에 한정되지 않고, 다양한 변형이 가능하다. In addition, this invention is not limited to the thin film formation method mentioned above, A various deformation | transformation is possible.

도 10 및 도 11은 제 2 실시예의 변형예에 따른 박막 형성 방법을 설명하기 위한 도면이다. 10 and 11 are views for explaining a thin film forming method according to a modification of the second embodiment.

먼저, 도 10에 도시된 바와 같이, 이온화된 반응 가스가 공급되는 동안 이온화된 불활성 가스의 공급을 차단할 수도 있다. 이를 통해 가스 공급부(400)의 파이프(430)에 불활성 가스가 공급되어 플라즈마가 켜지고, 이 상태에서 반응 가스가 제공되는 때 불활성 가스의 공급이 차단될 수 있다. 이를 통해 반응 구간(즉, 반응 가스 공급 단계)에서는 이온화된 반응 가스만이 챔버(100)에 제공될 수 있다. 물론 불활성 가스의 공급의 차단 대신 공급을 줄일 수도 있다. 이는 플라즈마가 지속적으로 켜질 수 있을 정도의 불활성 가스를 상기 가스 공급부(400)의 파이프(430)에 제공하는 것이 바람직하다. First, as shown in FIG. 10, the supply of ionized inert gas may be blocked while the ionized reaction gas is supplied. In this way, the inert gas is supplied to the pipe 430 of the gas supply unit 400 to turn on the plasma, and when the reactive gas is provided in this state, the supply of the inert gas may be blocked. As a result, only the ionized reaction gas may be provided to the chamber 100 in the reaction section (that is, the reaction gas supplying step). It is of course also possible to reduce the supply instead of shutting off the supply of inert gas. It is preferable to provide an inert gas to the pipe 430 of the gas supply unit 400 such that the plasma can be continuously turned on.

또한, 도 11에 도시된 바와 같이 원료 물질 공급 단계에 제공되는 불활성 가스의 유량이 다른 단계(퍼지가스 공급 단계, 반응 가스 공급 단계)보다 더 큰 것이 효과적이다. 이를 통해 원료 물질 공급시 좀더 많은 이온화된 불활성 가스 이동을 챔버(100)에 제공할 수 있어 원료물질에 에너지를 효과적으로 공급할 수 있다. Also, as shown in Fig. 11, it is effective that the flow rate of the inert gas provided in the raw material supply step is larger than the other steps (purge gas supply step, reactive gas supply step). This can provide more ionized inert gas movement to the chamber 100 when supplying the raw material, thereby effectively supplying energy to the raw material.

또한, 상술한 실시예와 변형예에 한정되지 않고 이온화된 불활성 가스의 공급은 적어도 원료물질 공급 단계에서 수행될 수 있다. 그리고, 가스 공급부(400)에 제공되는 전력을 변화시켜 이온화된 불활성 가스 이온의 에너지를 증감 시킬 수 있다. In addition, not limited to the above-described embodiments and modifications, the supply of the ionized inert gas can be performed at least in the raw material supply step. Then, the energy provided to the gas supply unit 400 may be changed to increase or decrease the energy of the ionized inert gas ions.

그리고, 상술한 바와 같은 공정 방법이 앞선 제 1 실시예에 적용되는 경우, 도 1의 인젝터부(300)를 통해 한번은 원료물질이 공급되고, 다른 한번은 퍼지 가스가 공급될 수 있다. In addition, when the process method as described above is applied to the first embodiment, the raw material may be supplied once through the injector unit 300 of FIG. 1 and the purge gas may be supplied once.

또한, 상술한 실시예에서는 이온화된 불활성 가스와 이온화된 반응 가스가 단일의 가스 공급부(400)에 의해 챔버(100)에 제공된다. 하지만, 이에 한정되지 않고, 이들이 각기 다른 가스 공급부에 의해 제공될 수도 있다. In addition, in the above-described embodiment, the ionized inert gas and the ionized reaction gas are provided to the chamber 100 by a single gas supply unit 400. However, the present invention is not limited thereto, and they may be provided by different gas supplies.

하기에서는 제 1 실시예의 장비를 이용하여 제 2 실시예에서 설명한 증착 방 법을 통해 기판 상에 금속 질화막(즉, TiN막)을 형성함에 관해 설명한다. The following describes the formation of a metal nitride film (ie, a TiN film) on a substrate by the deposition method described in the second embodiment using the equipment of the first embodiment.

먼저, 회전하는 인젝터부(300)를 통해 원료물질을 공급하고, 가스 공급부(400)를 통해 이온화된(플라즈마에 의해 활성화된) 불활성 가스를 다수의 기판(10)에 제공한다. 여기서, 상기 원료물질로 원료물질인 전구체를 사용한다. 원료물질로는 TDMAT(tetrakis dimethylamino Ti, Ti(N(CH3)2)4)와 같은 유기 금속 전구체를 사용할 수 있다. 물론 이에 한정되지 않고, 금속 원료물질로 TDEAT(tetrakis diethylamino Ti, Ti(N(CH2 CH3)2)4), TiCl4(titanium chloride), TiCl3(titanium chloride), TiI4(titanium iodide), TiBr2(titanium bromide), TiF4(titanium fluoride), (C5 H5)2 TiCl2(bis(cyclopentadienyl)titanium dichloride), ((CH3)5C5)2TiCl2 (bis(pentamethylcyclopentadienyl)titanium dichloride), C5H5TiCl3 (cyclopentadienyltitanium trichloride), C9H10BCl3N6Ti(hydrotris (1-pyrazolylborato)trichloro titanium), C9H7TiCl3(indenyltitanium trichloride), (C5(CH3)5)TiCl3(pentamethylcyclopentadienyltitanium trichloride), TiCl4(NH3)2 (tetrachlorodiaminotitanium) 및 (CH3)5C5Ti(CH3)3(trimethylpentamethylcyclopenta dienyltitanium) 중 적어도 어느 하나를 사용할 수 있다. First, a raw material is supplied through the rotating injector unit 300, and an inert gas ionized (activated by plasma) is provided to the plurality of substrates 10 through the gas supply unit 400. Here, a precursor which is a raw material is used as the raw material. As a raw material, an organometallic precursor such as tetrakis dimethylamino Ti, Ti (N (CH 3 ) 2 ) 4 ) may be used. Of course, the present invention is not limited thereto, and as a metal raw material, TDEAT (tetrakis diethylamino Ti, Ti (N (CH 2 CH 3 ) 2 ) 4 ), TiCl 4 (titanium chloride), TiCl 3 (titanium chloride), TiI 4 (titanium iodide) , TiBr 2 (titanium bromide), TiF 4 (titanium fluoride), (C 5 H 5 ) 2 TiCl 2 (bis (cyclopentadienyl) titanium dichloride), ((CH 3 ) 5 C 5 ) 2 TiCl 2 (bis (pentamethylcyclopentadienyl) titanium dichloride), C 5 H 5 TiCl 3 (cyclopentadienyltitanium trichloride), C 9 H 10 BCl 3 N 6 Ti (hydrotris (1-pyrazolylborato) trichloro titanium), C 9 H 7 TiCl 3 (indenyltitanium trichloride), (C 5 ( At least one of CH 3 ) 5 ) TiCl 3 (pentamethylcyclopentadienyltitanium trichloride), TiCl 4 (NH 3 ) 2 (tetrachlorodiaminotitanium), and (CH 3 ) 5 C 5 Ti (CH 3 ) 3 (trimethylpentamethylcyclopenta dienyltitanium) may be used.

여기서, 원료 물질과 함께 제공되는 이온화된 불활성 가스 이온의 에너지는 원료물질의 리간드의 결합 에너지보다 낮은 에너지를 갖는 것이 효과적이다. 물론 이러한 이온화된 불활성 가스 이온의 에너지는 원료 물질에 제공되어, 원료 물질이 활성화되도록 유도할 수 있다. Here, it is effective that the energy of the ionized inert gas ions provided with the raw material has an energy lower than the binding energy of the ligand of the raw material. Of course, the energy of these ionized inert gas ions can be provided to the raw material, leading to the activation of the raw material.

본 실시예에서는 불활성 가스로 Ar 가스를 사용한다. 그리고, 반응 에너지를 높이기 위해 Ar 가스를 0.1 내지 5slm 범위의 유량으로 공급한다. 그리고, 이때, 가스 공급부(400)에서 사용하는 RF 파워로는 300 내지 700W 인 것이 효과적이다. In this embodiment, Ar gas is used as the inert gas. And, in order to increase the reaction energy, Ar gas is supplied at a flow rate in the range of 0.1 to 5 slm. At this time, the RF power used in the gas supply unit 400 is effectively 300 to 700W.

이어서, 원료물질의 공급을 차단하고, 퍼지 가스를 공급하여 챔버(100) 내부에서 기판에 미흡착된 원료 물질을 퍼지한다. 이때, 이온화된 불활성 가스는 지속적으로 공급될 수 있다. Subsequently, the supply of the raw material is cut off and the purge gas is supplied to purge the raw material that is not adsorbed on the substrate in the chamber 100. At this time, the ionized inert gas may be continuously supplied.

이어서, 가스 공급부(400)를 통해 이온화된 반응 가스를 챔버(100)의 반응 공간에 제공한다. 본 실시예에서는 반응 가스로 질소 함유 가스를 사용한다. 여기서, 질소 함유 가스로는 N2를 사용한다. 물론 이에 한정되지 않고, 질소 함유 가스로, NxO를 사용할 수 있다. 그리고, 질소 함유 가스로는 NH3를 사용하지 않는 것이 바람직하다. 이는 NH3에 의해 고유전율 물질이 손상을 받는 문제가 발생할 수 있기 때문이다. 이때, 질소 함유 가스는 리모트 플라즈마화되어 제공된다. 이때, 앞서 설명한 바와 같이 불활성 가스는 반응 가스와 함께 공급되거나 공급되지 않을 수 있다. 여기서, 반응 가스(N2)를 플라즈마화하기 위해 가스 공급부(400)에서 사용하는 RF파워는 1K 내지 15KW 범위를 사용하는 것이 효과적이다. 이와 같이 높은 파워를 제공하여 이온화된 반응 가스 이온의 에너지가 높아질 수 있다. Subsequently, the reaction gas ionized through the gas supply unit 400 is provided to the reaction space of the chamber 100. In this embodiment, nitrogen-containing gas is used as the reaction gas. Here, N 2 is used as the nitrogen-containing gas. The present invention is not limited to this, it can be used as a nitrogen-containing gas, N x O. And, a nitrogen-containing gas, it is preferable not to use an NH 3. This is because the high dielectric constant material may be damaged by NH 3 . At this time, the nitrogen-containing gas is provided as a remote plasma. In this case, as described above, the inert gas may or may not be supplied together with the reaction gas. Here, the RF power used by the gas supply unit 400 to plasma the reaction gas (N 2 ) is effective to use a range of 1K to 15KW. By providing such high power, the energy of the ionized reactive gas ions can be increased.

이때, 이온화된 반응 가스가 공급되는 경우, 인젝터부(300)가 회전하지 않을 수 있다. 그대신 기판 안치부(200)가 회전할 수도 있다. In this case, when the ionized reaction gas is supplied, the injector unit 300 may not rotate. Instead, the substrate mounting portion 200 may rotate.

이어서, 상기 이온화된 반응 가스의 공급을 차단하고, 회전하는 인젝터부(300)를 통해 퍼지가스를 공급하여 챔버(100) 내의 반응 부산물 및 미반응 부산물을 퍼지한다. Subsequently, the supply of the ionized reaction gas is cut off, and the purge gas is supplied through the rotating injector unit 300 to purge the reaction by-products and the unreacted by-products in the chamber 100.

이때, 상기와 같은 증착 공정시 챔버(100) 내부 압력은 0.5 내지 4 Torr를 유지하는 것이 효과적이다. At this time, the pressure inside the chamber 100 during the deposition process as described above is effective to maintain 0.5 to 4 Torr.

도 12는 본 발명에 따른 증착 방법으로 증착된 질화 티타늄의 성장시 활성화 에너지를 나타낸 그래프이다. 12 is a graph showing the activation energy during growth of titanium nitride deposited by the deposition method according to the present invention.

도 12에서는 4slm유량의 Ar을 300W의 RF파워로 이온화하여 반응에 참여시켰다. 도 12를 참조하면, 아레니우스(Arrhenius) 도면에서 기울기는 반응 메커니즘을 나타내는 반응 에너지이다. 따라서, 질화 티타늄의 온도에 대한 증착율을 보면 약 220도를 중심으로 온도에 따른 증착율이 변화하였다. 이는 원자층 증착 거동에 있어서 표면 반응에 대한 활성화에너지를 나타낸다. 즉, 높은 온도 구간과 낮은 온도 구간에서의 각기 다른 반응 에너지가 필요로 함을 의미한다. 전체적으로 반응 에너지는 낮은 값을 나타내고 이는 반응 자체가 기상에서 가스 반응이 아닌 표면에 제한적인 반응에 주로 의존한다는 것이다. 즉, 300W정도의 낮은 파워(250 내지 350)로 이온화된 Ar을 4slm 정도의 유량(3 내지 5slm)으로 공급하면 Ar이 전구체를 완전히 분해하지 않으면서 기상에서 분해 반응이 발생하지 않음을 알 수 있다. In FIG. 12, 4 slm of Ar was ionized with 300 W of RF power to participate in the reaction. Referring to FIG. 12, the slope in the Arrhenius diagram is the reaction energy representing the reaction mechanism. Therefore, when looking at the deposition rate with respect to the temperature of the titanium nitride, the deposition rate according to the temperature was changed about 220 degrees. This represents the activation energy for the surface reaction in atomic layer deposition behavior. This means that different reaction energies are required in the high and low temperature ranges. Overall, the reaction energy shows a low value, which means that the reaction itself is mainly dependent on the surface-restricted reaction, not the gaseous reaction in the gas phase. That is, when Ar ionized at a low power (250 to 350) of about 300 W is supplied at a flow rate (3 to 5 slm) of about 4 slm, it can be seen that the decomposition reaction does not occur in the gas phase without Ar completely decomposing the precursor. .

도 13은 본 발명의 불활성 가스로 Ar을 사용하고, Ar의 유량에 따른 단차 피복성의 변화 그래프이다. 도 14는 본 발명의 불활성 가스로 Ar을 사용하고, Ar의 유량에 따른 단차 피복성 사진이다. Fig. 13 is a graph showing the change in step coverage according to the flow rate of Ar using Ar as the inert gas of the present invention. Fig. 14 is a photograph of step coverage according to the flow rate of Ar using Ar as the inert gas of the present invention.

도 13은 이온화된 불활성 가스(즉, Ar)이온의 공급 유량에 따른 종횡비 구조에서의 부분별 두께 변화 및 단차 피복성의 변화를 측정한 그래프이다. 도 14는 높은 종횡비 구조에서의 Ar이온 유량에 따른 단차 피복성 사진이다.FIG. 13 is a graph illustrating a change in thickness of each part and a change in step coverage in an aspect ratio structure according to a supply flow rate of ionized inert gas (ie, Ar) ions. FIG. 14 is a step coverage photograph according to Ar ion flow rate in a high aspect ratio structure. FIG.

도 13 및 도 14를 참조하면, 이온화된 Ar의 양이 증가할 수록 높은 종횡비를 갖는 구조 내에서의 중간 및 아래쪽의 두께가 증가함을 알 수 있다. 구조물 상부의 두께 역시 일부 증가한다. 이는 이온화된 Ar이 반응챔버 내부로 유입된 전구체를 활성화시켜 전체적인 증착율의 상승을 유발한다. 또한, 이온에 의하여 활성화됨에 따라 높은 종횡비(종횡비가 1: 5이상) 구조 내에서 전구체가 충분히 구조물의 하부까지 확산되도록 하여 단차 피복성을 급격하게 증가시킴을 알 수 있다. Referring to FIGS. 13 and 14, it can be seen that as the amount of ionized Ar increases, the thicknesses of the middle and the bottom in the structure having a high aspect ratio increase. The thickness of the top of the structure also increases some. This causes the ionized Ar to activate the precursor introduced into the reaction chamber, causing an increase in the overall deposition rate. In addition, as activated by the ions it can be seen that the precursor is sufficiently diffused to the lower portion of the structure within the high aspect ratio (aspect ratio of 1: 5 or more) structure to increase the step coverage dramatically.

본 발명은 상기에서 서술된 실시예에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 수 있다. 즉, 상기의 실시예는 본 발명의 개시가 완전하도록 하며 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명의 범위는 본원의 특허 청구 범위에 의해서 이해되어야 한다.The present invention is not limited to the above-described embodiments, but may be implemented in various forms. That is, the above embodiments are provided to make the disclosure of the present invention complete and to fully inform those skilled in the art the scope of the present invention, and the scope of the present invention should be understood by the claims of the present application. .

도 1은 본 발명의 제 1 실시예에 따른 박막 증착 장치의 단면도.1 is a cross-sectional view of a thin film deposition apparatus according to a first embodiment of the present invention.

도 2는 제 1 실시예에 따른 박막 증착 장치의 평면 개념도. 2 is a plan conceptual view of a thin film deposition apparatus according to the first embodiment.

도 3은 제 1 실시예에 따른 가스 공급부의 단면 개념도. 3 is a cross-sectional conceptual view of a gas supply unit according to the first embodiment;

도 4 및 도 5는 제 1 실시예의 변형예에 따른 박막 증착 장치의 단면도. 4 and 5 are cross-sectional views of a thin film deposition apparatus according to a modification of the first embodiment.

도 6은 제 1 실시예에 따른 박막 형성 방법을 설명하기 위한 도면.6 is a view for explaining a thin film forming method according to the first embodiment;

도 7은 제 1 실시예에 따른 박막 형성을 설명하기 위한 기판 단면도.Fig. 7 is a sectional view of the substrate for explaining the formation of a thin film according to the first embodiment.

도 8은 본 발명의 제 2 실시예에 따른 박막 증착 장치의 단면도. 8 is a cross-sectional view of a thin film deposition apparatus according to a second embodiment of the present invention.

도 9는 제 2 실시예에 따른 박막 형성 방법을 설명하기 위한 도면. 9 is a view for explaining a method of forming a thin film according to a second embodiment.

도 10 및 도 11은 제 2 실시예의 변형예에 따른 박막 형성 방법을 설명하기 위한 도면. 10 and 11 are views for explaining a thin film forming method according to a modification of the second embodiment.

도 12는 본 발명에 따른 증착 방법으로 증착된 질화 티타늄의 성장시 활성화 에너지를 나타낸 그래프. 12 is a graph showing the activation energy during growth of titanium nitride deposited by the deposition method according to the present invention.

도 13은 본 발명의 불활성 가스로 Ar을 사용하고, Ar의 유량에 따른 단차 피복성의 변화 그래프. Fig. 13 is a graph showing the change in step coverage according to the flow rate of Ar using Ar as an inert gas of the present invention.

도 14는 본 발명의 불활성 가스로 Ar을 사용하고, Ar의 유량에 따른 단차 피복성 사진. Fig. 14 is a photograph of step coverage according to the flow rate of Ar using Ar as an inert gas of the present invention.

<도면의 주요 부호에 대한 부호의 설명><Explanation of symbols for major symbols in the drawings>

100 : 챔버 200 : 기판 안치부100: chamber 200: substrate mounting portion

300 : 인젝터부 310 : 원료 물질 분사부300: injector 310: raw material injection unit

320 : 퍼지 가스 분사부 330 : 회전축320: purge gas injection unit 330: rotating shaft

340 : 하우징 400 : 가스 분사부340 housing 400 gas injection unit

410 : 반응 가스저장부 420 : 불활성 가스 저장부410: reaction gas storage unit 420: inert gas storage unit

430 : 파이프 440 : 밸브430: pipe 440: valve

450 : 자기장 형성부 460 : 전선450: magnetic field forming unit 460: electric wire

470 : 전원부470: power supply

Claims (16)

반응 공간을 갖는 증착 장치 내측에 기판을 안치시키는 단계; Placing the substrate inside the deposition apparatus having the reaction space; 상기 반응 공간에 원료물질을 공급하여 상기 기판 상에 상기 원료물질을 흡착시키는 단계;Supplying a raw material to the reaction space to adsorb the raw material on the substrate; 상기 기판에 흡착되지 않은 원료물질을 퍼지하는 단계;Purging the raw material not adsorbed on the substrate; 상기 반응 공간에 이온화된 반응 가스를 공급하여 상기 이온화된 반응 가스와 상기 원료물질을 반응시켜 상기 기판 상에 박막을 형성하는 단계; 및Supplying an ionized reaction gas to the reaction space to react the ionized reaction gas with the raw material to form a thin film on the substrate; And 상기 반응 부산물 및 미반응 물질을 퍼지하는 단계를 포함하고, Purging the reaction by-products and unreacted material, 적어도 상기 원료물질을 흡착시키는 단계에서 이온화된 불활성 가스를 상기 반응 공간에 공급하는 박막 형성 방법.The thin film forming method of supplying the inert gas ionized at least in the step of adsorbing the raw material to the reaction space. 청구항 1에 있어서, The method according to claim 1, 상기 원료물질 흡착 단계, 원료물질 퍼지 단계, 박막 형성 단계 및 반응 부산물 및 미반응 물질을 퍼지하는 단계를 복수번 반복하여 목표 두께의 박막을 형성하되, Repeating the raw material adsorption step, raw material purge step, thin film forming step and purging the reaction by-products and unreacted material a plurality of times to form a thin film of a target thickness, 상기 이온화된 불활성 가스를 모든 단계 동안 공급하거나, 상기 박막 형성 단계를 제외한 나머지 단계 동안 공급하거나, 상기 원료물질 흡착 단계 및 원료물질 퍼지 단계 동안만 공급하는 박막 형성 방법. And supplying the ionized inert gas for all the steps, for the remaining steps except for the thin film forming step, or only during the raw material adsorption step and the raw material purge step. 청구항 1에 있어서, The method according to claim 1, 상기 이온화된 불활성 가스의 이온 에너지는 상기 원료물질을 구성하는 요소들의 결합 에너지보다 작은 박막 형성 방법. The ion energy of the ionized inert gas is smaller than the binding energy of the elements constituting the raw material. 청구항 1에 있어서, The method according to claim 1, 상기 원료물질의 흡착시 제공되는 상기 이온화된 불활성 가스의 이온 에너지는 상기 이온화된 반응 가스의 이온 에너지보다 작은 박막 형성 방법.The ion energy of the ionized inert gas provided upon adsorption of the raw material is smaller than the ion energy of the ionized reaction gas. 청구항 1에 있어서, The method according to claim 1, 상기 이온화된 불화성 가스 및 상기 이온화된 반응 가스는 불활성 가스와 반응 가스를 제공 받는 외부 이온화 장치를 통해 상기 반응 공간에 공급되고, The ionized fluorinated gas and the ionized reactant gas are supplied to the reaction space through an external ionizer that receives an inert gas and a reactant gas, 상기 원료물질 흡착 단계시 공급되는 이온화된 불활성 가스 형성을 위해 상기 이온화 장치에 제공되는 이온화 에너지가 상기 박막 형성 단계시 공급되는 이온화된 반응 가스 형성을 위해 상기 이온화 장치에 제공되는 이온화 에너지보다 작은 박막 형성 방법. Formation of a thin film with ionization energy provided to the ionization device for forming an ionized inert gas supplied in the raw material adsorption step is smaller than the ionization energy provided to the ionization device for forming an ionized reaction gas supplied in the thin film formation step Way. 청구항 5에 있어서, The method according to claim 5, 상기 이온화 장치는 RF 주파수와, 마이크로 웨이브 및 DC 방전 중 적어도 어느 하나를 이용하여 가스를 이온화시키는 기기를 사용하는 박막 형성 방법. And the ionization device uses a device that ionizes a gas using at least one of an RF frequency and a microwave and a DC discharge. 청구항 5에 있어서, The method according to claim 5, 상기 이온화 장치로 리모트 플라즈마 장치를 사용하는 경우, When using a remote plasma device as the ionizer, 상기 원료물질 흡착 단계에서 공급되는 이온화된 불활성 가스 형성을 위해 상기 리모트 플라즈마 장치에 제공되는 RF파워가 300 내지 700W 이고, RF power provided to the remote plasma apparatus for forming the ionized inert gas supplied in the raw material adsorption step is 300 to 700W, 상기 박막 형성 단계에서 공급되는 이온화된 반응 가스 형성을 위해 상기 리모트 플라즈마 장치에 제공되는 RF파워는 1K 내지 15KW인 박막 형성 방법. The RF power provided to the remote plasma device for forming the ionized reaction gas supplied in the thin film forming step is 1K to 15KW. 청구항 1에 있어서, The method according to claim 1, 상기 원료물질을 흡착시키는 단계와 상기 원료물질을 퍼지하는 단계가 동시에 수행되는 박막 형성 방법. And the step of adsorbing the raw material and purging the raw material are performed simultaneously. 원료물질을 공급하여 기판에 상기 원료물질을 흡착시키되, 상기 원료물질을 구성하는 요소의 결합 에너지 보다 작은 에너지를 상기 원료물질에 제공하는 단계;Supplying a raw material to adsorb the raw material onto a substrate, and providing energy to the raw material less than the binding energy of the elements constituting the raw material; 상기 원료물질을 퍼지하는 단계;Purging the raw material; 반응 가스를 공급하여 상기 기판 상에 흡착된 원료물질과 반응시키는 단계;Supplying a reaction gas to react with the raw material adsorbed on the substrate; 상기 반응 가스를 퍼지하는 단계를 포함하는 박막 형성 방법. Purging the reaction gas. 청구항 9에 있어서, 이온화된 불활성 가스 이온을 통해 상기 원료물질에 에너지를 공급하는 박막 형성 방법. The method of claim 9, wherein energy is supplied to the raw material through ionized inert gas ions. 청구항 9에 있어서, 상기 이온화된 불활성 가스 이온은 리모트 플라즈마 장치를 이용하여 제공되는 박막 형성 방법. The method of claim 9, wherein the ionized inert gas ions are provided using a remote plasma apparatus. 청구항 9에 있어서, 상기 이온화된 불활성 가스 이온은 적어도 상기 반응 가스 공급 전까지 제공되는 박막 형성 방법. 10. The method of claim 9, wherein the ionized inert gas ions are provided at least before the reactant gas supply. 청구항 9에 있어서, 상기 반응 가스는 리모트 플라즈마에 의해 이온화되어 공급되는 박막 형성 방법. The method of claim 9, wherein the reaction gas is ionized and supplied by a remote plasma. 반응 공간을 갖는 챔버:Chamber with reaction space: 상기 반응 공간내에 기판을 안치하는 기판 안치부;A substrate settled portion for placing a substrate in the reaction space; 원료 물질과 퍼지 가스를 상기 반응 공간에 분사하는 인젝터부;An injector unit for injecting a raw material and a purge gas into the reaction space; 상기 반응 공간에 불활성 가스와 반응 가스 중 적어도 어느 하나의 가스를 이온화화여 제공하는 가스 공급부를 포함하는 박막 제조 장치. And a gas supply unit configured to ionize and provide at least one of an inert gas and a reaction gas into the reaction space. 청구항 14에 있어서, The method according to claim 14, 상기 가스 공급부는 상기 반응 가스가 저장된 반응 가스 저장부와, 상기 불활성 가스가 저장된 불활성 가스 저장부와, 상기 반응 가스와 불활성 가스가 이동 하고, 상기 챔버에 연통된 파이프와, 상기 반응 가스 저장부 및 상기 불활성 가스 저장부 그리고 상기 파이프간을 연결하고, 상기 반응 가스 및 불활성 가스중 적어도 어느 하나의 가스를 상기 파이프에 제공하는 밸브와, 상기 파이프 내측에 플라즈마를 발생시키는 플라즈마 발생 장치를 구비하는 박막 제조 장치. The gas supply unit includes a reaction gas storage unit in which the reaction gas is stored, an inert gas storage unit in which the inert gas is stored, a pipe in which the reaction gas and the inert gas move, and communicate with the chamber, the reaction gas storage unit, and A thin film manufacturing method comprising: a valve connecting the inert gas storage unit and the pipe to provide at least one of the reactive gas and the inert gas to the pipe, and a plasma generating device generating a plasma inside the pipe. Device. 청구항 14에 있어서, The method according to claim 14, 상기 인젝터부는 상기 원료물질 분사 이후 2번의 퍼지 가스를 상기 반응 공간에 분사하고, The injector unit injects two purge gases into the reaction space after the raw material injection, 상기 가스 공급부는 상기 원료물질이 분사되는 동안 이온화된 불활성 가스를 반응 공간에 공급하고, 상기 2번의 퍼지 가스가 분사되는 사이 구간 동안 이온화된 반응 가스를 반응 공간에 공급하는 박막 제조 장치. The gas supply unit supplies an inert gas ionized while the raw material is injected into the reaction space, and supplies the ionized reaction gas to the reaction space during the interval between the two purge gas is injected.
KR1020090051551A 2009-06-10 2009-06-10 Method for manufacturing thin film and apparatus for the same KR101610773B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020090051551A KR101610773B1 (en) 2009-06-10 2009-06-10 Method for manufacturing thin film and apparatus for the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020090051551A KR101610773B1 (en) 2009-06-10 2009-06-10 Method for manufacturing thin film and apparatus for the same

Publications (2)

Publication Number Publication Date
KR20100132779A true KR20100132779A (en) 2010-12-20
KR101610773B1 KR101610773B1 (en) 2016-04-08

Family

ID=43508334

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090051551A KR101610773B1 (en) 2009-06-10 2009-06-10 Method for manufacturing thin film and apparatus for the same

Country Status (1)

Country Link
KR (1) KR101610773B1 (en)

Cited By (206)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012102495A2 (en) * 2011-01-28 2012-08-02 주식회사 원익아이피에스 Thin film vapor deposition method
KR20150102679A (en) * 2014-02-28 2015-09-07 주식회사 원익아이피에스 Method of fabricating nitride film and method of controlling compressive stress of the same
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
RU2767915C1 (en) * 2020-12-14 2022-03-22 Общество с ограниченной ответственностью "Оксифилм" (ООО "Оксифилм") System for carrying out process of chemical precipitation from vapors of volatile precursors
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100744528B1 (en) * 2006-04-14 2007-08-01 주식회사 아토 Apparatus for rf powered plasma enhanced atomic layer deposition using showerhead having gas separative type and the method
KR100790897B1 (en) 2006-11-21 2008-01-03 삼성전자주식회사 Atomic layer deposition process using reactive ion and apparatus for performing the same

Cited By (235)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012102495A3 (en) * 2011-01-28 2012-11-22 주식회사 원익아이피에스 Thin film vapor deposition method
WO2012102495A2 (en) * 2011-01-28 2012-08-02 주식회사 원익아이피에스 Thin film vapor deposition method
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
KR20150102679A (en) * 2014-02-28 2015-09-07 주식회사 원익아이피에스 Method of fabricating nitride film and method of controlling compressive stress of the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
RU2767915C1 (en) * 2020-12-14 2022-03-22 Общество с ограниченной ответственностью "Оксифилм" (ООО "Оксифилм") System for carrying out process of chemical precipitation from vapors of volatile precursors
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2021-04-26 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11967488B2 (en) 2022-05-16 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process

Also Published As

Publication number Publication date
KR101610773B1 (en) 2016-04-08

Similar Documents

Publication Publication Date Title
KR101610773B1 (en) Method for manufacturing thin film and apparatus for the same
US7435445B2 (en) Method for manufacturing semiconductor device
KR101380985B1 (en) Plasma process apparatus
US6998014B2 (en) Apparatus and method for plasma assisted deposition
US7160577B2 (en) Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7153542B2 (en) Assembly line processing method
JP2021523292A (en) How to deposit tungsten and other metals in a 3D NAND structure
KR101160788B1 (en) Vertical plasma processing apparatus for semiconductor process
US20060249077A1 (en) Multiple inlet atomic layer deposition reactor
KR20100027062A (en) Activated gas injector, film formation apparatus and film formation method
JP2007154297A (en) Film deposition method and film deposition system
US20220275510A1 (en) Thermal atomic layer deposition of silicon-containing films
JP7002970B2 (en) Film formation method and film formation equipment
US8334208B2 (en) Film-forming method and film-forming apparatus
KR101759769B1 (en) METHOD OF FORMING Ti FILM
KR100628887B1 (en) Method of forming a layer on a substrate using a microwave energy and apparatus for performing the same
JP7175209B2 (en) Deposition method
KR20130139651A (en) Manufacturing method for thin film and substrate process apparatus
KR100600051B1 (en) Apparatus of atomic layer deposition and method for fabrication of tertiary thin film using the same
KR20200101466A (en) Selective processing using etch residue-based inhibitors
KR20160021003A (en) Method of forming a thin film of a semiconductor device
KR100422398B1 (en) Apparatus for depositing a thin film
JP2019102670A (en) Silicon nitride film deposition method and film deposition apparatus
KR101513541B1 (en) Method for manufacturing metalnitride and apparatus for the same
JP2007059735A (en) Method for manufacturing semiconductor device, and substrate processing apparatus

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190328

Year of fee payment: 4