JP2007059735A - Method for manufacturing semiconductor device, and substrate processing apparatus - Google Patents

Method for manufacturing semiconductor device, and substrate processing apparatus Download PDF

Info

Publication number
JP2007059735A
JP2007059735A JP2005245225A JP2005245225A JP2007059735A JP 2007059735 A JP2007059735 A JP 2007059735A JP 2005245225 A JP2005245225 A JP 2005245225A JP 2005245225 A JP2005245225 A JP 2005245225A JP 2007059735 A JP2007059735 A JP 2007059735A
Authority
JP
Japan
Prior art keywords
substrate
gas
plasma
deposition
raw material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005245225A
Other languages
Japanese (ja)
Inventor
Sadayoshi Horii
貞義 堀井
Kazuyuki Toyoda
一行 豊田
Hiroyoshi Kamimura
大義 上村
Taketoshi Sato
武敏 佐藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2005245225A priority Critical patent/JP2007059735A/en
Publication of JP2007059735A publication Critical patent/JP2007059735A/en
Pending legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide a method for manufacturing a semiconductor device which is excellent in step coating and adhesion with a rapid film formation rate, high productivity, and less plasma damage; and also to provide a substrate processing apparatus. <P>SOLUTION: The semiconductor device manufacturing method is provided by a so-called CVD (Chemical Vapor Deposition) method or an ALD (Atomic Layer Chemical Vapor Deposition) method, where a process for depositing a thin film on a substrate by supplying a reactive gas excited with plasma is performed by following a process for supplying a raw material gas so as to be adopted as one step and the step is repeated. A plasma generator is arranged at a position facing a substrate where the film is deposited, being the same as the position of a supply port for directly supplying the raw material gas and the reactive gas to the substrate where the film is deposited, or being closer to the substrate with the deposited film than the supply port. The plasma is used, which is generated by high frequency wave applied to a part among at least not less than one group of opposing electrodes. <P>COPYRIGHT: (C)2007,JPO&INPIT

Description

この発明は、基板上に薄膜を形成するための半導体装置の製造方法及び基板処理装置に関
するものである。
The present invention relates to a semiconductor device manufacturing method and a substrate processing apparatus for forming a thin film on a substrate.

DRAMのキャパシタは微細化に伴う蓄積電荷容量を確保するために、容量絶縁膜の高誘電率
化、下部電極または上部電極の金属化の研究が活発化している。
これらの材料としては高い誘電率を持つAl2O3, ZrO2, HfO2, Y2O3, La2O3, STO(SrTiO3),
Ta2O5、BST((Ba、Sr)TiO3)、PZT((Pb、Zr)TiO3)等が容量絶縁膜として、Ti, Hf
, Zr, Al, Ru, Pt, Irの金属、SRO(SrRuO3), RuO2等の酸化物、あるいは、TiN, HfN, ZrN
などの窒化物が電極としての候補となっている。
電極形状は高アスペクト比のシンリンダ型が主流となっており、場合によってはバリアメ
タル膜であるTiN、TaN等を含めた上記の全ての膜が段差被覆性に優れている必要がある。
膜の形成方法としては従来のスパッタリング法から段差被覆性に優れているCVD法へと移
行しており、有機金属液体原料と酸素含有ガス、水素含有ガスまたは窒素含有ガスの反応
が利用されている。
In order to ensure the accumulated charge capacity of a DRAM capacitor with miniaturization, research on increasing the dielectric constant of the capacitor insulating film and metallization of the lower electrode or the upper electrode has been active.
These materials include Al 2 O 3 , ZrO 2 , HfO 2 , Y 2 O 3 , La 2 O 3 , STO (SrTiO 3 ), which have a high dielectric constant,
Ta 2 O 5 , BST ((Ba, Sr) TiO 3 ), PZT ((Pb, Zr) TiO 3 ), etc. are used as capacitive insulating films, Ti, Hf
, Zr, Al, Ru, Pt, Ir metals, oxides such as SRO (SrRuO3), RuO2, or TiN, HfN, ZrN
Such nitrides are candidates for electrodes.
The electrode shape is mainly a cylinder type with a high aspect ratio. In some cases, all the films including TiN, TaN, etc., which are barrier metal films, need to have excellent step coverage.
The film formation method has shifted from the conventional sputtering method to the CVD method with excellent step coverage, and the reaction between the organometallic liquid raw material and oxygen-containing gas, hydrogen-containing gas or nitrogen-containing gas is used. .

CVD法において段差被覆性を向上するために低温化は避けることはできない。低温化によ
り有機液体原料中の炭素や水素が不純物として膜中に多く残り、電気特性を劣化させる。
また、後工程の熱処理により不純物がガス脱離して膜はがれが生じることがある。さらに
は、幾つかの有機液体原料についてはインキュベーションタイムが増大するという報告が
あり、生産性が劣るといった問題がある。そこで、段差被覆性が向上するCVD条件で、数
〜数十Åの成膜とプラズマによる膜質改質を繰り返すCVDが検討されている。
また、有機液体原料を気化したガスのみ基板に供給、吸着させた後に、プラズマにより励
起した水素、又は、アンモニアを供給し膜を形成する方法、いわゆるALD(Atomic Laye
r Chemical Vapor Deposition)法も利用されている。これらの、プラズマ源としては、ウ
ェハに均一にプラズマガスを供給するため、平行平板方式の容量結合型放電プラズマ(CC
P)が用いられている。しかし、本方式では、被成膜対象基板とプラズマ源の間に電界が
かかり、プラズマ中に存在するイオン、あるいは、電子が、ダイレクトに前記被成膜対象
基板に衝突するため、形成しようとしている薄膜の下地にダメージを与えてしまう問題が
あった。
In order to improve the step coverage in the CVD method, lowering the temperature is inevitable. As the temperature is lowered, a large amount of carbon and hydrogen in the organic liquid raw material remain in the film as impurities, which degrades the electrical characteristics.
Further, impurities may be desorbed by heat treatment in a later process, and the film may peel off. Furthermore, there are reports that the incubation time increases for some organic liquid raw materials, resulting in poor productivity. Then, CVD which repeats film formation modification of several to several tens of kilometers and film quality by plasma under the CVD conditions that improve the step coverage is being studied.
In addition, a method of forming a film by supplying hydrogen or ammonia excited by plasma after supplying and adsorbing only the vaporized gas of the organic liquid material to the substrate, so-called ALD (Atomic Laye).
r Chemical Vapor Deposition) method is also used. As these plasma sources, parallel plate type capacitively coupled discharge plasma (CC) is used to uniformly supply plasma gas to the wafer.
P) is used. However, in this method, an electric field is applied between the deposition target substrate and the plasma source, and ions or electrons existing in the plasma directly collide with the deposition target substrate, so that the formation is attempted. There was a problem of damaging the base of the thin film.

したがって本発明の課題は、段差被覆性のよいCVD条件での数〜十数Åの成膜とプラズマ
による膜質改善を繰り返すCVD法、プラズマを用いたALD法などのプラズマを用いた成膜法
において、段差被覆性、密着性に優れ、成膜レートが早く、生産性の高い、プラズマダメ
ージの少ない半導体装置の製造方法および基板処理装置を提供することである。
Accordingly, an object of the present invention is to form a film using a plasma such as a CVD method in which film formation is improved by several to several tens of thousands under CVD conditions with good step coverage and plasma quality improvement by plasma, and an ALD method using plasma. Another object of the present invention is to provide a semiconductor device manufacturing method and a substrate processing apparatus that are excellent in step coverage and adhesion, have a high film formation rate, high productivity, and low plasma damage.

本発明の第1の特徴とするところは、原料ガスを供給する工程に引き続き、プラズマによ
り励起した反応ガスを供給して基板上に薄膜を成膜する工程を、1ステップとして、それ
を繰り返す、いわゆるCVD(Chemical Vapor Deposition)法、あるいは、ALD(Atomic Layer
Chemical Vapor Deposition)法による半導体装置の製造方法において、前記プラズマ生
成部は、被成膜基板と対向して、原料ガスおよび反応ガスの前記被成膜基板への直接の供
給口と同じ位置、あるいは、前記供給口よりも被成膜基板に近い位置に配置され、対向す
る少なくとも一組以上の電極間に印加された高周波により生成されるプラズマを使用する
ことを特徴とする半導体装置の製造方法にある。
The first feature of the present invention is that, following the step of supplying the raw material gas, a step of supplying a reactive gas excited by plasma to form a thin film on the substrate is repeated as one step. The so-called CVD (Chemical Vapor Deposition) method or ALD (Atomic Layer)
In the method of manufacturing a semiconductor device by the Chemical Vapor Deposition method, the plasma generation unit faces the deposition target substrate and is at the same position as a direct supply port of the source gas and the reaction gas to the deposition target substrate, or A method for manufacturing a semiconductor device, comprising: using plasma generated by a high frequency applied between at least one pair of opposed electrodes disposed closer to a deposition target substrate than the supply port. is there.

本発明の第2の特徴とするところは、第1の特徴において、前記原料ガスがAl, Si, Ti,
V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ga, Ge, Sr, Y, Zr, Nb, Mo, Ru, Rh, Pd, Ag, In, I,
Ba, La, Hf, Ta, W, Re, Os, Ir, Pt, Au, Pb, Bi, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy,
Ho, Er, Tm, Yb, Lu からなる群から選択される元素を少なくとも一つ以上含む、少なく
とも一種類以上の気体であり、前記反応ガスがH, He, N, O, F, Ne, Cl, Ar, Kr, Xe か
らなる群から選択される元素を少なくとも一つ以上含む、少なくとも一種類以上の気体で
あることを特徴とする半導体装置の製造方法にある。
The second feature of the present invention is that, in the first feature, the source gas is Al, Si, Ti,
V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ga, Ge, Sr, Y, Zr, Nb, Mo, Ru, Rh, Pd, Ag, In, I,
Ba, La, Hf, Ta, W, Re, Os, Ir, Pt, Au, Pb, Bi, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy,
At least one kind of gas containing at least one element selected from the group consisting of Ho, Er, Tm, Yb, Lu, and the reaction gas is H, He, N, O, F, Ne, Cl , Ar, Kr, Xe, which is at least one kind of gas containing at least one element selected from the group consisting of Ar, Kr, and Xe.

本発明の第3の特徴とするところは、原料ガスを供給する工程に引き続き、プラズマによ
り励起した反応ガスを供給して基板上に薄膜を成膜する工程を、1ステップとして、それ
を繰り返す、いわゆるCVD(Chemical Vapor Deposition)法、あるいは、ALD(Atomic Layer
Chemical Vapor Deposition)法による基板処理装置において、前記プラズマ生成部は、
被成膜基板と対向して、原料ガスおよび反応ガスの前記被成膜基板への直接の供給口と同
じ位置、あるいは、前記供給口よりも被成膜基板に近い位置に配置され、対向する少なく
とも一組以上の電極間に印加された高周波により生成されるプラズマを使用することを特
徴とする基板処理装置にある。
The third feature of the present invention is that, following the step of supplying the source gas, a step of supplying a reactive gas excited by plasma to form a thin film on the substrate is repeated as one step. The so-called CVD (Chemical Vapor Deposition) method or ALD (Atomic Layer)
In the substrate processing apparatus by the Chemical Vapor Deposition) method, the plasma generator is
Opposite to the film formation substrate, it is disposed at the same position as the direct supply port of the source gas and the reaction gas to the film formation substrate, or at a position closer to the film formation substrate than the supply port, and faces the film formation substrate. In the substrate processing apparatus, plasma generated by a high frequency applied between at least one set of electrodes is used.

本発明の第4の特徴とするところは、第3の特徴において、前記原料ガスがAl, Si, Ti
, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ga, Ge, Sr, Y, Zr, Nb, Mo, Ru, Rh, Pd, Ag, In,
I, Ba, La, Hf, Ta, W, Re, Os, Ir, Pt, Au, Pb, Bi, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy
, Ho, Er, Tm, Yb, Lu からなる群から選択される元素を少なくとも一つ以上含む、少な
くとも一種類以上の気体であり、前記反応ガスがH, He, N, O, F, Ne, Cl, Ar, Kr, Xe
からなる群から選択される元素を少なくとも一つ以上含む、少なくとも一種類以上の気体
であることを特徴とする基板処理装置にある。
A fourth feature of the present invention is that, in the third feature, the source gas is Al, Si, Ti.
, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ga, Ge, Sr, Y, Zr, Nb, Mo, Ru, Rh, Pd, Ag, In,
I, Ba, La, Hf, Ta, W, Re, Os, Ir, Pt, Au, Pb, Bi, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy
, Ho, Er, Tm, Yb, Lu, and at least one kind of gas containing at least one element selected from the group consisting of H, He, N, O, F, Ne, Cl, Ar, Kr, Xe
The substrate processing apparatus is characterized in that it is at least one kind of gas containing at least one element selected from the group consisting of:

本発明によれば、段差被覆性、密着性に優れ、生産性が高く、プラズマダメージがない半
導体装置の製造方法を提供できる。
ADVANTAGE OF THE INVENTION According to this invention, it can provide the manufacturing method of the semiconductor device which is excellent in level | step difference covering property and adhesiveness, is highly productive, and does not have a plasma damage.

以下、図面を参照しつつ本発明の実施の形態を説明する。 Hereinafter, embodiments of the present invention will be described with reference to the drawings.

まず図1、図2を用いて、本発明が実施される基板処理装置の構成概念を説明する。
図1は本発明の実施の形態に係る基板処理装置であるダイレクトプラズマユニットが組込
まれた枚葉式処理装置の一例の構成概念を示す断面図である。図2は本発明の実施の形態
に係る基板処理装置のダイレクトプラズマユニットの一例の構成を示す平面図である。
First, the configuration concept of a substrate processing apparatus in which the present invention is implemented will be described with reference to FIGS.
FIG. 1 is a cross-sectional view showing a configuration concept of an example of a single wafer processing apparatus in which a direct plasma unit which is a substrate processing apparatus according to an embodiment of the present invention is incorporated. FIG. 2 is a plan view showing a configuration of an example of a direct plasma unit of the substrate processing apparatus according to the embodiment of the present invention.

図1に示すように成膜を行う反応室1は、排気口10に接続されている真空ポンプ(図示
せず)により排気され、真空に保たれており、被成膜対象である基板2は、成膜面を原料
ガス供給口4および反応ガス供給口3と対向して配置させる。プラズマを発生させるため
の対向電極ユニット5は、図2に示すように、一組の電極6と7が交互に対向するように配
置されたユニットとして構成されている。すなわち電極6と7は何れも櫛歯型に構成され
、それぞれの櫛歯に相当する部分同士が互い違いに隣り合う(対向する)ように配置され
ている。換言すると、一方の電極の櫛歯と櫛歯の間に他方の電極の櫛歯が1本ずつ挿入さ
れている。なお、電極6と7は同一平面上に配置される。対向電極ユニット5は、前記基
板2と対向して、原料ガスおよび反応ガスの前記基板への直接の供給口であるシャワー穴
8と同じ位置に配置する。
As shown in FIG. 1, a reaction chamber 1 for film formation is evacuated by a vacuum pump (not shown) connected to an exhaust port 10 and kept in a vacuum. The film formation surface is arranged to face the source gas supply port 4 and the reaction gas supply port 3. As shown in FIG. 2, the counter electrode unit 5 for generating plasma is configured as a unit in which a pair of electrodes 6 and 7 are alternately arranged to face each other. That is, both the electrodes 6 and 7 are configured in a comb-teeth shape, and are arranged so that portions corresponding to the respective comb teeth are alternately adjacent (opposed). In other words, one comb tooth of the other electrode is inserted between the comb teeth of one electrode. The electrodes 6 and 7 are arranged on the same plane. The counter electrode unit 5 faces the substrate 2 and is disposed at the same position as the shower hole 8 which is a direct supply port of the source gas and the reaction gas to the substrate.

次に、この装置を用いた、ALD成膜法について説明する。
まず、(1)原料ガスを含む気体ガスを、供給口4を通して、基板2に供給して、前記原料
ガスを基板表面に吸着させる。続いて、(2) Ar、HeやN2などの不活性ガスを供給口4か
ら導入して、反応室に残る余分な原料ガスや、反応炉内面に吸着したガスを脱離・排気す
る。(3)反応ガスを供給口3から供給するとともに、前記対向電極6と7の間に高周波
を印加してプラズマを発生させる。すると、図5に示す位置18にプラズマが発生する。
また、直接の原料供給口であるシャワー穴8の中にもプラズマが発生する。プラズマ中に
は反応ガスの構成元素、分子のイオン、ラジカルが含まれており、これが、反応ガスの流
れとともに基板表面へ供給され、基板表面に吸着している原料ガスの分子と化学反応を起
して基板上に薄膜が成膜される。また、その際に生成された不純物と反応し、膜表面から
脱離させる。(4)次に、不活性ガスを供給口3から導入し、基板2の表面に吸着している
不純物を脱離させる。この、(1)〜(4)を目標の膜厚になるまで繰り返す。
Next, an ALD film forming method using this apparatus will be described.
First, (1) a gas gas containing a source gas is supplied to the substrate 2 through the supply port 4, and the source gas is adsorbed on the substrate surface. Subsequently, (2) an inert gas such as Ar, He, or N 2 is introduced from the supply port 4 to desorb / exhaust excess source gas remaining in the reaction chamber and gas adsorbed on the inner surface of the reaction furnace. (3) A reactive gas is supplied from the supply port 3 and a high frequency is applied between the counter electrodes 6 and 7 to generate plasma. Then, plasma is generated at a position 18 shown in FIG.
Plasma is also generated in the shower hole 8 which is a direct raw material supply port. The plasma contains the constituent elements, molecular ions, and radicals of the reaction gas, which are supplied to the substrate surface along with the flow of the reaction gas and cause a chemical reaction with the source gas molecules adsorbed on the substrate surface. Thus, a thin film is formed on the substrate. In addition, it reacts with the impurities generated at that time and desorbs from the film surface. (4) Next, an inert gas is introduced from the supply port 3 to desorb impurities adsorbed on the surface of the substrate 2. These (1) to (4) are repeated until the target film thickness is reached.

成膜初期段階においては基板表面に原料が吸着した状態で反応する表面反応となるため、
インキュベーションタイムは発生しないで膜形成が進行する。また、図2に示すように、
2組以上の対向する電極間には電界が発生し、プラズマ中に存在するイオンや電子が電極
に激しく衝突しようとするが、基板とプラズマ発生電極との間には電界がかかっていない
ので、プラズマ中のイオン、電子は電界に加速されて基板に衝突することはなく、ガスの
流れに運ばれて基板に衝突するので、プラズマダメージが発生することはない。
このように、本装置を用いた原料ガスとプラズマにより活性化した反応ガスの供給を繰り
返すことにより、段差被覆性、密着性に優れ、生産性が高く、プラズマダメージのない半
導体装置の製造方法が提供できる。
In the initial stage of film formation, it becomes a surface reaction that reacts with the raw material adsorbed on the substrate surface.
Film formation proceeds without incubation time. As shown in FIG.
An electric field is generated between two or more opposing electrodes, and ions and electrons existing in the plasma try to violently collide with the electrode, but no electric field is applied between the substrate and the plasma generating electrode. The ions and electrons in the plasma are accelerated by the electric field and do not collide with the substrate, but are carried by the gas flow and collide with the substrate, so that plasma damage does not occur.
Thus, by repeatedly supplying the source gas and the reactive gas activated by the plasma using this apparatus, a method for manufacturing a semiconductor device having excellent step coverage and adhesion, high productivity, and no plasma damage can be obtained. Can be provided.

ただし、プラズマを用いたALD法で金属膜を成膜する場合、図2に示す対向電極ユニットに
表面に金属膜が成膜されると、対向電極間に伸びる電界が成膜された金属膜で遮蔽される
ためプラズマが発生しなくなる恐れがある。この場合、図3に示すように、前記シャワー
穴8を含むシャワーヘッド12よりも被成膜基板2に近い位置に対向電極ユニット5を配
置し、図4に示すように、石英電極管16に覆われた、対向電極6および7の一つ一つが
空間を保って配置することにより、これら対向電極の表面に金属膜が成膜されても、対向
電極6と7の間に空間容量が保たれ、図6に示すようにプラズマを生成させ続けることが
出来る。なお、19はプラズマ発生箇所を示している。よって、前記の成膜ステップ(1
)から(4)で示した、本装置を用いた原料ガスとプラズマ活性化した反応ガスの供給を
繰り返すことにより、段差被覆性、密着性に優れ、生産性が高く、プラズマダメージのな
い半導体装置の製造方法が提供できる。
However, when a metal film is formed by the ALD method using plasma, when a metal film is formed on the surface of the counter electrode unit shown in FIG. 2, an electric field extending between the counter electrodes is formed on the metal film. Since it is shielded, there is a risk that plasma will not be generated. In this case, as shown in FIG. 3, the counter electrode unit 5 is disposed at a position closer to the film formation substrate 2 than the shower head 12 including the shower hole 8, and as shown in FIG. By arranging each of the covered counter electrodes 6 and 7 with a space therebetween, a space capacity is maintained between the counter electrodes 6 and 7 even if a metal film is formed on the surface of the counter electrodes. As a result, plasma can be continuously generated as shown in FIG. Reference numeral 19 denotes a plasma generation location. Therefore, the film formation step (1
) To (4), by repeating the supply of the source gas and the plasma activated reaction gas using this apparatus, the semiconductor device has excellent step coverage and adhesion, high productivity and no plasma damage. The manufacturing method can be provided.

次に、図7を用いて、本発明が実施される基板処理装置の一例を説明する。
図7は本発明の実施の形態に係る基板処理装置であるダイレクトプラズマユニットが組込
まれた枚葉式処理装置の処理炉の一例を示す概略断面図である。
Next, an example of a substrate processing apparatus in which the present invention is implemented will be described with reference to FIG.
FIG. 7 is a schematic sectional view showing an example of a processing furnace of a single wafer processing apparatus in which a direct plasma unit as a substrate processing apparatus according to an embodiment of the present invention is incorporated.

図7に示すように、反応室1には、被成膜対象であるシリコンウェーハ、ガラス基板等の
基板2を支持する処理台20が設けられる。該支持台20の上部には、支持体の一部を構
成し、基板が載置される載置板としてのサセプタ21が設けられる。前記支持台の内部に
は、加熱手段としてのヒータ22が設けられ、該ヒータ22によって前記サセプタ21上
に載置される基板2を加熱するようになっている。前記ヒータ22は基板2の温度が所定
の温度となるように温度コントローラ23により制御される。
As shown in FIG. 7, the reaction chamber 1 is provided with a processing table 20 that supports a substrate 2 such as a silicon wafer or a glass substrate that is a deposition target. A susceptor 21 that constitutes a part of the support and serves as a mounting plate on which the substrate is mounted is provided on the upper portion of the support base 20. A heater 22 as a heating means is provided inside the support base, and the substrate 2 placed on the susceptor 21 is heated by the heater 22. The heater 22 is controlled by a temperature controller 23 so that the temperature of the substrate 2 becomes a predetermined temperature.

前記反応室1の外部には、昇降機構24が設けられ、該昇降機構24により、前記支持台
20が前記反応室1内で昇降可能となっている。
An elevating mechanism 24 is provided outside the reaction chamber 1, and the elevating mechanism 24 allows the support 20 to be raised and lowered within the reaction chamber 1.

前記反応室1の前記支持台20の上部には、ガス噴出手段として多数のシャワー穴8を有
し、かつ、プラズマを発生させるための高周波を印加する少なくとも一組以上の対向電極
6および7が埋め込まれた対向電極ユニット5が、前記サセプタ21に対向するように設
けられる。対向電極ユニット5は、図2に示すような構成となっており、対向電極ユニッ
ト5は、絶縁物、たとえば、石英などで形成されており、その中に、対向電極が挿入でき
るような電極挿入穴9が設けられている。該対向電極は、導電性の高い金属、たとえば、
AlやNiなどの棒状のものである。前記対向電極6および7は該電極挿入穴9に埋め込まれ
、これらの該対向電極6および7は、それぞれまとめて接続され、絶縁トランス11に接
続される。該絶縁トランス11に高周波電源ユニット13を用い、高周波電圧を印加する
ことにより、図5に示すように、プラズマが、対向電極ユニット5の表面に発生する。す
なわち、反応室1の天井壁と対向電極ユニット5との間の空間における対向電極ユニット
5の上面付近、および、対向電極ユニット5と基板2との間の空間における対向電極ユニ
ット5の下面付近にプラズマが発生する。また、供給口4および供給口3から、それぞれ
、供給される原料ガスおよび反応ガスを、対向電極ユニット5のシャワー穴8により、そ
れぞれ別々に、あるいは、同時に、基板2に対してシャワー状に噴出できるようになって
いる。
At the upper part of the support 20 in the reaction chamber 1, there are a large number of shower holes 8 as gas ejection means, and at least one pair of counter electrodes 6 and 7 for applying a high frequency for generating plasma are provided. An embedded counter electrode unit 5 is provided to face the susceptor 21. The counter electrode unit 5 is configured as shown in FIG. 2, and the counter electrode unit 5 is made of an insulator, for example, quartz, and an electrode insertion into which the counter electrode can be inserted. A hole 9 is provided. The counter electrode is a highly conductive metal, for example,
It is rod-shaped such as Al or Ni. The counter electrodes 6 and 7 are embedded in the electrode insertion hole 9, and the counter electrodes 6 and 7 are connected together and connected to the insulating transformer 11. By using a high frequency power supply unit 13 for the insulating transformer 11 and applying a high frequency voltage, plasma is generated on the surface of the counter electrode unit 5 as shown in FIG. That is, near the upper surface of the counter electrode unit 5 in the space between the ceiling wall of the reaction chamber 1 and the counter electrode unit 5 and near the lower surface of the counter electrode unit 5 in the space between the counter electrode unit 5 and the substrate 2. Plasma is generated. In addition, the source gas and the reaction gas supplied from the supply port 4 and the supply port 3 are respectively ejected separately or simultaneously in a shower shape from the shower hole 8 of the counter electrode unit 5. It can be done.

前記反応室1の外部には、液体原料を供給する原料供給ユニット25が設けられており、
液体原料供給管26、液体原料供給量を制御する流量コントローラとしての液体原料流量
制御装置29を介して、液体原料を気化する気化器30に接続されている。該原料供給ユ
ニット25には、液体原料28が蓄えられており、圧送ライン27から供給されるHeある
いはAr等の不活性ガスの圧力により、前記液体原料28が気化器30へ供給される。該気
化器30には、原料ガス供給管31が接続されており、該原料ガス供給管31は、バルブ
32を介して前記原料ガス供給口4に接続されている。液体原料としては、たとえば、常
温で液体の有機金属材料、即ち有機金属液体原料を用いる。あるいは、常温では固体でも
、数十度程度に加熱すれば液体になるような原料であれば、前記原料供給ユニット25、
液体原料供給管26および液体原料流量制御装置29を数十度程度に加熱するヒータを各
々に設けて使用することが可能である。
A raw material supply unit 25 for supplying a liquid raw material is provided outside the reaction chamber 1,
A liquid raw material supply pipe 26 and a liquid raw material flow rate controller 29 as a flow rate controller for controlling the liquid raw material supply amount are connected to a vaporizer 30 for vaporizing the liquid raw material. The raw material supply unit 25 stores a liquid raw material 28, and the liquid raw material 28 is supplied to the vaporizer 30 by the pressure of an inert gas such as He or Ar supplied from the pumping line 27. A raw material gas supply pipe 31 is connected to the vaporizer 30, and the raw material gas supply pipe 31 is connected to the raw material gas supply port 4 via a valve 32. As the liquid source, for example, an organometallic material that is liquid at room temperature, that is, an organometallic liquid source is used. Alternatively, if the raw material is solid at room temperature but becomes liquid when heated to several tens of degrees, the raw material supply unit 25,
A heater for heating the liquid source supply pipe 26 and the liquid source flow rate control device 29 to about several tens of degrees can be provided and used.

前記反応室1の外部には、不活性ガス供給ユニット33が設けられ、該不活性ガス供給ユ
ニット33には、非反応性ガスとしての不活性ガスを気化器30へキャリアガスとして供
給するためのキャリアガス供給管34が接続されている。該キャリアガス供給管34は、
キャリアガスの供給流量を制御する流量コントローラとしてのガス流量制御装置35を介
して、前記気化器30に接続されている。前記気化器30内部では、液体原料28を前記
キャリアガスとともに噴出することにより、気化効率を上げている。不活性ガスとしては
、たとえば、Ar、He、N2等が用いられる。
An inert gas supply unit 33 is provided outside the reaction chamber 1. The inert gas supply unit 33 supplies an inert gas as a non-reactive gas to the vaporizer 30 as a carrier gas. A carrier gas supply pipe 34 is connected. The carrier gas supply pipe 34
It is connected to the vaporizer 30 via a gas flow rate control device 35 as a flow rate controller for controlling the supply flow rate of the carrier gas. Inside the vaporizer 30, the liquid raw material 28 is ejected together with the carrier gas to increase the vaporization efficiency. As the inert gas, for example, Ar, He, N2 or the like is used.

前記不活性ガス供給ユニット33には、非反応性ガスとしての不活性ガスを前記原料ガス
供給管31へパージガスとして供給するためのパージガス供給管36が接続されている。
該パージガス供給管36は、パージガスの供給流量を制御する流量コントローラとしての
ガス流量制御装置37、バルブ38を介して、前記原料ガス供給管31に接続されている
A purge gas supply pipe 36 for supplying an inert gas as a non-reactive gas to the source gas supply pipe 31 as a purge gas is connected to the inert gas supply unit 33.
The purge gas supply pipe 36 is connected to the source gas supply pipe 31 via a gas flow rate control device 37 as a flow rate controller for controlling the supply flow rate of the purge gas and a valve 38.

前記気化器30で気化した原料ガスを、前記原料ガス供給管31から前記原料ガス供給口
4に供給しない場合には、バルブ32を閉じ、バルブ39を開き、原料ガスバイパス管4
0に原料ガスを流す。このとき、前記バルブ38を開くことにより、前記パージガス供給
管36から不活性ガスを供給することにより、前記原料ガス供給管31のバルブ32から
原料ガス供給口4の配管、前記対向電極ユニット5および反応室1の表面に吸着している
原料ガスを取り除くことが可能になる。
When the source gas vaporized by the vaporizer 30 is not supplied from the source gas supply pipe 31 to the source gas supply port 4, the valve 32 is closed, the valve 39 is opened, and the source gas bypass pipe 4
Flow source gas to zero. At this time, by opening the valve 38 and supplying an inert gas from the purge gas supply pipe 36, the piping of the source gas supply port 4 from the valve 32 of the source gas supply pipe 31, the counter electrode unit 5, and It becomes possible to remove the source gas adsorbed on the surface of the reaction chamber 1.

前記反応室1の外部には、反応ガスを供給する反応ガス供給ユニット41が設けられてお
り、反応ガス供給管42へ供給される。該反応ガス供給管42は、ガス供給量を制御する
流量コントローラとしてのガス流量制御装置43、バルブ44を介して前記反応ガス供給
口3に接続されている。
A reaction gas supply unit 41 for supplying a reaction gas is provided outside the reaction chamber 1 and is supplied to the reaction gas supply pipe 42. The reaction gas supply pipe 42 is connected to the reaction gas supply port 3 via a gas flow rate control device 43 as a flow rate controller for controlling the gas supply amount and a valve 44.

前記不活性ガス供給ユニット33には、非反応性ガスとしての不活性ガスを前記反応ガス
供給管42へパージガスとして供給するためのパージガス供給管45が接続されている。
該パージガス供給管45は、パージガスの供給流量を制御する流量コントローラとしての
ガス流量制御装置46にバルブ47を介して、前記反応ガス供給管42に接続されている
The inert gas supply unit 33 is connected to a purge gas supply pipe 45 for supplying an inert gas as a non-reactive gas to the reaction gas supply pipe 42 as a purge gas.
The purge gas supply pipe 45 is connected to the reaction gas supply pipe 42 via a valve 47 to a gas flow rate control device 46 as a flow rate controller for controlling the supply flow rate of the purge gas.

反応ガスを、前記反応ガス供給管42から前記反応ガス供給口3に供給しない場合には、
バルブ44を閉じ、バルブ48を開き、反応ガスバイパス管49に反応ガスを流す。この
とき、前記バルブ47を開くことにより、前記パージガス供給管45から不活性ガスを供
給することにより、前記反応ガス供給管42のバルブ44から反応ガス供給口3の配管、
前記対向電極ユニット5および反応室1の表面に吸着している反応ガスを取り除くことが
可能になる。
When the reactive gas is not supplied from the reactive gas supply pipe 42 to the reactive gas supply port 3,
The valve 44 is closed, the valve 48 is opened, and the reaction gas is caused to flow through the reaction gas bypass pipe 49. At this time, by opening the valve 47 and supplying an inert gas from the purge gas supply pipe 45, the piping of the reaction gas supply port 3 from the valve 44 of the reaction gas supply pipe 42,
It becomes possible to remove the reaction gas adsorbed on the surfaces of the counter electrode unit 5 and the reaction chamber 1.

前記反応室1の外部には、クリーニングガスを供給するクリーニングガス供給ユニット5
0が設けられており、クリーニングガス供給管51へ供給される。該クリーニングガス供
給管51は、ガス供給量を制御する流量コントローラとしてのガス流量制御装置52、バ
ルブ53を介して前記反応料ガス供給口3に接続されている。
A cleaning gas supply unit 5 for supplying a cleaning gas is provided outside the reaction chamber 1.
0 is provided and supplied to the cleaning gas supply pipe 51. The cleaning gas supply pipe 51 is connected to the reactant gas supply port 3 via a gas flow rate control device 52 as a flow rate controller for controlling the gas supply amount and a valve 53.

前記反応炉1の側壁下部には排気口10が設けられ、該排気口10、真空ポンプ54、原
料回収トラップ57および除害装置(図示せず)が排気管56でつながれている。また、
該排気管56には、反応室1の圧力を調整する圧力コントローラ55が設けられる。
An exhaust port 10 is provided in the lower part of the side wall of the reaction furnace 1, and the exhaust port 10, the vacuum pump 54, the raw material recovery trap 57 and a detoxifying device (not shown) are connected by an exhaust pipe 56. Also,
The exhaust pipe 56 is provided with a pressure controller 55 that adjusts the pressure in the reaction chamber 1.

前記反応室1の前記排気口10と反対側の側面には、基板搬入搬出口59が設けられ、該
基板搬入搬出口59は、真空基板移載室58との仕切り弁としてのゲートバルブ60によ
って開閉され、前記基板搬入搬出口59から基板2を前記処理室1に搬入搬出し得る様に
構成されている。
A substrate loading / unloading port 59 is provided on the side surface of the reaction chamber 1 opposite to the exhaust port 10, and the substrate loading / unloading port 59 is provided by a gate valve 60 as a partition valve with the vacuum substrate transfer chamber 58. The substrate 2 is opened and closed, and the substrate 2 can be carried into and out of the processing chamber 1 from the substrate carry-in / out port 59.

図7では、対向電極ユニット5そのものがシャワーヘッドになっているものを用いた事例
を示したが、図8にはシャワーヘッド12と対向電極ユニット5が独立した場合を示した
。該シャワーヘッド12と対向電極ユニット5以外の部分は、図7と同様である。
FIG. 7 shows an example in which the counter electrode unit 5 itself is a shower head, but FIG. 8 shows a case where the shower head 12 and the counter electrode unit 5 are independent. The parts other than the shower head 12 and the counter electrode unit 5 are the same as in FIG.

プラズマを発生させるための高周波を印加する少なくとも一組以上の対向電極が、被成膜
基板2と対向して、原料ガスおよび反応ガスの被成膜基板への直接の供給口8より近い位
置に存在する場合の構成例を以下に図8および図9を用いて説明する。この場合、原料ガ
スおよび反応ガスの被成膜基板2への直接の供給口8を複数持つシャワーヘッド12と対
向電極ユニット5は、被成膜基板2と対向するように配置される。具体的には、シャワー
ヘッド12は対向電極ユニット5と対向するように配置され、対向電極ユニット5は被成
膜基板2と対向するように配置される。図9に示すように、対向電極ユニット5は、中央
に円形の開口(貫通孔)14aを有する保持用の電極プレート14の側面に穴(貫通孔)
15を形成し、この中に石英のような絶縁物から構成される電極管16を挿入し、この管
の中に、AlやNiなどの材質で構成される棒状の電極6および7を埋め込み、設けられる。
反応室1を、真空に保つために、電極プレート14の穴15の内壁に対し、電極管16は
、Oリング17を用いて、真空封じ込みを行う。前記対向電極6および7は、それぞれま
とめて接続され、絶縁トランス11に接続される。前記絶縁トランス11に高周波電源ユ
ニット13により高周波電圧を印加することにより、図6に示すようにプラズマが、対向
電極ユニット5の表面に発生する。すなわち、シャワーヘッド12と対向電極ユニット5
との間の空間における対向電極ユニット5の上面付近、および、対向電極ユニット5と基
板2との間の空間における対向電極ユニット5の下面付近にプラズマが発生する。
At least one or more sets of counter electrodes for applying a high frequency for generating plasma are opposed to the deposition target substrate 2 and are closer to the source gas and reaction gas supply port 8 directly to the deposition target substrate. A configuration example in the case where it exists will be described below with reference to FIGS. In this case, the shower head 12 and the counter electrode unit 5 having a plurality of direct supply ports 8 of the source gas and the reaction gas to the film formation substrate 2 are arranged so as to face the film formation substrate 2. Specifically, the shower head 12 is disposed to face the counter electrode unit 5, and the counter electrode unit 5 is disposed to face the film formation substrate 2. As shown in FIG. 9, the counter electrode unit 5 has a hole (through hole) on the side surface of the holding electrode plate 14 having a circular opening (through hole) 14a in the center.
15 is inserted, and an electrode tube 16 made of an insulator such as quartz is inserted therein, and rod-like electrodes 6 and 7 made of a material such as Al or Ni are embedded in the tube, Provided.
In order to keep the reaction chamber 1 in a vacuum, the electrode tube 16 is vacuum sealed with an O-ring 17 against the inner wall of the hole 15 of the electrode plate 14. The counter electrodes 6 and 7 are connected together and connected to the insulating transformer 11. When a high frequency voltage is applied to the insulating transformer 11 by the high frequency power supply unit 13, plasma is generated on the surface of the counter electrode unit 5 as shown in FIG. 6. That is, the shower head 12 and the counter electrode unit 5
Plasma is generated near the upper surface of the counter electrode unit 5 in the space between and the lower surface of the counter electrode unit 5 in the space between the counter electrode unit 5 and the substrate 2.

次に、上述のような構成の基板処理装置を用いて半導体装置の製造工程の一工程として基
板に薄膜を堆積する方法について説明する。
Next, a method for depositing a thin film on a substrate as one step of a semiconductor device manufacturing process using the substrate processing apparatus having the above-described configuration will be described.

以下、常温で液体である有機金属液体原料を用いて、CVD(Chemical Vapor Deposition)
法、特に、MOCVD(Metal Organic Chemical Vapor Deposition)法、または、ALD(Atomi
c Layer Deposition)法により基板上に薄膜を形成する場合を、図8を用いて説明する。
なお、以下の説明において、基板処理装置を構成する各部の動作はメインコントローラ6
1により制御される。
Below, CVD (Chemical Vapor Deposition) using organometallic liquid raw material that is liquid at room temperature
Method, especially MOCVD (Metal Organic Chemical Vapor Deposition) method or ALD (Atomi)
The case where a thin film is formed on a substrate by the c Layer Deposition method will be described with reference to FIG.
In the following description, the operation of each part constituting the substrate processing apparatus is the main controller 6.
1 is controlled.

前記支持台20が基板搬送位置まで下降した状態で、前記ゲートバルブ60が開かれ、前
記基板搬入搬出口が開放されると、図示しない基板移載機により基板2が前記処理室1内
に搬入される。このとき、前記支持台20は、昇降機構により移載位置にある。該移載位
置では、前記支持台20表面よりも基板突き上げピン62の先端が高い位置になり、搬入
された基板2は、該基板突き上げピンの上に移載される(基板搬入工程)。 基板2が前記
反応室1内に搬入された後、前記ゲートバルブ60が閉じられる。前記支持台20が移載
位置から上方の基板処理位置まで上昇する。その間に、基板2は前記サセプタ21上に載
置される(基板載置工程)。
When the gate valve 60 is opened and the substrate loading / unloading port is opened with the support 20 lowered to the substrate transfer position, the substrate 2 is loaded into the processing chamber 1 by a substrate transfer machine (not shown). Is done. At this time, the support 20 is in the transfer position by the lifting mechanism. At the transfer position, the tip of the substrate push-up pin 62 is higher than the surface of the support table 20, and the loaded substrate 2 is transferred onto the substrate push-up pin (substrate loading step). After the substrate 2 is carried into the reaction chamber 1, the gate valve 60 is closed. The support 20 moves up from the transfer position to the upper substrate processing position. Meanwhile, the substrate 2 is placed on the susceptor 21 (substrate placing step).

前記支持台20が基板処理位置に到達すると、前記ヒータ22に電力が供給され基板2は
所定の温度になるように均一に加熱される(基板昇温工程)。同時に、前記反応室1は、
前記真空ポンプ54により真空排気され、所定の圧力になるように制御される(圧力調整
工程)。なお、基板2の搬送時、基板加熱時および圧力調整時においては、前記不活性ガ
ス供給管36および45に設けられた前記バルブ38および47は開いた状態とされ、前
記不活性ガス供給ユニット33より、前記反応室1に不活性ガスが常に流される。これに
より、パーティクルや金属汚染物の基板2への付着を防ぐことが出来る。
When the support 20 reaches the substrate processing position, electric power is supplied to the heater 22 and the substrate 2 is uniformly heated to a predetermined temperature (substrate heating step). At the same time, the reaction chamber 1
The vacuum pump 54 is evacuated and controlled to a predetermined pressure (pressure adjustment step). When the substrate 2 is transported, the substrate is heated, and the pressure is adjusted, the valves 38 and 47 provided in the inert gas supply pipes 36 and 45 are opened, and the inert gas supply unit 33 is opened. Thus, an inert gas is always flowed into the reaction chamber 1. Thereby, adhesion of particles or metal contaminants to the substrate 2 can be prevented.

基板2の温度、前記処理室1内の圧力が、それぞれ所定の処理温度、所定の処理圧力に到
達して安定すると、前記処理室1内に原料ガスが供給される。即ち、前記原料供給ユニッ
ト28から供給された有機金属液体原料の液体が、前記液体流量制御装置29で流量制御
され、前記不活性ガス供給ユニット33から供給されガス流量制御装置35で流量制御さ
れたキャリアガスと伴に、前記気化器30へ供給されて気化される。このとき、気化され
た原料ガスは、前記バルブ32は閉じ、前期バルブ39は開いた状態で、原料ガスバイパ
ス管40に流れているが、気化量が安定すると、バルブ39が閉じられ、バルブ32が開
かれ、気化された原料ガスは、前記原料ガス供給管31を通って、反応室1内に導入され
、シャワーヘッド12上に導かれ、多数のシャワー穴8で分散され、基板2上に均一な濃
度で供給される。(原料ガス供給工程)
When the temperature of the substrate 2 and the pressure in the processing chamber 1 reach a predetermined processing temperature and a predetermined processing pressure, respectively, and stabilize, the source gas is supplied into the processing chamber 1. That is, the liquid of the organometallic liquid raw material supplied from the raw material supply unit 28 is flow-controlled by the liquid flow control device 29, supplied from the inert gas supply unit 33, and flow-controlled by the gas flow control device 35. Together with the carrier gas, it is supplied to the vaporizer 30 and vaporized. At this time, the vaporized source gas flows to the source gas bypass pipe 40 with the valve 32 closed and the first valve 39 opened, but when the vaporization amount is stabilized, the valve 39 is closed and the valve 32 is closed. The vaporized raw material gas is introduced into the reaction chamber 1 through the raw material gas supply pipe 31, guided onto the shower head 12, dispersed in a number of shower holes 8, and deposited on the substrate 2. It is supplied at a uniform concentration. (Raw gas supply process)

原料ガスの供給が所定時間行われた後、前記バルブ32は閉じられ、原料ガスの基板2へ
の供給が停止されると伴に、バルブ38が開かれ、パージガスとしての不活性ガスが、前
記原料ガス供給管31を通って、反応室1に導入される。これにより、前記原料ガス供給
管31および反応室1が不活性ガスによりパージされ、残留ガスが除去される。(原料ガ
スパージ工程)
After the supply of the source gas is performed for a predetermined time, the valve 32 is closed, the supply of the source gas to the substrate 2 is stopped, the valve 38 is opened, and the inert gas as a purge gas is The reaction gas is introduced into the reaction chamber 1 through the source gas supply pipe 31. Thereby, the source gas supply pipe 31 and the reaction chamber 1 are purged with the inert gas, and the residual gas is removed. (Raw material gas purge process)

なお、この際、前記原料ガスバイパス管40に設けられた、前記バルブ39を開き、原料
ガスを前記ガスバイパス管40より前記反応室1をバイパスするように排気し、前記気化
器30からの原料ガスを停止しないようにするのが好ましい。液体原料を気化して、気化
した原料ガスを安定供給するまでには時間がかかるので、前記気化器30からの原料ガス
の供給を停止することなく、前記反応室1をバイパスするように流しておくと、次の原料
ガス供給工程では、流れを切り替えるだけで、直ちに原料ガスを基板2へ供給できる。
At this time, the valve 39 provided in the source gas bypass pipe 40 is opened, the source gas is exhausted from the gas bypass pipe 40 so as to bypass the reaction chamber 1, and the source from the vaporizer 30 is exhausted. It is preferable not to stop the gas. Since it takes time to vaporize the liquid raw material and to stably supply the vaporized raw material gas, it is allowed to bypass the reaction chamber 1 without stopping the supply of the raw material gas from the vaporizer 30. In this case, in the next source gas supply process, the source gas can be immediately supplied to the substrate 2 by simply switching the flow.

ただし、有機金属液体原料が非常に高価な場合、特にPt, Ir, Ruのような貴金属の場合は
、前記反応室1をバイパスしている時間、原料を無駄に捨てていることになるため、こま
めに気化器からの気化動作を停止させ、液体原料の無駄な使用を止めることが望ましい場
合がある。この場合には、前記原料ガスバイパス管40に設けられた、前記バルブ39を
開き、原料ガスを前記ガスバイパス管40より前記反応室1をバイパスするように排気し
た後、前記気化器30内のバルブ(図示せず)を閉じ、キャリアガスだけを流した状態に
する。(原料消費節約型原料パージ工程)
However, when the organometallic liquid raw material is very expensive, especially in the case of a noble metal such as Pt, Ir, Ru, the raw material is wasted during the time when the reaction chamber 1 is bypassed. It may be desirable to frequently stop the vaporization operation from the vaporizer and stop the wasteful use of the liquid raw material. In this case, the valve 39 provided in the source gas bypass pipe 40 is opened, the source gas is exhausted from the gas bypass pipe 40 so as to bypass the reaction chamber 1, and then the inside of the vaporizer 30. The valve (not shown) is closed and only the carrier gas is allowed to flow. (Material consumption saving material purge process)

次の原料ガス供給工程では、流れを切り替えるだけで、直ちに原料ガスを基板2へ供給で
きるようにするためには、後述する反応ガスパージ工程中で、キャリアガスだけが前記反
応室1をバイパスしている状態で、前記気化器30内のバルブを開き、気化状態が安定化
するようにしておく。
In the next source gas supply process, in order to be able to supply the source gas to the substrate 2 immediately by switching the flow, only the carrier gas bypasses the reaction chamber 1 during the reaction gas purge process described later. In such a state, the valve in the vaporizer 30 is opened to stabilize the vaporized state.

前記反応室1のパージが所定時間行われた後、該反応室1に反応ガスが供給される。即ち
、前記バルブ48が開かれ44が閉じた状態で、反応ガスが反応室1をバイパスした状態
から、前記バルブ48を閉じ44が開かれ、反応室1に反応ガスが供給され、シャワーヘ
ッド12上に導かれ、多数のシャワー穴8で分散され、対向電極ユニット上に均一な濃度
で供給されたのち、対向電極6と7の間に高周波が印加され、プラズマが印加される。反
応ガス、ここでは、水素ガスの流れに従って、原子状の水素や水素イオンなどの活性種が
基板2上に供給される。(反応ガス供給工程)
After purging the reaction chamber 1 for a predetermined time, a reaction gas is supplied to the reaction chamber 1. That is, from the state where the valve 48 is opened and the valve 44 is closed and the reaction gas bypasses the reaction chamber 1, the valve 48 is closed and the valve 44 is opened and the reaction gas is supplied to the reaction chamber 1. After being guided upward and dispersed in a large number of shower holes 8 and supplied onto the counter electrode unit at a uniform concentration, a high frequency is applied between the counter electrodes 6 and 7, and plasma is applied. Active species such as atomic hydrogen and hydrogen ions are supplied onto the substrate 2 in accordance with the flow of the reaction gas, here hydrogen gas. (Reactive gas supply process)

反応ガスの供給が所定時間行われた後、前記バルブ44が閉じられ、反応ガスの基板2へ
の供給が停止されると伴に、バルブ47が開かれ、パージガスとしての不活性ガスが、前
記反応ガス供給管42を通って、反応室1に導入される。これにより、前記反応ガス供給
管42および反応室1が不活性ガスによりパージされ、残留ガスが除去される。(反応ガ
スパージ工程)
After the reaction gas is supplied for a predetermined time, the valve 44 is closed, and the supply of the reaction gas to the substrate 2 is stopped. At the same time, the valve 47 is opened, and the inert gas as the purge gas The reaction gas is introduced into the reaction chamber 1 through the reaction gas supply pipe 42. Thereby, the reaction gas supply pipe 42 and the reaction chamber 1 are purged with the inert gas, and the residual gas is removed. (Reaction gas purge process)

なお、この際、前記反応ガスバイパス管49に設けられた、前記バルブ48を開き、反応
ガスを前記ガスバイパス管49より前記反応室1をバイパスするように排気し、前記ガス
流量制御装置43の反応ガス流量をゼロにしないようにするのが好ましい。反応ガス流量
をゼロから所定流量に安定化するまでには時間がかかるので、前記反応ガス供給ユニット
41からの反応ガスの供給を停止することなく、前記反応室1をバイパスするように流し
ておくと、次の反応ガス供給工程では、流れを切り替えるだけで、直ちに反応ガスを基板
2へ供給できる。
At this time, the valve 48 provided in the reaction gas bypass pipe 49 is opened, and the reaction gas is exhausted from the gas bypass pipe 49 so as to bypass the reaction chamber 1. It is preferable not to make the reaction gas flow rate zero. Since it takes time to stabilize the reaction gas flow rate from zero to the predetermined flow rate, the reaction gas flow from the reaction gas supply unit 41 is stopped without stopping the reaction chamber 1 to flow. In the next reaction gas supply step, the reaction gas can be immediately supplied to the substrate 2 by simply switching the flow.

Pt, Ir, Ru膜などの貴金属の成膜においては、前述したように、次の原料ガス供給工程で
は、流れを切り替えるだけで、直ちに原料ガスを基板2へ供給できるようにするために、
反応ガスパージ工程の終了時間の数〜十数秒前に、前記キャリアガス供給管からのキャリ
アガスだけが前記反応室1をバイパスしている状態で、前記気化器30内のバルブを開き
気化器内に液体原料を流し、気化を開始し、気化状態を安定化させる。なぜなら、気化器
30の気化状態を安定化させるためには、数〜数十秒必要とするからである。
In the film formation of noble metals such as Pt, Ir, and Ru films, as described above, in the next source gas supply process, in order to be able to immediately supply source gas to the substrate 2 by simply switching the flow,
Several to dozens of seconds before the end time of the reaction gas purge step, with only the carrier gas from the carrier gas supply pipe bypassing the reaction chamber 1, the valve in the vaporizer 30 is opened to enter the vaporizer. Pour liquid raw material, start vaporization and stabilize the vaporized state. This is because it takes several to several tens of seconds to stabilize the vaporized state of the vaporizer 30.

前記反応室1のパージが所定時間行われた後、前記バルブ39が閉じられ、バルブ32が
開かれ、あらかじめ安定流量気化されるように準備された原料ガスは、前記原料ガス供給
管31を通って、反応室1に導入され、原料ガス供給工程が行われる。
After purging the reaction chamber 1 for a predetermined time, the valve 39 is closed, the valve 32 is opened, and the source gas prepared in advance to be vaporized at a stable flow rate passes through the source gas supply pipe 31. Then, it is introduced into the reaction chamber 1 and a source gas supply process is performed.

以上のような、原料ガス供給工程、原料消費節約型原料パージ工程、反応ガス供給工程、
反応ガスパージ工程を、1サイクルとして、このサイクルを複数回繰り返すサイクル処理
により、基板2上に所定膜厚の薄膜を形成することが出来る。(薄膜成膜工程)
As described above, source gas supply process, source consumption saving type material purge process, reaction gas supply process,
A thin film having a predetermined film thickness can be formed on the substrate 2 by a cycle process in which the reaction gas purging step is one cycle and this cycle is repeated a plurality of times. (Thin film deposition process)

基板2への薄膜成膜処理終了後、処理済基板2は、基板搬入工程と逆の手順で、前記反応
室1外へ搬出される。(基板搬出工程)
After the thin film deposition process on the substrate 2 is completed, the processed substrate 2 is carried out of the reaction chamber 1 by a procedure reverse to the substrate carrying-in process. (Substrate unloading process)

なお、薄膜形成工程をCVD法により行う場合には、基板温度を原料ガスが自己分解する程
度の温度帯域となるように制御する。この場合、原料ガス供給工程においては、原料ガス
が熱分解し、基板2上に数〜十数Å(2,3〜数原子層)程度の薄膜が形成される。反応ガ
ス供給工程においては、プラズマ化した反応ガスの活性種により、基板2上に形成された
数〜十数Å程度の薄膜から炭素原子(C)水素原子(H)などの不純物が除去される。
When the thin film forming process is performed by the CVD method, the substrate temperature is controlled so as to be in a temperature range in which the source gas is self-decomposed. In this case, in the raw material gas supply step, the raw material gas is thermally decomposed, and a thin film of about several to several tens of thousands (2, 3 to several atomic layers) is formed on the substrate 2. In the reactive gas supply step, impurities such as carbon atoms (C) and hydrogen atoms (H) are removed from the thin film formed on the substrate 2 by several to several tens of thousands by the active species of the reactive gas converted into plasma. .

また、薄膜形成工程をALD法により行う場合には、基板温度を原料ガスが自己分解しない
程度の温度帯域となる様に制御する。この場合、原料供給工程においては、原料ガスは熱
分解することなく基板2上に吸着する。反応ガス供給工程においては、基板2上に吸着し
た原料と反応ガスがプラズマにより活性化した活性種と反応することにより、基板2上に
1Å以下(1原子層以下)程度の薄膜が形成される。なお、このとき、反応ガスの活性種に
より、薄膜中に混入する炭素原子(C)および水素原子(H)等の不純物を脱離することが
出来る。
Further, when the thin film forming process is performed by the ALD method, the substrate temperature is controlled so as to be a temperature range in which the source gas does not self-decompose. In this case, in the raw material supply process, the raw material gas is adsorbed on the substrate 2 without being thermally decomposed. In the reactive gas supply step, the raw material adsorbed on the substrate 2 and the reactive gas react with the active species activated by the plasma, thereby causing
A thin film of about 1 mm or less (1 atomic layer or less) is formed. At this time, impurities such as carbon atoms (C) and hydrogen atoms (H) mixed in the thin film can be eliminated by the active species of the reaction gas.

なお、本発明の実施形態における反応炉にて、CVD法により、基板を処理する際の処理条
件としては、たとえば、HfO2膜を成膜する場合は、処理温度350〜500℃、処理圧力50〜20
0Paにて、有機金属液体原料としてHf(MMP)4 (Hf(OC(CH3)2CH2OCH3)4:テトラキス(1-メ
トキシ-2-メチル-2-フ゜ロホ゜キシ)-ハフニウム)、供給流量0.01〜0.1sccm を、反応ガ
スとしてO2 、供給流量500〜1500sccmを用いる場合が例示される。
In the reaction furnace in the embodiment of the present invention, the processing conditions for processing the substrate by the CVD method include, for example, a processing temperature of 350 to 500 ° C. and a processing pressure of 50 when a HfO 2 film is formed. ~ 20
At 0 Pa, Hf (MMP) 4 (Hf (OC (CH 3 ) 2 CH 2 OCH 3 ) 4 : tetrakis (1-methoxy-2-methyl-2-fluorooxy) -hafnium) as the organometallic liquid raw material, supply flow rate A case where 0.01 to 0.1 sccm is used, O2 as a reaction gas, and a supply flow rate of 500 to 1500 sccm is exemplified.

また、本発明の実施形態における反応炉にて、ALD法により、基板を処理する際の処理条
件としては、たとえば、Ru膜を成膜する場合は、処理温度150〜300℃、処理圧力10〜200P
aにて、有機金属液体原料としては、DER (2,4-Dimethylpentadienyl Ethylcyclopentadie
nyl Ruthenium, (2,4シ゛メチルヘ゜ンタシ゛エニルエチルシクロヘ゜ンタシ゛エニルル
テニウム)) 、供給流量0.01〜0.1sccmを、反応性ガスとしては、水素、酸素、あるいは、
アンモニアなどを供給流量500 〜1500sccm用いる場合が例示される。
In the reaction furnace according to the embodiment of the present invention, the processing conditions for processing the substrate by the ALD method include, for example, when forming a Ru film, a processing temperature of 150 to 300 ° C., a processing pressure of 10 to 200P
In a, the organic metal liquid raw material is DER (2,4-Dimethylpentadienyl Ethylcyclopentadie
nyl Ruthenium, (2,4 dimethyl phenethyl ethyl cyclohexyl diruthenium)), supply flow rate 0.01-0.1sccm, reactive gas is hydrogen, oxygen, or
A case where ammonia or the like is used at a supply flow rate of 500 to 1500 sccm is exemplified.

本発明の実施の形態におけるシャワーヘッドと対向電極ユニットが一体化した場合の反応炉の構成概念を示す縦断面図である。It is a longitudinal cross-sectional view which shows the structural concept of the reactor when the shower head and counter electrode unit in embodiment of this invention are integrated. 本発明の実施の形態におけるシャワーヘッドと対向電極ユニットが一体化した場合の対向電極ユニットの構成を示す平面図である。It is a top view which shows the structure of a counter electrode unit when the shower head and counter electrode unit in embodiment of this invention are integrated. 本発明の実施の形態におけるシャワーヘッドと対向電極ユニットが独立している場合の反応炉の構成概念を示す縦断面図である。It is a longitudinal cross-sectional view which shows the structural concept of the reaction furnace in case the shower head and counter electrode unit in embodiment of this invention are independent. 本発明の実施の形態におけるシャワーヘッドと対向電極ユニットが独立している場合の対向電極ユニットの構成を示す平面図である。It is a top view which shows the structure of a counter electrode unit in case the shower head and counter electrode unit in embodiment of this invention are independent. 本発明の実施の形態におけるシャワーヘッドと対向電極ユニットが一体化した場合の反応室内でのプラズマ発生イメージを示す概念図である。It is a conceptual diagram which shows the plasma generation image in the reaction chamber when the shower head and counter electrode unit in embodiment of this invention are integrated. 本発明の実施の形態におけるシャワーヘッドと対向電極ユニットが独立している場合の反応室内でのプラズマ発生イメージを示す概念図である。It is a conceptual diagram which shows the plasma generation image in the reaction chamber in case the shower head and counter electrode unit in embodiment of this invention are independent. 本発明の実施の形態におけるシャワーヘッドと対向電極ユニットが一体化した場合の反応炉の構成を示す縦断面図である。It is a longitudinal cross-sectional view which shows the structure of the reaction furnace when the shower head and counter electrode unit in embodiment of this invention are integrated. 本発明の実施の形態におけるシャワーヘッドと対向電極ユニットが独立している場合の反応炉の構成を示す縦断面図である。It is a longitudinal cross-sectional view which shows the structure of the reaction furnace in case the shower head and counter electrode unit in embodiment of this invention are independent. 本発明の実施の形態におけるシャワーヘッドと対向電極ユニットが独立している場合の対向電極ユニットの図3におけるC方向からの矢視図である。It is an arrow line view from the C direction in FIG. 3 of a counter electrode unit in case the shower head and counter electrode unit in embodiment of this invention are independent.

符号の説明Explanation of symbols

1 反応室
2 基板
3 反応ガス供給口
4 原料ガス供給口
5 対向電極ユニット
6 電極
7 電極
8 シャワー穴
9 電極挿入穴
10 排気口
11 絶縁トランス
12 シャワーヘッド
13 高周波電源ユニット
14 対向電極ユニット
15 石英管挿入穴
16 石英電極管
17 Oリング
18 プラズマ発生箇所
19 プラズマ発生箇所
20 支持台
21 サセプタ
22 ヒータ
23 温度コントローラ
24 昇降機構
25 原料供給ユニット
26 液体原料供給管
27 圧送ライン
28 液体原料
29 液体原料流量制御装置
30 気化器
31 原料ガス供給管
32 バルブ
33 不活性ガス供給ユニット
34 キャリアガス供給管
35 ガス流量制御装置
36 パージガス供給管
37 ガス流量制御装置
38 バルブ
39 バルブ
40 原料ガスバイパス管
41 反応ガス供給ユニット
42 反応ガス供給管
43 ガス流量制御装置
44 バルブ
45 パージガス供給管
46 ガス流量制御装置
47 バルブ
48 バルブ
49 反応ガスバイパス管
50 クリーニングガス供給ユニット
51 クリーニングガス供給管
52 ガス流量制御装置
53 バルブ
54 真空ポンプ
55 圧力コントローラ
56 排気管
57 原料回収トラップ
58 真空基板移載室
59 基板搬入搬出口
60 ゲートバルブ
61 メインコントローラ
62 基板突き上げピン
DESCRIPTION OF SYMBOLS 1 Reaction chamber 2 Substrate 3 Reaction gas supply port 4 Raw material gas supply port 5 Counter electrode unit 6 Electrode 7 Electrode 8 Shower hole 9 Electrode insertion hole 10 Exhaust port 11 Insulation transformer 12 Shower head 13 High frequency power supply unit 14 Counter electrode unit 15 Quartz tube Insertion hole 16 Quartz electrode tube 17 O-ring 18 Plasma generation point 19 Plasma generation point 20 Support base 21 Susceptor 22 Heater 23 Temperature controller 24 Elevating mechanism 25 Raw material supply unit 26 Liquid raw material supply pipe 27 Pressure feed line 28 Liquid raw material 29 Liquid raw material flow rate control Apparatus 30 Vaporizer 31 Source gas supply pipe 32 Valve 33 Inert gas supply unit 34 Carrier gas supply pipe 35 Gas flow rate control device 36 Purge gas supply pipe 37 Gas flow rate control device 38 Valve 39 Valve 40 Source gas bypass pipe 41 Reaction gas supply unit 42 reactions Gas supply pipe 43 Gas flow control device 44 Valve 45 Purge gas supply pipe 46 Gas flow control device 47 Valve 48 Valve 49 Reactive gas bypass pipe 50 Cleaning gas supply unit 51 Cleaning gas supply pipe 52 Gas flow control device 53 Valve 54 Vacuum pump 55 Pressure Controller 56 Exhaust pipe 57 Raw material recovery trap 58 Vacuum substrate transfer chamber 59 Substrate loading / unloading port 60 Gate valve 61 Main controller 62 Substrate push-up pin

Claims (4)

原料ガスを供給する工程に引き続き、プラズマにより励起した反応ガスを供給して基板上
に薄膜を成膜する工程を、1ステップとして、それを繰り返す、いわゆるCVD(Chemical Va
por Deposition)法、あるいは、ALD(Atomic Layer Chemical Vapor Deposition)法による
半導体装置の製造方法において、前記プラズマ生成部は、被成膜基板と対向して、原料ガ
スおよび反応ガスの前記被成膜基板への直接の供給口と同じ位置、あるいは、前記供給口
よりも被成膜基板に近い位置に配置され、対向する少なくとも一組以上の電極間に印加さ
れた高周波により生成されるプラズマを使用することを特徴とする半導体装置の製造方法
Subsequent to the process of supplying the source gas, the process of forming a thin film on the substrate by supplying the reaction gas excited by plasma is repeated as one step, so-called CVD (Chemical Va
por deposition) method or ALD (Atomic Layer Chemical Vapor Deposition) method for manufacturing a semiconductor device, wherein the plasma generation unit faces the deposition substrate, and the deposition substrate of the source gas and the reactive gas Uses plasma generated by a high frequency applied between at least one pair of electrodes disposed at the same position as the direct supply port or at a position closer to the deposition target substrate than the supply port. A method for manufacturing a semiconductor device.
前記原料ガスがAl, Si, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ga, Ge, Sr, Y, Zr, Nb,
Mo, Ru, Rh, Pd, Ag, In, I, Ba, La, Hf, Ta, W, Re, Os, Ir, Pt, Au, Pb, Bi, Ce, Pr
, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu からなる群から選択される元素を少な
くとも一つ以上含む、少なくとも一種類以上の気体であり、前記反応ガスがH, He, N, O,
F, Ne, Cl, Ar, Kr, Xe からなる群から選択される元素を少なくとも一つ以上含む、少
なくとも一種類以上の気体であることを特徴とする請求項1記載の半導体装置の製造方法
The source gas is Al, Si, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ga, Ge, Sr, Y, Zr, Nb,
Mo, Ru, Rh, Pd, Ag, In, I, Ba, La, Hf, Ta, W, Re, Os, Ir, Pt, Au, Pb, Bi, Ce, Pr
, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, and at least one kind of gas containing at least one element selected from the group consisting of: H, He, N, O,
2. The method of manufacturing a semiconductor device according to claim 1, wherein the gas is at least one kind of gas containing at least one element selected from the group consisting of F, Ne, Cl, Ar, Kr, and Xe.
原料ガスを供給する工程に引き続き、プラズマにより励起した反応ガスを供給して基板上
に薄膜を成膜する工程を、1ステップとして、それを繰り返す、いわゆるCVD(Chemical Va
por Deposition)法、あるいは、ALD(Atomic Layer Chemical Vapor Deposition)法による
基板処理装置において、前記プラズマ生成部は、被成膜基板と対向して、原料ガスおよび
反応ガスの前記被成膜基板への直接の供給口と同じ位置、あるいは、前記供給口よりも被
成膜基板に近い位置に配置され、対向する少なくとも一組以上の電極間に印加された高周
波により生成されるプラズマを使用することを特徴とする基板処理装置。
Subsequent to the process of supplying the source gas, the process of forming a thin film on the substrate by supplying the reaction gas excited by plasma is repeated as one step, so-called CVD (Chemical Va
In a substrate processing apparatus by a por deposition (Pode Deposition) method or an ALD (Atomic Layer Chemical Vapor Deposition) method, the plasma generation unit faces the deposition substrate, and a source gas and a reactive gas are applied to the deposition substrate. Use plasma generated by a high frequency applied between at least one pair of electrodes arranged at the same position as the direct supply port, or at a position closer to the deposition target substrate than the supply port. A substrate processing apparatus.
前記原料ガスがAl, Si, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ga, Ge, Sr, Y, Zr, Nb,
Mo, Ru, Rh, Pd, Ag, In, I, Ba, La, Hf, Ta, W, Re, Os, Ir, Pt, Au, Pb, Bi, Ce, Pr
, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu からなる群から選択される元素を少な
くとも一つ以上含む、少なくとも一種類以上の気体であり、前記反応ガスがH, He, N, O,
F, Ne, Cl, Ar, Kr, Xe からなる群から選択される元素を少なくとも一つ以上含む、少
なくとも一種類以上の気体であることを特徴とする請求項3記載の基板処理装置。
The source gas is Al, Si, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ga, Ge, Sr, Y, Zr, Nb,
Mo, Ru, Rh, Pd, Ag, In, I, Ba, La, Hf, Ta, W, Re, Os, Ir, Pt, Au, Pb, Bi, Ce, Pr
, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, and at least one kind of gas containing at least one element selected from the group consisting of: H, He, N, O,
4. The substrate processing apparatus according to claim 3, wherein the substrate processing apparatus is at least one kind of gas containing at least one element selected from the group consisting of F, Ne, Cl, Ar, Kr, and Xe.
JP2005245225A 2005-08-26 2005-08-26 Method for manufacturing semiconductor device, and substrate processing apparatus Pending JP2007059735A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2005245225A JP2007059735A (en) 2005-08-26 2005-08-26 Method for manufacturing semiconductor device, and substrate processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005245225A JP2007059735A (en) 2005-08-26 2005-08-26 Method for manufacturing semiconductor device, and substrate processing apparatus

Publications (1)

Publication Number Publication Date
JP2007059735A true JP2007059735A (en) 2007-03-08

Family

ID=37922941

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005245225A Pending JP2007059735A (en) 2005-08-26 2005-08-26 Method for manufacturing semiconductor device, and substrate processing apparatus

Country Status (1)

Country Link
JP (1) JP2007059735A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140069323A (en) * 2011-09-30 2014-06-09 도쿄엘렉트론가부시키가이샤 Combined silicon oxide etch and contamination removal process
JP2016028425A (en) * 2011-01-13 2016-02-25 クックジェ エレクトリック コリア カンパニー リミテッド Injection member used for manufacturing semiconductor, plasma processing apparatus using the same and method of manufacturing semiconductor device

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016028425A (en) * 2011-01-13 2016-02-25 クックジェ エレクトリック コリア カンパニー リミテッド Injection member used for manufacturing semiconductor, plasma processing apparatus using the same and method of manufacturing semiconductor device
KR20140069323A (en) * 2011-09-30 2014-06-09 도쿄엘렉트론가부시키가이샤 Combined silicon oxide etch and contamination removal process
JP2014528181A (en) * 2011-09-30 2014-10-23 東京エレクトロン株式会社 Combined silicon oxide etch and contaminant removal process
KR101643830B1 (en) 2011-09-30 2016-08-10 도쿄엘렉트론가부시키가이샤 Combined silicon oxide etch and contamination removal process

Similar Documents

Publication Publication Date Title
JP5097554B2 (en) Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
US6649218B2 (en) Single substrate processing film forming method
US9873942B2 (en) Methods of vapor deposition with multiple vapor sources
JP4803578B2 (en) Deposition method
US8435905B2 (en) Manufacturing method of semiconductor device, and substrate processing apparatus
JP3670628B2 (en) Film forming method, film forming apparatus, and semiconductor device manufacturing method
KR100505310B1 (en) Single-substrate-processing cvd apparatus and method
US20040224505A1 (en) Nanolayer thick film processing system and method
KR100652420B1 (en) Method of manufacturing a dielectric film and method of manufacturing Metal Insulator Metal capacitor having the dielectric film and batch type atomic layer deposition apparatus for manufacturing the dielectric film
KR20100132779A (en) Method for manufacturing thin film and apparatus for the same
JP4753841B2 (en) Manufacturing method of semiconductor device
US10513433B2 (en) Laminated ceramic chip component including nano thin film layer, manufacturing method therefor, and atomic layer vapor deposition apparatus therefor
JP5678252B2 (en) Method for forming Sr-Ti-O-based film
KR101156305B1 (en) METHOD FOR FORMING SrTiO3 FILM AND STORAGE MEDIUM
KR100985363B1 (en) Method for manufacturing semiconductor device and substrate processing apparatus
JP3968869B2 (en) Film forming method and film forming apparatus
JP5751754B2 (en) Film formation method and storage medium
JP2007059735A (en) Method for manufacturing semiconductor device, and substrate processing apparatus
KR20080069918A (en) Film forming method of srtio3 film
JP2008050662A (en) Substrate treatment device
JP2008205325A (en) Manufacturing method of semiconductor device and substrate treatment apparatus
JP2009299101A (en) Method of manufacturing semiconductor device and substrate processing apparatus
US20130115367A1 (en) Method for forming ruthenium oxide film
KR100511914B1 (en) Method for fabricating of semiconductor device using PECYCLE-CVD