US20050274323A1 - Massively parallel atomic layer deposition/chemical vapor deposition system - Google Patents

Massively parallel atomic layer deposition/chemical vapor deposition system Download PDF

Info

Publication number
US20050274323A1
US20050274323A1 US11/114,313 US11431305A US2005274323A1 US 20050274323 A1 US20050274323 A1 US 20050274323A1 US 11431305 A US11431305 A US 11431305A US 2005274323 A1 US2005274323 A1 US 2005274323A1
Authority
US
United States
Prior art keywords
reactors
load lock
vertical
substrates
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/114,313
Inventor
Thomas Seidel
Adrian Jansz
Jurek Puchacz
Ken Doering
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Aixtron Inc
Original Assignee
Genus Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Genus Inc filed Critical Genus Inc
Priority to US11/114,313 priority Critical patent/US20050274323A1/en
Assigned to GENUS, INC. reassignment GENUS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: JANSZ, ADRIAN, DOERING, KEN, PUCHACZ, JUREK, SEIDEL, THOMAS E.
Publication of US20050274323A1 publication Critical patent/US20050274323A1/en
Assigned to AIXTRON, INC. reassignment AIXTRON, INC. CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: GENUS, INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating

Definitions

  • the present invention relates to semiconductor processing and, more particularly, to an apparatus and method for providing a massively parallel ALD/CVD system.
  • Chemical Vapor Deposition is a widely used deposition process for the growth of thin films on various substrates, including semiconductor wafers. As microelectronics device dimensions are reduced, or scaled down, CVD is an attractive method for the deposition of conformal films over complex device topography.
  • ALD Atomic Layer Deposition
  • ALD is a process wherein conventional CVD processes are divided into separate deposition steps that theoretically go to saturation at a single molecular or atomic monolayer thickness and self-terminate.
  • the molecular precursors are introduced into the reactor separately.
  • an ALD precursor reaction is followed by inert gas purging of the reactor to remove the precursor from the reactor prior to the introduction of the next precursor.
  • ALD systems today include those with a precursor inject flow with respect to the substrate, such as a semiconductor wafer, of “horizontal” or a “vertical” flow design.
  • the flow is directed across (parallel to) the surface of the wafer.
  • a purge-pump configuration requires that the gas flow actually have both vertical and horizontal components near to and with respect to the wafer plane.
  • Horizontal flow reactors generally require, as a minimum, the transport of the exposure pulses of the precursor chemical over the diameter of the wafer, whereas vertical flow reactors allow for axi-symmetric injection of the precursor chemical, so that the transport of the exposure pulses is over the radius of the wafer. This means the trailing edge of the precursors for vertical inject is sharper and may be placed closer to the initial edge of sequential reacting precursors, thus minimizing gas phase reactions.
  • batch ALD reactors are believed to be difficult to maintain relative to single wafer reactors, which historically have had the capability for in-situ cleans, at least films made by CVD. Films made by single wafer ALD reactors may also be in-situ cleaned if or when appropriate cleaning chemistry(s) are developed. Accordingly, vertical flow designs may be more preferable for practical ALD systems.
  • single wafer reactors are also more likely to be accepted by the semiconductor industry, since single wafer reactors allow for improved uniformity and high throughput for wafer processing over batch reactors.
  • ALD one critical limitation for wider acceptance of ALD is the fact that high throughput processes are difficult to realize with single reactor systems.
  • FIG. 1 is a perspective view of one embodiment of a massively parallel ALD/CVD deposition system of the present invention.
  • FIG. 2 is a top-plan view of the system of FIG. 1 .
  • FIG. 3 is a side-plan view of the system of FIG. 1 , but showing only one process module.
  • FIG. 4 is an exploded view of an embodiment of a compact ALD reactor for the system shown in FIG. 1 .
  • FIG. 5 is an exploded sectional-view of the embodiment of the compact ALD reactor shown in FIG. 4 .
  • FIG. 6 is a cross-sectional view of an assembled compact ALD reactor having a cone-like shape near the gas inlet and exhaust to improve gas flow in the chamber.
  • FIG. 7 is a cross-sectional view of an assembled compact ALD reactor having a horn-like shape near the gas inlet and exhaust to improve gas flow in the chamber.
  • FIG. 8 is a timing diagram showing a time phased control of chemical pulses and purges for a three-stack reactor module for the system of FIG. 1 .
  • a massively parallel ALD/CVD system is described.
  • a plurality of ALD/CVD reactors have a compact, low vertical profile so that the reactors may be vertically stacked.
  • the stacked deposition reactors are coupled to receive a material, such as a semiconductor wafer, from a load lock unit to place in one of the reactors.
  • separate load lock units corresponding to the reactors are used, so that the wafer may be vertically positioned to the respective height of the vertically stacked reactors when the wafers are to be located in the load lock.
  • the vertically stacked ALD/CVD reactors have a low height profile, but allow separate gas inlet at the top of a chamber and separate exhaust at the bottom of the chamber to provide a generally axi-symmetric vertical gas flow across the wafer when the wafer is processed in the reactor chambers.
  • the vertical arrangement allows multiple wafers to be processed separately in module housing the multiple reactors.
  • the reactor chamber is formed by placing a top plate and a bottom plate onto a frame.
  • the top plate and the bottom may have a particularly shaped recessed regions to form the top and bottom of the chamber conforming to the particular shape.
  • the top and bottom of the chamber has a cone-shape to improve the generally axi-symmetric gas flow in the chamber.
  • horn-shaped chamber is used to provide an option to further improve the gas flow.
  • the low profile reactors are individually constructed with a cover plate integrated with and containing a horizontal input conduit and a base plate integrated with and containing a horizontal conduit for exhaust to minimize the total vertical height of the assembled low profile reactors.
  • the present invention is described in reference to various embodiments.
  • the example embodiments are described in terms of depositing film material on a substrate by Atomic Layer Deposition (ALD).
  • ALD Atomic Layer Deposition
  • the method and apparatus may be readily adapted for the practice of Chemical Vapor Deposition (CVD) or variants thereof.
  • CVD Chemical Vapor Deposition
  • the substrate may be of a variety of base materials for depositing subsequent material layers and need not be limited to the deposition of film layers on a semiconductor substrate (wafer).
  • substrates used for manufacture of flat panel displays may readily be the base substrate.
  • FIG. 1 an example embodiment of a Massively Parallel ALD System (MPAS) 100 is shown.
  • MPAS 100 is a complete equipment (tool) manufactured for the purpose of providing deposition of thin film material on to a substrate (either the base substrate or a material layer formed on the substrate).
  • a common substrate is a semiconductor substrate, such as a silicon wafer.
  • the MPAS 100 is not limited to ALD or CVD (including plasma assisted ALD or plasma assisted CVD), although the description below pertains to the practice of ALD.
  • the MPAS 100 comprises a number of main assemblies.
  • the MPAS 100 is also illustrated as a cluster tool having a number of assemblies around a common hub.
  • the MPAS 100 may be readily designed to operate in a non-cluster environment, but generally, MPAS 100 is designed as a cluster tool to improve throughput of wafers.
  • the core architecture of the example MPAS 100 shown includes a central vacuum chamber/platform 101 , four process modules 102 , load locks 103 and associated connections between the various components and assemblies.
  • the process modules includes three low profile Compact ALD Reactors (CARs) 110 , so that a total of twelve such CARs (3 ⁇ 4) are present in the example MPAS 100 .
  • the actual number of CARs 110 per process module may vary and may be less than or more than three.
  • the number of process modules 102 may vary, so that the total number of CARs 110 for a given MPAS 100 may be less than or greater than twelve.
  • MPAS 100 With the noted design of the MPAS 100 having twelve CARs 110 , more wafers may be processed in a smaller footprint area when compared to existing ALD equipment tools. Where productivity is defined as a throughput per unit area of factory floor space, MPAS 100 allows for improved productivity due to the compact design of the CARs 110 and the cluster tool environment. Furthermore, the process capability within a processing chamber of an individual vertical flow compact reactor of the CARs 110 may be made compatible with current thermal and plasma assisted ALD (or CVD) processes, thus, permitting the use of a suitable in-situ clean for continuous operation, as well as plasma assisted CVD or ALD. Other economy of scale features apply, such as common chemical source and pump usage for the stacked reactors in a common process module, which reduces the cost to produce, while still allowing the operation of the independent process modules for high availability of the system operation.
  • ALD plasma assisted CVD
  • a Front Opening Unified Pod allows for a standard mechanical wafer interface to a factory.
  • the FOUP typically resides opposite a wall 121 (or some other partition) and is placed onto a FOUP support 119 .
  • the wall 121 separates two environments, one environment for handling/storing the wafer and the other environment where the MPAS 100 is located.
  • the number of FOUP supports 119 utilized will depend on the particular system and, thus, the actual number of FOUPs present will vary. Three such FOUP supports 119 are shown in FIG. 2 .
  • a variety of FOUPs known in the art may be readily adapted for use with MPAS 100 .
  • wafer loading interfaces may also be utilized to allow the transfer of the wafers from one environment to the environment of MPAS 100 .
  • a FOUP may not be desired because of its appreciable cost.
  • other simpler interfaces may be used.
  • mini-environment 130 On the other side of the wall 121 , a mini-environment interface (referred to as mini-environment 130 ) is present.
  • the mini-environment 130 is a “clean” entry space (for example, Class 1 ) between the wafer handling environment and the vertical process module 101 .
  • the FOUPs are linked to the mini-environment 130 , so that the loaded wafers are transferred to the mini-environment 130 .
  • the mini-environment is used to interface between the atmospheric environment located to the left of the wall 121 (where the FOUPs are located) and the clean environment where the central robotic vacuum chamber 101 and the processing modules 102 are located.
  • Mini-environments of various schemes may be implemented for the mini-environment 130 , including mini-environments known in the art.
  • an atmospheric robot 135 is employed in the mini-environment to move the wafers through the mini-environment 130 .
  • the robot 135 is specified with a suitable vertical motion (e.g. approximately 24-36 inches) to accommodate the design for efficient transfer of wafers to the load locks and then to the stacked reactors of the processing modules.
  • Wafers from the FOUP(s) are loaded into the load locks 103 by the atmospheric robot 135 in the mini-environment 130 using appropriate vertical motion.
  • the load locks 103 have vertical positions that at least at one time in the wafer transfer operation nominally match the vertical positions of the vertically stacked CARs 110 .
  • load locks 103 shown are vertically stacked to correspond to the vertically stacked CARs 110 .
  • common load lock chambers (not vertically stacked) may also be used in other designs, wherein the load lock is provided with a vertical vacuum movement mechanism for the wafers in the load lock, so that wafers may be placed approximately to match the vertical positions of the center-line position of the stacked reactors.
  • the number of load locks 103 to be used may vary from system to system.
  • a central robot (not shown) is located within the central vacuum chamber 101 and directly above a robot(s) control housing 142 .
  • the vacuum robot may or may not be an industry standard component known in the art, however, it may be modified so that it uses multiple end effectors so as to pick and place more than one wafer at a time from the load lock position to transfer wafers to the CARs 110 .
  • the central vacuum robot may take one or more wafers at a time from load lock chambers (less than or of the order of 1.5 ⁇ the diameter of the wafer and a height defined by the number of wafers to be accommodated by the load lock). For example, if there are 24 wafers in each FOUP, and there are 2 load locks, there may be 36 wafers placed in each load lock 103 .
  • the load locks are generally placed about the same horizontal plane or level as the center-line of the CARs 110 .
  • Options for robotic transfer for one or more wafers under one loading motion are possible.
  • 3 wafers may be removed from the load lock 103 and placed into 3 stacked CARs 110 of one process module 102 in one transfer loading motion or operation. This operation may be sequentially repeated for supplying wafers to the other process modules.
  • ALD deposition process may take place in the previously wafer loaded process module(s).
  • the central vacuum chamber 101 includes vacuum robots to transfer wafers from the load locks 103 to the CARs 110 .
  • a central vacuum robot control unit 142 may be attached to the bottom of the central vacuum chamber 101 and a second central robot may be attached to the top of the central vacuum robotic chamber 101 for increased flexibility.
  • one or more of these robotic units may be designed to have movement in the up-down direction (z-direction).
  • the atmospheric robot 135 in the mini-environment 130 may have z-direction movement, so that the wafers may be loaded to the correct height in the load locks 103 , and a control mechanism 140 for vertical motion of wafers within the load lock 103 so as to align at the appropriate height for the corresponding CAR 10 .
  • the wafers when transported to or within the location of the load lock(s) 103 may be vertically positioned already for entry into the corresponding CAR 110 , so that significant movement beyond that for placement (or hand-off) within the reactor(s) (generally in the order of approximately 1 cm) is not required of the robot(s) in the central vacuum chamber 101 .
  • the central vacuum chamber 101 is positioned as a hub for the four process modules 102 arranged around the periphery. Again the number of such process modules 102 may vary and the exact layout will depend on the particular footprint. However, a typical layout is the arrangement shown in FIG. 2 .
  • the wafers are then moved from the central vacuum chamber 102 into individual CARs 110 .
  • the process modules 102 houses the CARs 110 in a stacked arrangement and typically disposed so that the CARs 110 are aligned with the horizontal movement of the wafer from the load lock 103 .
  • a chemical source 145 is shown located above the process modules 102 to source the various chemicals to the CARs 110 .
  • a delivery unit, in form of a gas switching manifold 146 reside between the chemical source 145 and the CARs 110 to control the switching in/out the precursor chemicals being sourced to the CARs 110 .
  • individual chemical sources 145 are shown for each process module 102 in the example embodiment, other embodiments may employ a common chemical source for delivery of the chemical(s) to all of the process modules 102 .
  • the source may be placed in a variety of remote locations. If placed semi-remotely (away from the overhead projection of a process module 102 , but still within or nearly within the overhead projection of the cluster platform), the chemicals may be in a common source “box” that contains all the individual chemical sources for the process modules 102 .
  • a given process module 102 has the chemical source 145 , gas switching manifold 146 and the CARs 110 disposed in a vertical arrangement to provide a smaller footprint on the factory floor.
  • much of the machinery e.g. the robotic units and control units
  • electronic control racks 109 shown in FIG. 2 (but not in FIGS. 1 and 3 ) are electronic control racks 109 , which may house various electronic components, controls, etc. In some systems, these control racks 109 may be removable to allow access to the cluster hub, such as for performing maintenance.
  • the chemical source 145 is located in the elevated location above the level of the uppermost reactor of a particular process module 102 .
  • the elevated chemical source 145 operates as a common chemical source for the corresponding CARs 110 of the same process module 102 .
  • the chemical source 145 supplies precursors for the grouped of stacked CARs 110 of the respective process module 102 , by using time phased control sequence.
  • One such control sequence is described below in reference to FIG. 8 for an ALD process.
  • FIGS. 4 and 5 illustrate one example embodiment 200 of the CAR unit 110 described above.
  • the CAR unit 200 generally has a low profile with regard to its height dimension, as compared to its length and width dimensions.
  • larger height reactors may be employed, although such larger height profile reactor units may limit the number that may be stacked and/or increase the overall height of the process module 102 .
  • the CAR unit's lateral dimensions may be targeted for approximately 1.3 ⁇ to 2 ⁇ the wafer diameter to be processed.
  • the height may targeted for 0.5 ⁇ to 1.0 ⁇ the lateral dimensions, however larger than 1.0 ⁇ may also be useful for a limited number of stacked reactors.
  • FIGS. 4 and 5 show an exploded view, in which a main body (or frame) 201 has a low profile.
  • the low profile is defined by the height being equal or less than the width and length (cross section dimension) of the CAR unit 200 , with a first side 202 using a wafer slot 203 to define a reference side of the CAR frame.
  • a heater assembly piece 205 is shown opposite the first side 202 . In other embodiments, the heater assembly piece 205 may be adjacent the first side 202 .
  • a heater 206 and a susceptor 207 upon which surface where a wafer is placed, are coupled to the heater assembly piece 205 .
  • a wafer may be inserted through the slot 203 and made to reside atop the susceptor 207 and heated by heater 206 .
  • an end effector of the wafer handler vacuum robot lifts and places the wafer on the susceptor 207 .
  • the other remaining sides of the frame 201 are enclosed.
  • the heater 206 is coupled to a heating source, such as electrical power, so that when applied, a wafer resident on the susceptor 207 is heated.
  • a resistive heater element may be used for example to provide wafer temperatures from 100-500 degrees C.
  • CAR wall temperatures may be controlled to a temperature approximately 80-140 degrees C. to minimize the adsorption of sticky reactive species, such as water or NH 3 .
  • the CAR unit 200 also includes a cover plate 210 and a base plate 220 .
  • the cover plate 210 resides atop the frame 201 to enclose the frame 201 from the top.
  • the base plate 220 encloses the frame 201 from the base (bottom).
  • the frame 201 has a cavity region, which when enclosed by the top and base plates 210 , 220 operates as a processing chamber 230 for the wafer. Accordingly, when a wafer is inserted through the wafer slot 203 and placed atop the susceptor 207 , the wafer is in position in the processing chamber 230 and may be heated by driving electrical power to a resistive heater and allowing the wafer to reach temperature by thermal conductive and /or radiative heat transfer.
  • the base plate 220 includes a recessed region 221 , which has an exhaust opening 222 at or proximal to the center of the recessed region 221 .
  • An exhaust conduit 223 extends from the opening 222 to a side of the base plate 220 , where an exhaust port 224 is present.
  • the exhaust conduit 224 is shown in FIG. 5 to extend to a side perpendicular to the wafer slot opening 203 .
  • the exhaust conduit 224 is disposed horizontally and, in the example, integrated with the base plate 220 .
  • the conduit 223 is axi-symmetric, although various other shapes and sizes may be readily implemented.
  • the cover plate 210 also includes a recessed region 211 , which has a source opening 212 at or proximal to the center of the recessed region 211 .
  • a source conduit 213 extends from the opening 212 to a side of the top plate 210 , where an inlet port 214 is present. In the particular example, the source conduit 213 extends to the side opposite the exhaust conduit 224 . As noted, the source conduit is disposed horizontally and, in the example, integrated with the cover plate 210 . Also in the particular example, the conduit 213 leading to the inlet port 214 , couples to gas injection lines to introduce precursors and inert gas. Although a single source conduit 213 is shown, multiple conduit lines, openings and/or ports may be used.
  • the heater assembly piece 205 , base plate 220 and cover plate 210 are shown assembled in a particular arrangement in FIGS. 4 and 5 . That is, the heater assembly piece 205 is assembled and placed opposite the wafer slot 203 , the exhaust port 224 is to the right of the wafer slot 203 , and the inlet port 214 is to the left of the wafer slot 203 . However, the assembly and configuration may be made in a variety of other any combinations. When completely assembled, the various components form the CAR unit 200 .
  • FIGS. 6 and 7 differ in that the recessed regions 211 and 221 have different shapes.
  • the cover plate 210 and the base plate 220 have a cone-shaped recessed regions 215 , 216 .
  • the two plates 210 , 220 have a shape which is convex near the source and exhaust openings 212 , 222 and concave near the near the side walls of the chamber 230 . This convex-concave shape is referenced as horn-shaped and form horn-shaped regions 217 , 218 .
  • a feature of the CARs illustrated in FIGS. 6 and 7 for describing the low profile reactor is that it is constructed with a cover plate integrated with or containing the horizontal conduit 213 for input of reactants and purge gases and with a base plate integrated with or containing the horizontal conduit 223 for exhaust of chemical by-products, unused reactants and purge gases.
  • This integrated construction reduces the total vertical dimension that would otherwise be obtained by the use of separate input and exhaust lines that are not integrated within the body of the CARs illustrated in FIGS. 6 and 7 .
  • Separate horizontal conduit input and exhaust lines that are not integrated within the cover and base plates would most likely require additional hardware and assembly distance(s) above and below the reactor upper and lower surfaces.
  • the novel design minimizes the total vertical height of the assembled low profile reactors and allow the CARs to be stacked more effectively.
  • the inlet of the source gases is at the top of the chamber 230 at the source opening 212 and the exhaust is at the exhaust opening 222 .
  • the wafer resides centrally between the two openings 212 , 222 .
  • This vertical flow of gases allows generally axi-symmetric flow across a radius of the wafer when ALD (or CVD) is performed on the wafer.
  • the generally axi-symmetric vertical gas flow achieves generally axi-symmetric conditions for better uniformity control via parasitic CVD control.
  • This condition is desirable to minimize the effect of etching of downstream exchange reaction ALD by-products that may more adversely take place in a horizontal flow arrangement, and to allow simultaneous provision for plasma processes for initiation and plasma assisted ALD. It also provides reduced broadening or dispersion of reactant pulse characteristic allowing for minimal purge time for sequential reactant separation and lack of gas phase reactions.
  • the vertical flow CAR unit 200 has internal reactor configuration designed with a first generally axi-symmetric horn-like or cone-like inject surface shape to confine and distribute the reactants and inert purge gases and a second horn-like or cone-like surface for obtaining generally axi-symmetric pumping flow for exhaust.
  • the selection of low aspect ratio cylindrically symmetric generally axi-symmetric cone or horn like surfaces are used to help reduce the height and eliminates dead spaces from the comers of the reactor chamber 230 .
  • the reverse cone or horn shape surface provides high conductance conduit pathway to the exhaust and limits the desorbtion effects related to back-streaming from dead space to the region above the wafer.
  • the cone or horn like shape have very low aspect rations (height much less than the diameter) to enable the performance of the vertical flow, low profile compact reactor concept. It is to be noted that other surface shapes may also be implemented and the cover and base plate recessed shapes need not be limited to cone or horn like shapes for achieving improved flow.
  • an example ALD gas switching sequencing diagram 300 is shown for a stack of three CAR units 200 .
  • the precursor chemicals are delivered to the CARs 200 from a gas switching manifold (such as manifold 146 shown in FIG. 3 ).
  • the pulse sequence is: precursor A-purge A-precursor B-Purge B. These four sequences comprise the “ALD cycle.”
  • the upper reactor is pulsed with an A precursor first and the A pulse is completed before the center reactor is A pulsed, and the lower reactor is A pulsed after the center reactor has completed it's A pulse.
  • a exposure draws precursor from a common chemical precursor source for its process module.
  • the sequence of pulses is repeated for the second precursor B.
  • purge gas flows in the chamber to remove the earlier precursor or any byproducts before the new precursor is introduced.
  • a novel vertical flow CAR integrated with MPAS is described with gas inlets and outputs vertically configured into and out of the processing chamber.
  • the low profile allows compact stacking to place multiple reactors in parallel, allowing for dense reactor packing and easy maintenance, but with separate gas injection into and outflow from the reaction chamber, which flow is generally axi-symmetric.
  • the reactor has external geometry that is low profile and substantially rectilinear in its envelope surface.
  • CARs may be rack mounted, one over the other in a process module to make a vertical stack of independent reactors, so that each reactor may be designed to be removed in a horizontal plane, while the other reactors may continue operation.
  • Precursor and inert purge gases are fed horizontally thru elements 214 and 213 and the exhaust pump flows are carried out horizontally through elements 223 and 224 with respect to the exterior of the CAR to facilitate integration.
  • the interior reactor gas flows are vertical with respect to the wafer surface.
  • the exterior shape or form factor of the CAR may be square, rectilinear, round or some other shape.
  • Thermal engineering may be utilized to compensate for proximity effects of upper and lower reactors being in a different thermal environment than the interior (such as the center reactor unit of a three-reactor stack), such as to control the wall temperatures and to assure reactor matching.
  • Stacked reactors in a given process module may be pumped by being connected to a common or shared pump line.
  • Various options including the combination of dedicated connecting pump lines and shared line (such as a shared manifold line 149 shown in FIG. 3 ) defines the pump manifold.
  • Individual pumps for individual reactors may also be used.
  • a pump line connecting to an individual CAR may have a line with a shut-off valve and controlling throttle valve in series to their dedicated CAR chamber; the throttle valve achieving a desired set point value or range of values of pressure in each reactor, which can be nominally the same.
  • a reactor is fed its reactant and purge gases by its own dedicated manifold line or as an alternative, use a shared manifold line.
  • One embodiment has an arrangement with its last reactant and inert gas switching valves “close” to each reactor for implementation of rapid gas switching and to the extent possible a similar distance from each inlet orifice leading to the CAR reaction space to achieve matched process performance.
  • individual CAR units may have remotely operable vacuum valves at its wafer input side to be opened for wafer transport into or out of the reactor and closed for process operation of the reactor.
  • the MPAS may be computer or processor controlled. Individual stacks of CARs may share a common pump manifold with a single mechanical pump. Individual CARs may be isolated from cross talk with an independently controlled isolation valve for pressure control and gas flow.
  • the process pressure control is independently controlled via hardware and software, such that closed loop pressure control may be permissible from 0 to 10 Torr without affecting the process (deposition or in-situ clean) on the other CAR modules.
  • wafer temperature control from 100 degrees C. to 500 degrees C. and plasma deposition from 10 watts to 1 KW is in-situ plasma clean is independently controlled without significant crosstalk within the stacked or clustered CARs.
  • the work piece may be a large silicon wafer, (such as a 200 mm or 300 mm wafer).
  • throughputs may be doubled or more compared with state-of-the-art high productivity systems and are particularly well designed for certain ALD applications.
  • barrier films for interconnect applications which may have to be 50-100 A, but with ALD deposition rates which are not as large as certain dielectrics.
  • TiN for example using TiCl 4 and NH 3 has a deposition rate of about only 0.4 A/cycle, providing about 3 wafers/hr/module for the desired thickness.
  • a 6 module system may produce only about 18 wph/system, whereas the MPAS provides of order 36 wph/system, that is more suitable for interconnect manufacturing. Since interconnect designs may have 7+ levels of metallization and a level may require the use of an ALD barrier layer, the system cost is prohibitive with the lower ALD system throughput.
  • These MPAS implementations are well suited to semiconductor manufacturing of large area, highly complex chips, such as those of 1 to 2 cm 2 and containing billion level transistor component counts, and where the film is used many times for the fabrication of each device and wafer.
  • the cost of a 300 mm starting wafer is more than nominal and unlikely to be reduced using current silicon crystal pull, cut and polish manufacturing methods.
  • the cost of the wafer itself has been rationalized for silicon semiconductor use against the high value of large area chips using large wafer.
  • small wafers which may cost much less.
  • a 100 mm (4 inch) silicon or compound semiconductor substrate If a device, such as a system on a chip or a any small commodity part or component may have a cost to produce of only a few dollars, then the MPAS might be used without a FOUP arrangement or even individual 100 mm wafers.

Abstract

A method and apparatus for the use of individual vertically stacked ALD or CVD reactors. Individual reactors are independently operable and maintainable. The gas inlet and output are vertically configured with respect to the reactor chamber for generally axi-symmetric process control. The chamber design is modular in which cover and base plates forming the reactor have improved flow design.

Description

    RELATED APPLICATIONS
  • The present application is a Divisional of U.S. patent application Ser. No. 10/282,609, filed Oct. 29, 2002, entitled “Massively Parallel Atomic Layer Deposition/Chemical Vapor Deposition System”, which claims priority to U.S. Provisional Application No. 60/346,005, filed on Oct. 29, 2001. This patent application is hereby incorporated by reference in its entirety.
  • FIELD OF THE INVENTION
  • The present invention relates to semiconductor processing and, more particularly, to an apparatus and method for providing a massively parallel ALD/CVD system.
  • BACKGROUND OF THE RELATED ART
  • Chemical Vapor Deposition (CVD) is a widely used deposition process for the growth of thin films on various substrates, including semiconductor wafers. As microelectronics device dimensions are reduced, or scaled down, CVD is an attractive method for the deposition of conformal films over complex device topography. In the field of atomic/molecular level film deposition, a process known as Atomic Layer Deposition (ALD) has emerged as a promising candidate to extend the abilities of CVD techniques. Generally, ALD is a process wherein conventional CVD processes are divided into separate deposition steps that theoretically go to saturation at a single molecular or atomic monolayer thickness and self-terminate. For ALD applications, the molecular precursors are introduced into the reactor separately. Typically, an ALD precursor reaction is followed by inert gas purging of the reactor to remove the precursor from the reactor prior to the introduction of the next precursor.
  • Commercial ALD systems today include those with a precursor inject flow with respect to the substrate, such as a semiconductor wafer, of “horizontal” or a “vertical” flow design. In the horizontal flow design, the flow is directed across (parallel to) the surface of the wafer. In the vertical inject design, a purge-pump configuration requires that the gas flow actually have both vertical and horizontal components near to and with respect to the wafer plane.
  • Horizontal flow reactors generally require, as a minimum, the transport of the exposure pulses of the precursor chemical over the diameter of the wafer, whereas vertical flow reactors allow for axi-symmetric injection of the precursor chemical, so that the transport of the exposure pulses is over the radius of the wafer. This means the trailing edge of the precursors for vertical inject is sharper and may be placed closer to the initial edge of sequential reacting precursors, thus minimizing gas phase reactions. These considerations are important in the design of a practical and efficient commercial ALD reactor.
  • In order to improve throughput of wafers, the semiconductor industry has employed batch processing. However, as wafer diameters increase (e.g. 200 mm and 300 mm wafer diameters or larger), industry preference is for single wafer processing in a reactor chamber. In reference to ALD processes, batch ALD reactors are believed to be difficult to maintain relative to single wafer reactors, which historically have had the capability for in-situ cleans, at least films made by CVD. Films made by single wafer ALD reactors may also be in-situ cleaned if or when appropriate cleaning chemistry(s) are developed. Accordingly, vertical flow designs may be more preferable for practical ALD systems.
  • The use of single wafer reactors is also more likely to be accepted by the semiconductor industry, since single wafer reactors allow for improved uniformity and high throughput for wafer processing over batch reactors. However, one critical limitation for wider acceptance of ALD is the fact that high throughput processes are difficult to realize with single reactor systems.
  • It would be advantageous to have a single wafer vertical flow reactor that is also compact and low in profile in its form factor, so that the reactors may be stacked one atop another. Multiple stacked reactors would allow higher throughput per system and improve a given unit area of factory floor space per wafer processed.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Embodiments of the present invention are illustrated by way of example and are not for the purpose of limitation. In the figures of the accompanying drawings, similar references are utilized to indicate similar elements.
  • FIG. 1 is a perspective view of one embodiment of a massively parallel ALD/CVD deposition system of the present invention.
  • FIG. 2 is a top-plan view of the system of FIG. 1.
  • FIG. 3 is a side-plan view of the system of FIG. 1, but showing only one process module.
  • FIG. 4 is an exploded view of an embodiment of a compact ALD reactor for the system shown in FIG. 1.
  • FIG. 5 is an exploded sectional-view of the embodiment of the compact ALD reactor shown in FIG. 4.
  • FIG. 6 is a cross-sectional view of an assembled compact ALD reactor having a cone-like shape near the gas inlet and exhaust to improve gas flow in the chamber.
  • FIG. 7 is a cross-sectional view of an assembled compact ALD reactor having a horn-like shape near the gas inlet and exhaust to improve gas flow in the chamber.
  • FIG. 8 is a timing diagram showing a time phased control of chemical pulses and purges for a three-stack reactor module for the system of FIG. 1.
  • SUMMARY
  • A massively parallel ALD/CVD system is described. A plurality of ALD/CVD reactors have a compact, low vertical profile so that the reactors may be vertically stacked. The stacked deposition reactors are coupled to receive a material, such as a semiconductor wafer, from a load lock unit to place in one of the reactors. In one embodiment, separate load lock units corresponding to the reactors are used, so that the wafer may be vertically positioned to the respective height of the vertically stacked reactors when the wafers are to be located in the load lock.
  • The vertically stacked ALD/CVD reactors have a low height profile, but allow separate gas inlet at the top of a chamber and separate exhaust at the bottom of the chamber to provide a generally axi-symmetric vertical gas flow across the wafer when the wafer is processed in the reactor chambers. The vertical arrangement allows multiple wafers to be processed separately in module housing the multiple reactors.
  • In one embodiment, the reactor chamber is formed by placing a top plate and a bottom plate onto a frame. The top plate and the bottom may have a particularly shaped recessed regions to form the top and bottom of the chamber conforming to the particular shape. In one embodiment, the top and bottom of the chamber has a cone-shape to improve the generally axi-symmetric gas flow in the chamber. In another embodiment, horn-shaped chamber is used to provide an option to further improve the gas flow. The low profile reactors are individually constructed with a cover plate integrated with and containing a horizontal input conduit and a base plate integrated with and containing a horizontal conduit for exhaust to minimize the total vertical height of the assembled low profile reactors.
  • DETAILED DESCRIPTION OF THE INVENTION
  • In the description below, the present invention is described in reference to various embodiments. The example embodiments are described in terms of depositing film material on a substrate by Atomic Layer Deposition (ALD). Although ALD is described, the method and apparatus may be readily adapted for the practice of Chemical Vapor Deposition (CVD) or variants thereof. However, the practice of the invention is not limited to these processes. Furthermore, the substrate may be of a variety of base materials for depositing subsequent material layers and need not be limited to the deposition of film layers on a semiconductor substrate (wafer). For example, substrates used for manufacture of flat panel displays may readily be the base substrate.
  • Referring to FIG. 1, an example embodiment of a Massively Parallel ALD System (MPAS) 100 is shown. A top plan view and a side plan view of the MPAS 100 are respectively shown in FIGS. 2 and 3 (in FIG. 3, only one process module is shown). MPAS 100 is a complete equipment (tool) manufactured for the purpose of providing deposition of thin film material on to a substrate (either the base substrate or a material layer formed on the substrate). A common substrate is a semiconductor substrate, such as a silicon wafer. Again, as noted above, the MPAS 100 is not limited to ALD or CVD (including plasma assisted ALD or plasma assisted CVD), although the description below pertains to the practice of ALD. The MPAS 100 comprises a number of main assemblies. The MPAS 100 is also illustrated as a cluster tool having a number of assemblies around a common hub. The MPAS 100 may be readily designed to operate in a non-cluster environment, but generally, MPAS 100 is designed as a cluster tool to improve throughput of wafers.
  • The core architecture of the example MPAS 100 shown includes a central vacuum chamber/platform 101, four process modules 102, load locks 103 and associated connections between the various components and assemblies. As will be described below, the process modules includes three low profile Compact ALD Reactors (CARs) 110, so that a total of twelve such CARs (3×4) are present in the example MPAS 100. The actual number of CARs 110 per process module may vary and may be less than or more than three. Similarly, the number of process modules 102 may vary, so that the total number of CARs 110 for a given MPAS 100 may be less than or greater than twelve.
  • With the noted design of the MPAS 100 having twelve CARs 110, more wafers may be processed in a smaller footprint area when compared to existing ALD equipment tools. Where productivity is defined as a throughput per unit area of factory floor space, MPAS 100 allows for improved productivity due to the compact design of the CARs 110 and the cluster tool environment. Furthermore, the process capability within a processing chamber of an individual vertical flow compact reactor of the CARs 110 may be made compatible with current thermal and plasma assisted ALD (or CVD) processes, thus, permitting the use of a suitable in-situ clean for continuous operation, as well as plasma assisted CVD or ALD. Other economy of scale features apply, such as common chemical source and pump usage for the stacked reactors in a common process module, which reduces the cost to produce, while still allowing the operation of the independent process modules for high availability of the system operation.
  • For a standard semiconductor wafer processing, such as for a 300 mm wafer implementation, a Front Opening Unified Pod (FOUP) allows for a standard mechanical wafer interface to a factory. The FOUP typically resides opposite a wall 121 (or some other partition) and is placed onto a FOUP support 119. The wall 121 separates two environments, one environment for handling/storing the wafer and the other environment where the MPAS 100 is located. The number of FOUP supports 119 utilized will depend on the particular system and, thus, the actual number of FOUPs present will vary. Three such FOUP supports 119 are shown in FIG. 2. A variety of FOUPs known in the art may be readily adapted for use with MPAS 100. It is also to be noted that other wafer loading interfaces may also be utilized to allow the transfer of the wafers from one environment to the environment of MPAS 100. In some instances, a FOUP may not be desired because of its appreciable cost. For example, in certain cases where small piece parts and non-semiconductor materials are employed for processing, other simpler interfaces may be used.
  • On the other side of the wall 121, a mini-environment interface (referred to as mini-environment 130) is present. The mini-environment 130 is a “clean” entry space (for example, Class 1) between the wafer handling environment and the vertical process module 101. Thus, the FOUPs are linked to the mini-environment 130, so that the loaded wafers are transferred to the mini-environment 130. The mini-environment is used to interface between the atmospheric environment located to the left of the wall 121 (where the FOUPs are located) and the clean environment where the central robotic vacuum chamber 101 and the processing modules 102 are located. Mini-environments of various schemes may be implemented for the mini-environment 130, including mini-environments known in the art.
  • In the particular embodiment shown, an atmospheric robot 135 is employed in the mini-environment to move the wafers through the mini-environment 130. In one embodiment the robot 135 is specified with a suitable vertical motion (e.g. approximately 24-36 inches) to accommodate the design for efficient transfer of wafers to the load locks and then to the stacked reactors of the processing modules.
  • Wafers from the FOUP(s) are loaded into the load locks 103 by the atmospheric robot 135 in the mini-environment 130 using appropriate vertical motion. The load locks 103 have vertical positions that at least at one time in the wafer transfer operation nominally match the vertical positions of the vertically stacked CARs 110. Thus, load locks 103 shown are vertically stacked to correspond to the vertically stacked CARs 110. However, common load lock chambers (not vertically stacked) may also be used in other designs, wherein the load lock is provided with a vertical vacuum movement mechanism for the wafers in the load lock, so that wafers may be placed approximately to match the vertical positions of the center-line position of the stacked reactors. The number of load locks 103 to be used may vary from system to system.
  • A central robot (not shown) is located within the central vacuum chamber 101 and directly above a robot(s) control housing 142. The vacuum robot may or may not be an industry standard component known in the art, however, it may be modified so that it uses multiple end effectors so as to pick and place more than one wafer at a time from the load lock position to transfer wafers to the CARs 110. The central vacuum robot may take one or more wafers at a time from load lock chambers (less than or of the order of 1.5×the diameter of the wafer and a height defined by the number of wafers to be accommodated by the load lock). For example, if there are 24 wafers in each FOUP, and there are 2 load locks, there may be 36 wafers placed in each load lock 103. The load locks are generally placed about the same horizontal plane or level as the center-line of the CARs 110. Options for robotic transfer for one or more wafers under one loading motion are possible. For example, 3 wafers may be removed from the load lock 103 and placed into 3 stacked CARs 110 of one process module 102 in one transfer loading motion or operation. This operation may be sequentially repeated for supplying wafers to the other process modules. During the time of transfer of wafers to the CARs 110 of the second (and other) process module(s), ALD deposition process may take place in the previously wafer loaded process module(s).
  • Thus, the central vacuum chamber 101 includes vacuum robots to transfer wafers from the load locks 103 to the CARs 110. A central vacuum robot control unit 142 may be attached to the bottom of the central vacuum chamber 101 and a second central robot may be attached to the top of the central vacuum robotic chamber 101 for increased flexibility.
  • It is to be noted that one or more of these robotic units may be designed to have movement in the up-down direction (z-direction). The atmospheric robot 135 in the mini-environment 130 may have z-direction movement, so that the wafers may be loaded to the correct height in the load locks 103, and a control mechanism 140 for vertical motion of wafers within the load lock 103 so as to align at the appropriate height for the corresponding CAR 10. In general, there are options to transport wafers to the CARs by the single motion or combined vertical motion of the atmospheric robot in the mini-environment 130, load lock 103, and/or the central vacuum robot(s) in the central vacuum chamber 101. It is also to be noted that with the embodiments described above, the wafers when transported to or within the location of the load lock(s) 103, may be vertically positioned already for entry into the corresponding CAR 110, so that significant movement beyond that for placement (or hand-off) within the reactor(s) (generally in the order of approximately 1 cm) is not required of the robot(s) in the central vacuum chamber 101.
  • The central vacuum chamber 101 is positioned as a hub for the four process modules 102 arranged around the periphery. Again the number of such process modules 102 may vary and the exact layout will depend on the particular footprint. However, a typical layout is the arrangement shown in FIG. 2. The wafers are then moved from the central vacuum chamber 102 into individual CARs 110.
  • The process modules 102 houses the CARs 110 in a stacked arrangement and typically disposed so that the CARs 110 are aligned with the horizontal movement of the wafer from the load lock 103. A chemical source 145 is shown located above the process modules 102 to source the various chemicals to the CARs 110. A delivery unit, in form of a gas switching manifold 146 reside between the chemical source 145 and the CARs 110 to control the switching in/out the precursor chemicals being sourced to the CARs 110. Although individual chemical sources 145 are shown for each process module 102 in the example embodiment, other embodiments may employ a common chemical source for delivery of the chemical(s) to all of the process modules 102. If a common chemical source is utilized, the source may be placed in a variety of remote locations. If placed semi-remotely (away from the overhead projection of a process module 102, but still within or nearly within the overhead projection of the cluster platform), the chemicals may be in a common source “box” that contains all the individual chemical sources for the process modules 102.
  • It is to be noted that a given process module 102 has the chemical source 145, gas switching manifold 146 and the CARs 110 disposed in a vertical arrangement to provide a smaller footprint on the factory floor. Likewise, much of the machinery (e.g. the robotic units and control units) are placed below the load locks 103 and the central vacuum chamber 101, as well as with the module 102. Furthermore, shown in FIG. 2 (but not in FIGS. 1 and 3) are electronic control racks 109, which may house various electronic components, controls, etc. In some systems, these control racks 109 may be removable to allow access to the cluster hub, such as for performing maintenance.
  • In the particular embodiment shown, the chemical source 145 is located in the elevated location above the level of the uppermost reactor of a particular process module 102. The elevated chemical source 145 operates as a common chemical source for the corresponding CARs 110 of the same process module 102. The chemical source 145 supplies precursors for the grouped of stacked CARs 110 of the respective process module 102, by using time phased control sequence. One such control sequence is described below in reference to FIG. 8 for an ALD process.
  • FIGS. 4 and 5 illustrate one example embodiment 200 of the CAR unit 110 described above. The CAR unit 200 generally has a low profile with regard to its height dimension, as compared to its length and width dimensions. However, larger height reactors may be employed, although such larger height profile reactor units may limit the number that may be stacked and/or increase the overall height of the process module 102. In one embodiment, the CAR unit's lateral dimensions may be targeted for approximately 1.3× to 2× the wafer diameter to be processed. The height may targeted for 0.5× to 1.0× the lateral dimensions, however larger than 1.0× may also be useful for a limited number of stacked reactors.
  • FIGS. 4 and 5 show an exploded view, in which a main body (or frame) 201 has a low profile. The low profile is defined by the height being equal or less than the width and length (cross section dimension) of the CAR unit 200, with a first side 202 using a wafer slot 203 to define a reference side of the CAR frame. A heater assembly piece 205 is shown opposite the first side 202. In other embodiments, the heater assembly piece 205 may be adjacent the first side 202. A heater 206 and a susceptor 207, upon which surface where a wafer is placed, are coupled to the heater assembly piece 205. Once in position with the frame 201, a wafer may be inserted through the slot 203 and made to reside atop the susceptor 207 and heated by heater 206. Typically, with the described embodiment, an end effector of the wafer handler vacuum robot lifts and places the wafer on the susceptor 207. The other remaining sides of the frame 201 are enclosed. The heater 206 is coupled to a heating source, such as electrical power, so that when applied, a wafer resident on the susceptor 207 is heated. A resistive heater element may be used for example to provide wafer temperatures from 100-500 degrees C. CAR wall temperatures may be controlled to a temperature approximately 80-140 degrees C. to minimize the adsorption of sticky reactive species, such as water or NH3.
  • The CAR unit 200 also includes a cover plate 210 and a base plate 220. The cover plate 210 resides atop the frame 201 to enclose the frame 201 from the top. Likewise, the base plate 220 encloses the frame 201 from the base (bottom). The frame 201 has a cavity region, which when enclosed by the top and base plates 210, 220 operates as a processing chamber 230 for the wafer. Accordingly, when a wafer is inserted through the wafer slot 203 and placed atop the susceptor 207, the wafer is in position in the processing chamber 230 and may be heated by driving electrical power to a resistive heater and allowing the wafer to reach temperature by thermal conductive and /or radiative heat transfer.
  • As detailed in FIG. 5, the base plate 220 includes a recessed region 221, which has an exhaust opening 222 at or proximal to the center of the recessed region 221. An exhaust conduit 223 extends from the opening 222 to a side of the base plate 220, where an exhaust port 224 is present. The exhaust conduit 224 is shown in FIG. 5 to extend to a side perpendicular to the wafer slot opening 203. As noted, the exhaust conduit 224 is disposed horizontally and, in the example, integrated with the base plate 220. In the particular embodiment, the conduit 223 is axi-symmetric, although various other shapes and sizes may be readily implemented.
  • The cover plate 210 also includes a recessed region 211, which has a source opening 212 at or proximal to the center of the recessed region 211. A source conduit 213 extends from the opening 212 to a side of the top plate 210, where an inlet port 214 is present. In the particular example, the source conduit 213 extends to the side opposite the exhaust conduit 224. As noted, the source conduit is disposed horizontally and, in the example, integrated with the cover plate 210. Also in the particular example, the conduit 213 leading to the inlet port 214, couples to gas injection lines to introduce precursors and inert gas. Although a single source conduit 213 is shown, multiple conduit lines, openings and/or ports may be used.
  • The heater assembly piece 205, base plate 220 and cover plate 210 are shown assembled in a particular arrangement in FIGS. 4 and 5. That is, the heater assembly piece 205 is assembled and placed opposite the wafer slot 203, the exhaust port 224 is to the right of the wafer slot 203, and the inlet port 214 is to the left of the wafer slot 203. However, the assembly and configuration may be made in a variety of other any combinations. When completely assembled, the various components form the CAR unit 200.
  • When assembled, the various components units 201, 202, 205, 207, 210 and 220 form the CAR unit 200. Cross-sections of the assembled CAR unit 200 are detailed in FIGS. 6 and 7. FIGS. 6 and 7 differ in that the recessed regions 211 and 221 have different shapes. In FIG. 6, the cover plate 210 and the base plate 220 have a cone-shaped recessed regions 215, 216. In FIG. 7, the two plates 210, 220 have a shape which is convex near the source and exhaust openings 212, 222 and concave near the near the side walls of the chamber 230. This convex-concave shape is referenced as horn-shaped and form horn-shaped regions 217, 218.
  • It is to be noted that a feature of the CARs illustrated in FIGS. 6 and 7 for describing the low profile reactor is that it is constructed with a cover plate integrated with or containing the horizontal conduit 213 for input of reactants and purge gases and with a base plate integrated with or containing the horizontal conduit 223 for exhaust of chemical by-products, unused reactants and purge gases. This integrated construction reduces the total vertical dimension that would otherwise be obtained by the use of separate input and exhaust lines that are not integrated within the body of the CARs illustrated in FIGS. 6 and 7. Separate horizontal conduit input and exhaust lines that are not integrated within the cover and base plates would most likely require additional hardware and assembly distance(s) above and below the reactor upper and lower surfaces. The novel design minimizes the total vertical height of the assembled low profile reactors and allow the CARs to be stacked more effectively.
  • As noted in FIGS. 6 and 7, the inlet of the source gases (precursor and/or inert gas) is at the top of the chamber 230 at the source opening 212 and the exhaust is at the exhaust opening 222. The wafer resides centrally between the two openings 212, 222. This vertical flow of gases allows generally axi-symmetric flow across a radius of the wafer when ALD (or CVD) is performed on the wafer. The generally axi-symmetric vertical gas flow achieves generally axi-symmetric conditions for better uniformity control via parasitic CVD control. This condition is desirable to minimize the effect of etching of downstream exchange reaction ALD by-products that may more adversely take place in a horizontal flow arrangement, and to allow simultaneous provision for plasma processes for initiation and plasma assisted ALD. It also provides reduced broadening or dispersion of reactant pulse characteristic allowing for minimal purge time for sequential reactant separation and lack of gas phase reactions.
  • Furthermore, the vertical flow CAR unit 200 has internal reactor configuration designed with a first generally axi-symmetric horn-like or cone-like inject surface shape to confine and distribute the reactants and inert purge gases and a second horn-like or cone-like surface for obtaining generally axi-symmetric pumping flow for exhaust. The selection of low aspect ratio cylindrically symmetric generally axi-symmetric cone or horn like surfaces are used to help reduce the height and eliminates dead spaces from the comers of the reactor chamber 230. Below the heater assembly, the reverse cone or horn shape surface provides high conductance conduit pathway to the exhaust and limits the desorbtion effects related to back-streaming from dead space to the region above the wafer. The cone or horn like shape have very low aspect rations (height much less than the diameter) to enable the performance of the vertical flow, low profile compact reactor concept. It is to be noted that other surface shapes may also be implemented and the cover and base plate recessed shapes need not be limited to cone or horn like shapes for achieving improved flow.
  • Referring to FIG. 8, an example ALD gas switching sequencing diagram 300 is shown for a stack of three CAR units 200. The precursor chemicals are delivered to the CARs 200 from a gas switching manifold (such as manifold 146 shown in FIG. 3). In such an example ALD sequencing, the pulse sequence is: precursor A-purge A-precursor B-Purge B. These four sequences comprise the “ALD cycle.”
  • For example, in a stack of three CAR units 200, the upper reactor is pulsed with an A precursor first and the A pulse is completed before the center reactor is A pulsed, and the lower reactor is A pulsed after the center reactor has completed it's A pulse. Each sequentially A exposure draws precursor from a common chemical precursor source for its process module. Subsequently, the sequence of pulses is repeated for the second precursor B. In between the A and B precursor injection pulses, purge gas flows in the chamber to remove the earlier precursor or any byproducts before the new precursor is introduced.
  • Thus, a novel vertical flow CAR integrated with MPAS is described with gas inlets and outputs vertically configured into and out of the processing chamber. The low profile allows compact stacking to place multiple reactors in parallel, allowing for dense reactor packing and easy maintenance, but with separate gas injection into and outflow from the reaction chamber, which flow is generally axi-symmetric. The reactor has external geometry that is low profile and substantially rectilinear in its envelope surface.
  • Furthermore, CARs may be rack mounted, one over the other in a process module to make a vertical stack of independent reactors, so that each reactor may be designed to be removed in a horizontal plane, while the other reactors may continue operation. Precursor and inert purge gases are fed horizontally thru elements 214 and 213 and the exhaust pump flows are carried out horizontally through elements 223 and 224 with respect to the exterior of the CAR to facilitate integration. However, the interior reactor gas flows are vertical with respect to the wafer surface. The exterior shape or form factor of the CAR may be square, rectilinear, round or some other shape. Thermal engineering may be utilized to compensate for proximity effects of upper and lower reactors being in a different thermal environment than the interior (such as the center reactor unit of a three-reactor stack), such as to control the wall temperatures and to assure reactor matching.
  • Stacked reactors in a given process module may be pumped by being connected to a common or shared pump line. Various options including the combination of dedicated connecting pump lines and shared line (such as a shared manifold line 149 shown in FIG. 3) defines the pump manifold. Individual pumps for individual reactors may also be used. A pump line connecting to an individual CAR may have a line with a shut-off valve and controlling throttle valve in series to their dedicated CAR chamber; the throttle valve achieving a desired set point value or range of values of pressure in each reactor, which can be nominally the same.
  • A reactor is fed its reactant and purge gases by its own dedicated manifold line or as an alternative, use a shared manifold line. One embodiment has an arrangement with its last reactant and inert gas switching valves “close” to each reactor for implementation of rapid gas switching and to the extent possible a similar distance from each inlet orifice leading to the CAR reaction space to achieve matched process performance. Also, individual CAR units may have remotely operable vacuum valves at its wafer input side to be opened for wafer transport into or out of the reactor and closed for process operation of the reactor.
  • Finally, the MPAS may be computer or processor controlled. Individual stacks of CARs may share a common pump manifold with a single mechanical pump. Individual CARs may be isolated from cross talk with an independently controlled isolation valve for pressure control and gas flow. The process pressure control is independently controlled via hardware and software, such that closed loop pressure control may be permissible from 0 to 10 Torr without affecting the process (deposition or in-situ clean) on the other CAR modules. Also, wafer temperature control from 100 degrees C. to 500 degrees C. and plasma deposition from 10 watts to 1 KW is in-situ plasma clean is independently controlled without significant crosstalk within the stacked or clustered CARs.
  • Applications in Alternative Low Cost Manufacturing
  • In the practical MPAS system described above, the work piece may be a large silicon wafer, (such as a 200 mm or 300 mm wafer). In such cases where robotic wafer transport is not rate limiting, throughputs may be doubled or more compared with state-of-the-art high productivity systems and are particularly well designed for certain ALD applications. These applications would be led by barrier films for interconnect applications, which may have to be 50-100 A, but with ALD deposition rates which are not as large as certain dielectrics. TiN, for example using TiCl4 and NH3 has a deposition rate of about only 0.4 A/cycle, providing about 3 wafers/hr/module for the desired thickness. Thus, a 6 module system may produce only about 18 wph/system, whereas the MPAS provides of order 36 wph/system, that is more suitable for interconnect manufacturing. Since interconnect designs may have 7+ levels of metallization and a level may require the use of an ALD barrier layer, the system cost is prohibitive with the lower ALD system throughput. These MPAS implementations are well suited to semiconductor manufacturing of large area, highly complex chips, such as those of 1 to 2 cm2 and containing billion level transistor component counts, and where the film is used many times for the fabrication of each device and wafer.
  • The cost of a 300 mm starting wafer is more than nominal and unlikely to be reduced using current silicon crystal pull, cut and polish manufacturing methods. The cost of the wafer itself has been rationalized for silicon semiconductor use against the high value of large area chips using large wafer.
  • However, some applications may benefit from the use of small wafers, which may cost much less. For example, a 100 mm (4 inch) silicon or compound semiconductor substrate. If a device, such as a system on a chip or a any small commodity part or component may have a cost to produce of only a few dollars, then the MPAS might be used without a FOUP arrangement or even individual 100 mm wafers. One may use a collection of low cost 100 mm substrates or other small work pieces, such as individual or grouped sets of small parts, components or devices carried on a large area (˜300 mm diameter in size) carrier. If 9 such 100 mm wafers were placed on a nominal 300+mm square carrier, then the productivity is 36×9 or 324 w/hr/MPAS system. This method utilizing large area parallel carrier methods using MPAS and a large number of small form-factor work pieces may be generalized to multiple applications.
  • Thus, massively parallel ALD/CVD deposition system is described.

Claims (19)

1. An apparatus comprising:
a load lock unit to receive a material and to load the material into a processing chamber to deposit a film layer thereon; and
a plurality of vertically stacked deposition reactors to receive the material from said load lock unit to place the material in one of said reactors, said plurality of stacked deposition reactors having a low vertical profile relative to length and width dimensions, but in which the reactors have separate internal gas inlet at one vertical end and separate internal exhaust at an opposite vertical end of the processing chambers of said reactors to provide a generally axi-symmetric vertical gas flow across the material when the material is placed in one of the processing chambers for processing.
2. The apparatus of claim 1, wherein said load lock unit comprises a plurality of load lock units stacked vertically to correspond to said plurality of vertically stacked deposition reactors and in which said load lock units are vertically positioned to match vertical positions of said reactors.
3. The apparatus of claim 2 wherein the vertical positions of the plurality of corresponding load locks allow plurality of materials to be loaded into said reactors without further translation to move the material in a vertical direction when loading into the processing chambers of said reactors.
4. The apparatus of claim I wherein materials are to be loaded into individual reactors and processed separately in the individual reactors.
5. The apparatus of claim 4, said reactors are to be utilized to deposit the film layer by atomic layer deposition or plasma assisted atomic layer deposition.
6. The apparatus of claim 4, wherein said reactors are to be utilized to deposit the film layer on the material by chemical vapor deposition or plasma assisted chemical vapor deposition.
7. An apparatus to perform atomic layer deposition or chemical vapor deposition comprising:
a load lock unit to receive a plurality of substrates and to load the substrates into processing chambers to deposit a film layer thereon; and
a plurality of vertically stacked deposition reactors to receive the substrates from said load lock unit to place the substrate in individual ones of said reactors, said plurality of stacked deposition reactors having a low vertical profile relative to length and width dimensions, but in which the reactors have separate internal gas inlet at a top of the processing chamber and separate internal exhaust at a bottom of the processing chamber to provide a generally axis-symmetric vertical gas flow across the substrates when the substrates are placed in the processing chambers of individual reactors, the individual reactors having horizontally disposed passages to the internal gas inlet and internal exhaust, wherein the inlet passages and exhaust passages are integrated therein.
8. The apparatus of claim 7, wherein said load lock unit comprises a plurality of load lock units stacked vertically to correspond to said plurality of vertically stacked deposition reactors and in which said load lock units are vertically positioned to match vertical positions of said reactors.
9. The apparatus of claim 8 further comprising a mini-environment to interface said load lock units, which operate in a clean environment for substrate processing to a non-processing environment.
10. The apparatus of claim 9 further comprising a wafer handling device coupled to said mini-environment to allow wafer entry into mini-environment.
11. The apparatus of claim 10 wherein said wafer handling device accepts a front opening unified pod.
12. The apparatus of claim 9 wherein said mini-environment includes an atmospheric robot with vertical translation to position the substrates at corresponding vertical positions to load into said vertically stacked load lock units.
13. The apparatus of claim 7 wherein the vertical positions of the plurality of corresponding load locks allow the substrates to be loaded into said reactors without further vertical translation by a vacuum robot which loads the substrates from the load lock units into the processing chambers of said reactors.
14. The apparatus of claim 10 wherein said load lock units include a vertical translation device to position the substrates at corresponding vertical positions to load into said vertically stacked load lock units.
15. The apparatus of claim 7, wherein the processing chambers of said reactors are to be utilized to process a semiconductor wafer.
16. The apparatus of claim 7, wherein said apparatus is a cluster tool having a plurality of processing modules and in which individual processing modules include a vertical stack of said reactors.
17. The apparatus of claim 16 wherein said processing modules are located about a central vacuum hub having a central robot to move the substrates from said corresponding load lock units to said-stacked reactors of the processing modules, but where the central robot need not move the wafers in the vertical direction to load the substrates into the processing chambers of said reactors.
18. The apparatus of claim 7 wherein said reactors have separate source lines to said reactors to separately source in a processing gas to said reactors.
19. The apparatus of claim 18 further including a controller to separately control sourcing of the gas to said reactors.
US11/114,313 2001-10-29 2005-04-25 Massively parallel atomic layer deposition/chemical vapor deposition system Abandoned US20050274323A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/114,313 US20050274323A1 (en) 2001-10-29 2005-04-25 Massively parallel atomic layer deposition/chemical vapor deposition system

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US34600501P 2001-10-29 2001-10-29
US10/282,609 US6902624B2 (en) 2001-10-29 2002-10-29 Massively parallel atomic layer deposition/chemical vapor deposition system
US11/114,313 US20050274323A1 (en) 2001-10-29 2005-04-25 Massively parallel atomic layer deposition/chemical vapor deposition system

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/282,609 Division US6902624B2 (en) 2001-10-29 2002-10-29 Massively parallel atomic layer deposition/chemical vapor deposition system

Publications (1)

Publication Number Publication Date
US20050274323A1 true US20050274323A1 (en) 2005-12-15

Family

ID=23357523

Family Applications (3)

Application Number Title Priority Date Filing Date
US10/282,609 Expired - Lifetime US6902624B2 (en) 2001-10-29 2002-10-29 Massively parallel atomic layer deposition/chemical vapor deposition system
US11/115,053 Abandoned US20050281949A1 (en) 2001-10-29 2005-04-25 Massively parallel atomic layer deposition/chemical vapor deposition system
US11/114,313 Abandoned US20050274323A1 (en) 2001-10-29 2005-04-25 Massively parallel atomic layer deposition/chemical vapor deposition system

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US10/282,609 Expired - Lifetime US6902624B2 (en) 2001-10-29 2002-10-29 Massively parallel atomic layer deposition/chemical vapor deposition system
US11/115,053 Abandoned US20050281949A1 (en) 2001-10-29 2005-04-25 Massively parallel atomic layer deposition/chemical vapor deposition system

Country Status (3)

Country Link
US (3) US6902624B2 (en)
AU (1) AU2002343583A1 (en)
WO (1) WO2003038145A2 (en)

Cited By (323)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140178567A1 (en) * 2011-08-05 2014-06-26 3M Innovative Properties Company Systems and Methods for Processing Vapor
US8911826B2 (en) * 2012-08-02 2014-12-16 Asm Ip Holding B.V. Method of parallel shift operation of multiple reactors
US20150170909A1 (en) * 2013-12-17 2015-06-18 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and non-transitory computer-readable recording medium
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
KR20160086051A (en) * 2015-01-09 2016-07-19 주식회사 테스 Thin film deposition apparatus
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US20180095480A1 (en) * 2016-10-03 2018-04-05 Applied Materials, Inc. Multi-channel flow ratio controller and processing chamber
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6671223B2 (en) * 1996-12-20 2003-12-30 Westerngeco, L.L.C. Control devices for controlling the position of a marine seismic streamer
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7018517B2 (en) * 2002-06-21 2006-03-28 Applied Materials, Inc. Transfer chamber for vacuum processing system
TWI278532B (en) * 2002-06-23 2007-04-11 Asml Us Inc Method for energy-assisted atomic layer deposition and removal
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
KR100498467B1 (en) * 2002-12-05 2005-07-01 삼성전자주식회사 Apparatus for atomic layer deposition with preventing powder generation in exhaust paths
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US7342984B1 (en) 2003-04-03 2008-03-11 Zilog, Inc. Counting clock cycles over the duration of a first character and using a remainder value to determine when to sample a bit of a second character
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US7700155B1 (en) * 2004-04-08 2010-04-20 Novellus Systems, Inc. Method and apparatus for modulation of precursor exposure during a pulsed deposition process
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060201074A1 (en) * 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
CN103199039B (en) * 2004-06-02 2016-01-13 应用材料公司 Electron device manufacturing chamber and forming method thereof
KR100716041B1 (en) 2004-06-02 2007-05-09 어플라이드 머티어리얼스, 인코포레이티드 Methods and apparatus for sealing a chamber
US8000837B2 (en) 2004-10-05 2011-08-16 J&L Group International, Llc Programmable load forming system, components thereof, and methods of use
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7850779B2 (en) 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
FI121750B (en) * 2005-11-17 2011-03-31 Beneq Oy ALD reactor
FI121543B (en) * 2005-11-17 2010-12-31 Beneq Oy Arrangement in connection with the ALD reactor
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7976898B2 (en) * 2006-09-20 2011-07-12 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US20080206987A1 (en) 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
US20100098851A1 (en) * 2008-10-20 2010-04-22 Varian Semiconductor Equipment Associates, Inc. Techniques for atomic layer deposition
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
FI123487B (en) * 2009-06-15 2013-05-31 Beneq Oy Apparatus for performing atomic layer cultivation on the surface of a substrate
EP2524067A1 (en) * 2010-01-14 2012-11-21 Oerlikon Solar AG, Trübbach Mounting for fixing a reactor in a vacuum chamber
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
KR101696354B1 (en) * 2011-11-22 2017-01-23 피코순 오와이 An atomic layer deposition reactor for processing a batch of substrates and method thereof
AT512950B1 (en) * 2012-06-04 2016-06-15 Leica Microsysteme Gmbh Device for preparing, in particular coating, samples
KR101478151B1 (en) * 2012-11-29 2014-12-31 주식회사 엔씨디 Atommic layer deposition apparatus
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US20150125628A1 (en) * 2013-11-06 2015-05-07 Asm Ip Holding B.V. Method of depositing thin film
TWI600796B (en) * 2014-09-05 2017-10-01 國立清華大學 Nanoporous thin film and method for fabricating the same
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9953843B2 (en) 2016-02-05 2018-04-24 Lam Research Corporation Chamber for patterning non-volatile metals
KR102151323B1 (en) 2017-02-17 2020-09-02 가부시키가이샤 코쿠사이 엘렉트릭 Substrate processing apparatus, manufacturing method of semiconductor device, and program recorded on recording medium
JP6916766B2 (en) 2018-08-27 2021-08-11 株式会社Kokusai Electric Manufacturing method of substrate processing equipment and semiconductor equipment
JP6896682B2 (en) 2018-09-04 2021-06-30 株式会社Kokusai Electric Manufacturing method of substrate processing equipment and semiconductor equipment
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
US20220025517A1 (en) * 2020-07-27 2022-01-27 Enchip Enterprise Llc Semiconductor Processing System, and Control Assembly and Method Thereof
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure

Citations (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4897855A (en) * 1987-12-01 1990-01-30 General Electric Company DPCM system with adaptive quantizer having unchanging bin number ensemble
US5108792A (en) * 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
US5414244A (en) * 1993-04-21 1995-05-09 Tokyo Electron Limited Semiconductor wafer heat treatment apparatus
US5512320A (en) * 1993-01-28 1996-04-30 Applied Materials, Inc. Vacuum processing apparatus having improved throughput
US5711811A (en) * 1994-11-28 1998-01-27 Mikrokemia Oy Method and equipment for growing thin films
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6042652A (en) * 1999-05-01 2000-03-28 P.K. Ltd Atomic layer deposition apparatus for depositing atomic layer on multiple substrates
US6106631A (en) * 1997-10-16 2000-08-22 Sharp Kabushiki Kaisha Plasma processing apparatus
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6176667B1 (en) * 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
US20010050146A1 (en) * 1998-08-07 2001-12-13 Hitachi Kokusai Electric Inc. Hitachi Ltd. Substrate processing apparatus and substrate processing method
US6382895B1 (en) * 1998-12-28 2002-05-07 Anelva Corporation Substrate processing apparatus
US6383330B1 (en) * 1999-09-10 2002-05-07 Asm America, Inc. Quartz wafer processing chamber
US20020108570A1 (en) * 2000-04-14 2002-08-15 Sven Lindfors Method and apparatus of growing a thin film onto a substrate
US6454863B1 (en) * 1998-11-19 2002-09-24 Asm America, Inc. Compact process chamber for improved process uniformity
US20030075273A1 (en) * 2001-08-15 2003-04-24 Olli Kilpela Atomic layer deposition reactor
US6572705B1 (en) * 1994-11-28 2003-06-03 Asm America, Inc. Method and apparatus for growing thin films
US20030121469A1 (en) * 2000-04-14 2003-07-03 Sven Lindfors Method and apparatus of growing a thin film
US6630030B1 (en) * 1997-07-04 2003-10-07 Asm Microchemistry Ltd. Method and apparatus for growing thin films
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4987855A (en) * 1989-11-09 1991-01-29 Santa Barbara Research Center Reactor for laser-assisted chemical vapor deposition
US6296735B1 (en) * 1993-05-03 2001-10-02 Unaxis Balzers Aktiengesellschaft Plasma treatment apparatus and method for operation same
KR100267885B1 (en) 1998-05-18 2000-11-01 서성기 Deposition apparatus
JP4010068B2 (en) * 1998-11-12 2007-11-21 日新電機株式会社 Vacuum processing apparatus and multi-chamber type vacuum processing apparatus
KR20020072448A (en) * 2001-03-10 2002-09-16 주식회사 아이피에스 Automatic continue wafer processing system and method for using the same

Patent Citations (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4897855A (en) * 1987-12-01 1990-01-30 General Electric Company DPCM system with adaptive quantizer having unchanging bin number ensemble
US5108792A (en) * 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
US5512320A (en) * 1993-01-28 1996-04-30 Applied Materials, Inc. Vacuum processing apparatus having improved throughput
US5414244A (en) * 1993-04-21 1995-05-09 Tokyo Electron Limited Semiconductor wafer heat treatment apparatus
US6572705B1 (en) * 1994-11-28 2003-06-03 Asm America, Inc. Method and apparatus for growing thin films
US5711811A (en) * 1994-11-28 1998-01-27 Mikrokemia Oy Method and equipment for growing thin films
US6176667B1 (en) * 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6630030B1 (en) * 1997-07-04 2003-10-07 Asm Microchemistry Ltd. Method and apparatus for growing thin films
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6106631A (en) * 1997-10-16 2000-08-22 Sharp Kabushiki Kaisha Plasma processing apparatus
US20010050146A1 (en) * 1998-08-07 2001-12-13 Hitachi Kokusai Electric Inc. Hitachi Ltd. Substrate processing apparatus and substrate processing method
US6454863B1 (en) * 1998-11-19 2002-09-24 Asm America, Inc. Compact process chamber for improved process uniformity
US6382895B1 (en) * 1998-12-28 2002-05-07 Anelva Corporation Substrate processing apparatus
US6042652A (en) * 1999-05-01 2000-03-28 P.K. Ltd Atomic layer deposition apparatus for depositing atomic layer on multiple substrates
US6383330B1 (en) * 1999-09-10 2002-05-07 Asm America, Inc. Quartz wafer processing chamber
US20020108570A1 (en) * 2000-04-14 2002-08-15 Sven Lindfors Method and apparatus of growing a thin film onto a substrate
US20030121469A1 (en) * 2000-04-14 2003-07-03 Sven Lindfors Method and apparatus of growing a thin film
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20030075273A1 (en) * 2001-08-15 2003-04-24 Olli Kilpela Atomic layer deposition reactor

Cited By (413)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US20140178567A1 (en) * 2011-08-05 2014-06-26 3M Innovative Properties Company Systems and Methods for Processing Vapor
US9302291B2 (en) * 2011-08-05 2016-04-05 3M Innovative Properties Company Systems and methods for processing vapor
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US8911826B2 (en) * 2012-08-02 2014-12-16 Asm Ip Holding B.V. Method of parallel shift operation of multiple reactors
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150170909A1 (en) * 2013-12-17 2015-06-18 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and non-transitory computer-readable recording medium
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
KR20160086051A (en) * 2015-01-09 2016-07-19 주식회사 테스 Thin film deposition apparatus
KR101656651B1 (en) 2015-01-09 2016-09-22 주식회사 테스 Thin film deposition apparatus
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US20180095480A1 (en) * 2016-10-03 2018-04-05 Applied Materials, Inc. Multi-channel flow ratio controller and processing chamber
US10691145B2 (en) * 2016-10-03 2020-06-23 Applied Materials, Inc. Multi-channel flow ratio controller and processing chamber
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Also Published As

Publication number Publication date
US6902624B2 (en) 2005-06-07
WO2003038145A2 (en) 2003-05-08
AU2002343583A1 (en) 2003-05-12
US20050281949A1 (en) 2005-12-22
WO2003038145A3 (en) 2003-07-31
US20030109094A1 (en) 2003-06-12

Similar Documents

Publication Publication Date Title
US6902624B2 (en) Massively parallel atomic layer deposition/chemical vapor deposition system
KR101248188B1 (en) Multi-single wafer processing apparatus
US5879459A (en) Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US8309374B2 (en) Advanced platform for processing crystalline silicon solar cells
US6846149B2 (en) Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
EP1159465B1 (en) Method of atomic layer deposition
JP4753224B2 (en) Gas line system
US20100147396A1 (en) Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US10867819B2 (en) Vacuum processing apparatus, vacuum processing system and vacuum processing method
US20230215697A1 (en) Remote plasma unit and substrate processing apparatus including remote plasma
KR20150028574A (en) Stack-type atomic layer deposition apparatus and method thereof
US20230383410A1 (en) Substrate processing apparatus including gas diffusion nozzle
KR20050068560A (en) A twin process chamber and a cluster tool system using it and a method of plating a thin film thereof
KR20230106107A (en) Remote plasma unit and substrate processing apparatus including remote plasma unit
US20230085987A1 (en) Linear arrangement for substrate processing tools
US20230338914A1 (en) Substrate processing apparatus including exhaust duct with a bevel mask with a planar inner edge
US20230374657A1 (en) Vapor delivery device
JP2023184486A (en) Substrate processing apparatus including improved exhaust structure

Legal Events

Date Code Title Description
AS Assignment

Owner name: GENUS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SEIDEL, THOMAS E.;JANSZ, ADRIAN;PUCHACZ, JUREK;AND OTHERS;REEL/FRAME:016918/0977;SIGNING DATES FROM 20050726 TO 20050815

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: AIXTRON, INC., CALIFORNIA

Free format text: CHANGE OF NAME;ASSIGNOR:GENUS, INC.;REEL/FRAME:042524/0283

Effective date: 20060331