JP2006049352A - Suscepter apparatus - Google Patents

Suscepter apparatus Download PDF

Info

Publication number
JP2006049352A
JP2006049352A JP2004223995A JP2004223995A JP2006049352A JP 2006049352 A JP2006049352 A JP 2006049352A JP 2004223995 A JP2004223995 A JP 2004223995A JP 2004223995 A JP2004223995 A JP 2004223995A JP 2006049352 A JP2006049352 A JP 2006049352A
Authority
JP
Japan
Prior art keywords
plate
sample
top surface
susceptor
less
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004223995A
Other languages
Japanese (ja)
Other versions
JP4417197B2 (en
Inventor
Mamoru Kosakai
守 小坂井
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sumitomo Osaka Cement Co Ltd
Original Assignee
Sumitomo Osaka Cement Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sumitomo Osaka Cement Co Ltd filed Critical Sumitomo Osaka Cement Co Ltd
Priority to JP2004223995A priority Critical patent/JP4417197B2/en
Publication of JP2006049352A publication Critical patent/JP2006049352A/en
Application granted granted Critical
Publication of JP4417197B2 publication Critical patent/JP4417197B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Landscapes

  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a suscepter apparatus in which thermal conductivity characteristic between the apparatus and a plate type sample does not change to a large extent, particle is not generated, adhesion of particle to the rear surface of the plate type sample can be prevented, static electricity attracting force does not change, and isolation property after application of voltage does not change even when the susceptor apparatus is used as an static electricity chuck. <P>SOLUTION: In the suscepter apparatus, a plurality of projected portions 31 are provided on a dielectric material plate 21 forming a part of the base material, a plurality of projected portions 32 are also provided on the top surfaces 31a of these projected portions 31, and the top surfaces 32a of these fine projected portions 32 are defined as the placing surfaces for placing the plate type samples W. <P>COPYRIGHT: (C)2006,JPO&NCIPI

Description

本発明は、IC、LSI、VLSI等の半導体装置、液晶装置(LCD)等を製造する際に用いられる半導体ウエハ、金属ウエハ、ガラス板等の板状試料を固定する際に用いて好適なサセプタ装置に関するものである。   The present invention is a susceptor suitable for fixing a plate-like sample such as a semiconductor wafer, a metal wafer, or a glass plate used when manufacturing a semiconductor device such as an IC, LSI, or VLSI, or a liquid crystal device (LCD). It relates to the device.

従来、半導体装置や液晶装置等の製造工程においては、半導体ウエハ、金属ウエハ、ガラス板等の板状試料の表面に各種の処理を施すことが行われており、この各種処理に際しては、板状試料を固定するとともに、この板状試料を好ましい一定の温度に維持するためにサセプタ装置が用いられ、これまでにも様々な構造のサセプタ装置が提案されている(例えば、特許文献1参照)。   Conventionally, in the manufacturing process of a semiconductor device, a liquid crystal device, and the like, various treatments have been performed on the surface of a plate-like sample such as a semiconductor wafer, a metal wafer, or a glass plate. A susceptor device has been used to fix the sample and maintain the plate-like sample at a preferable constant temperature, and susceptor devices having various structures have been proposed so far (see, for example, Patent Document 1).

図12は、従来のサセプタ装置の1例を示す断面図であり、このサセプタ装置1は、絶縁体からなるサセプタ基体2の表面2aの周縁部に所定幅及び所定高さのリング状の周縁壁3が設けられ、この周縁壁3の内側の表面2aに周縁壁3と同一の高さの柱状突起4が複数本設けられ、柱状突起4、4、…のそれぞれの頂面4a、即ち板状試料Wとの接触面には、表面粗さRa(中心線平均粗さ)が0.35μm〜3.0μmとなるように粗面加工が施され、周縁壁3の頂面3a及び柱状突起4の頂面4aにより板状試料Wを支持する構成になっている。   FIG. 12 is a cross-sectional view showing an example of a conventional susceptor device. This susceptor device 1 has a ring-shaped peripheral wall having a predetermined width and a predetermined height at the peripheral portion of the surface 2a of the susceptor base 2 made of an insulator. 3, and a plurality of columnar protrusions 4 having the same height as the peripheral wall 3 are provided on the inner surface 2 a of the peripheral wall 3, and the top surfaces 4 a of the columnar protrusions 4, 4. The contact surface with the sample W is roughened so that the surface roughness Ra (centerline average roughness) is 0.35 μm to 3.0 μm, and the top surface 3 a of the peripheral wall 3 and the columnar protrusion 4. The plate-like sample W is supported by the top surface 4a.

このサセプタ基体2には、厚み方向に貫通する冷却ガス導入孔5が形成されるとともに、その表面2aに平行に静電吸着力を発生させるための内部電極6が配設され、この内部電極6に電極挿入孔7に設けられた取り出し電極8により電圧を印加することにより、板状試料Wを静電吸着しつつ、この板状試料Wを冷却ガス導入孔5を流動する冷却ガスにより好ましい一定の温度に維持するようになっている。   The susceptor base 2 is formed with a cooling gas introduction hole 5 penetrating in the thickness direction, and an internal electrode 6 for generating an electrostatic attraction force parallel to the surface 2 a is disposed. By applying a voltage to the electrode insertion hole 7 through the take-out electrode 8, the plate-like sample W is electrostatically adsorbed, and the plate-like sample W is more preferably fixed to the cooling gas flowing through the cooling gas introduction hole 5. It is designed to maintain a temperature of.

また、近年、プラズマCVD装置、プラズマエッチング装置、スパッタリング装置、イオン注入装置等のプラズマを使用する装置、特にプラズマエッチング装置においては、これらの装置に搭載されているサセプタ装置の静電吸着面の汚染物やパーティクルを除去するために、静電吸着面に板状試料を静電吸着固定する前に、プラズマを用いて反応チャンバー内をクリーニングする、いわゆるウエハレスドライクリーニングを施すことが行われ始めている。
特許第3176305号公報
In recent years, in devices using plasma, such as plasma CVD devices, plasma etching devices, sputtering devices, and ion implantation devices, especially plasma etching devices, contamination of the electrostatic adsorption surface of the susceptor device mounted on these devices. In order to remove objects and particles, so-called wafer-less dry cleaning, in which the inside of the reaction chamber is cleaned using plasma, is started before the plate-like sample is electrostatically adsorbed and fixed to the electrostatic adsorption surface. .
Japanese Patent No. 3176305

しかしながら、上述した従来のサセプタ装置1においては、板状試料Wの処理が増加するに従って、サセプタ基体2の柱状突起4、4、…それぞれの頂面4aが板状試料Wとの接触により磨耗することにより、これらの頂面4aの表面状態が経時的に変化し、板状試料Wとの接触状態を一定に保つことが難しいという問題点があった。
この場合、サセプタ基体2と板状試料Wとの間の熱伝導度が経時的に変化し、板状試料Wを一定の温度に維持することが難しくなるために、板状試料W毎に均一的な処理を施すことができないという問題点があった。
また、柱状突起4の頂面4aと板状試料Wの接触面との間にパーティクルが入り込み易く、このパーティクルが入り込んだ場合、静電吸着力が低下し、冷却ガスのシール性も低下するという問題点もあった。
However, in the above-described conventional susceptor device 1, the top surface 4 a of each of the columnar protrusions 4, 4,... Of the susceptor base 2 is worn by contact with the plate sample W as the processing of the plate sample W increases. As a result, the surface state of these top surfaces 4a changes with time, and there is a problem that it is difficult to keep the contact state with the plate-like sample W constant.
In this case, the thermal conductivity between the susceptor substrate 2 and the plate sample W changes with time, and it becomes difficult to maintain the plate sample W at a constant temperature. There was a problem that it was not possible to perform a typical process.
In addition, particles easily enter between the top surface 4a of the columnar protrusion 4 and the contact surface of the plate-like sample W, and when this particle enters, the electrostatic adsorption force decreases and the sealing performance of the cooling gas also decreases. There was also a problem.

一方、ウエハレスドライクリーニングでは、このドライクリーニング中にサセプタ装置の板状試料の載置面がプラズマに直接曝されるため、ウエハレスドライクリーニングが累積されるにしたがって、この載置面の表面状態が経時的に変化し、その結果、板状試料との接触状態が変化し、静電吸着力が変動し、電圧印加中止後の離脱性が変化する(静電チャックの場合)他、サセプタ装置の板状試料載置面と板状試料との間の熱伝導性が経時的に変化し、板状試料を所定の温度に一定に維持することができなくなり、板状試料毎に均一的な処理を施すことができなくなるという問題点があった。
さらに、板状試料の面内温度の均一性も低下するために、板状試料の処理面全域にわたる均一な処理ができなくなり、パーティクルも発生し易くなるという問題点もあった。
On the other hand, in waferless dry cleaning, the mounting surface of the plate-like sample of the susceptor device is directly exposed to plasma during this dry cleaning, so the surface state of this mounting surface is increased as waferless dry cleaning is accumulated. Changes over time, and as a result, the state of contact with the plate sample changes, the electrostatic adsorption force fluctuates, the detachability after voltage application stops (in the case of an electrostatic chuck), and the susceptor device The thermal conductivity between the plate-like sample mounting surface and the plate-like sample changes over time, and the plate-like sample cannot be kept constant at a predetermined temperature. There was a problem that the processing could not be performed.
Furthermore, since the uniformity of the in-plane temperature of the plate-like sample is also lowered, there is a problem that uniform treatment over the entire processing surface of the plate-like sample cannot be performed and particles are easily generated.

本発明は、上記の事情に鑑みてなされたものであって、サセプタ装置に板状試料を繰り返し載置した場合においても、また、ウエハレスドライクリーニング処理時間が増大した場合においても、サセプタ装置と板状試料との間の熱伝導特性が大きく変化することがなく、パーティクルの発生も少なく、板状試料の裏面へのパーティクルの付着を防止することが可能であり、さらに、サセプタ装置を静電チャックとして用いた場合においても、静電吸着力が変動したり、電圧印加中止後の離脱性が変化することもないサセプタ装置を提供することを目的とする。   The present invention has been made in view of the above circumstances, and even when a plate-like sample is repeatedly placed on the susceptor device, and when the waferless dry cleaning processing time is increased, the susceptor device The heat conduction characteristics with the plate-shaped sample do not change greatly, the generation of particles is small, it is possible to prevent particles from adhering to the back surface of the plate-shaped sample, and the susceptor device is electrostatically Even when used as a chuck, an object is to provide a susceptor device in which the electrostatic attraction force does not fluctuate and the detachability after voltage application is stopped does not change.

本発明者は、鋭意検討した結果、サセプタ基体の表面(一主面)に複数の突起部を設け、これらの突起部それぞれの頂面に複数の微小突起部を設け、これら微小突起部それぞれの頂面を板状試料を載置する載置面とすれば、サセプタ装置の板状試料との接触面(載置面)の表面状態が磨耗により変化したとしても、板状試料との接触状態への影響が軽微であり、また、ウエハレスドライクリーニングにおける処理時間が増大しても、サセプタ装置の板状試料との接触面の表面状態の変化が軽微であることを知見し、本発明を完成するに至った。   As a result of intensive studies, the present inventor has provided a plurality of protrusions on the surface (one main surface) of the susceptor base, and provided a plurality of minute protrusions on the top surface of each of these protrusions. If the top surface is the mounting surface on which the plate-like sample is placed, even if the surface state of the contact surface (mounting surface) with the plate-like sample of the susceptor device changes due to wear, the contact state with the plate-like sample It has been found that the change in the surface state of the contact surface with the plate sample of the susceptor device is slight even if the processing time in waferless dry cleaning is increased, and the present invention is It came to be completed.

すなわち、本発明のサセプタ装置は、基体の一主面に複数の突起部を設け、これらの突起部それぞれの頂面に複数の微小突起部を設け、これら微小突起部それぞれの頂面を板状試料を載置する載置面としたことを特徴とする。
このサセプタ装置では、突起部それぞれの頂面に設けられた微小突起部それぞれの頂面を板状試料を載置する載置面としたことにより、サセプタ装置に板状試料を繰り返し載置した場合においても、また、ウエハレスドライクリーニング処理時間が増大した場合においても、サセプタ装置と板状試料との間の熱伝導特性の経時的変動を抑えることが可能になり、その結果、パーティクルの発生も少なくなり、板状試料の裏面へのパーティクルの付着を防止することも可能である。
さらに、サセプタ装置を静電チャックとして用いた場合においても、静電吸着力が変動したり、電圧印加中止後の離脱性が変化する等もなくなる。
That is, the susceptor device of the present invention is provided with a plurality of projections on one main surface of the base, a plurality of microprojections on the top surface of each of these projections, and the top surface of each of these microprojections is plate-shaped. It is characterized by having a mounting surface on which the sample is mounted.
In this susceptor device, when the top surface of each micro-projection provided on the top surface of each projection is used as a mounting surface on which the plate-like sample is placed, the plate-like sample is repeatedly placed on the susceptor device In addition, even when the waferless dry cleaning processing time is increased, it is possible to suppress the temporal variation of the heat conduction characteristics between the susceptor device and the plate-like sample, and as a result, generation of particles is also possible. It is also possible to reduce the adhesion of particles to the back surface of the plate-like sample.
Further, even when the susceptor device is used as an electrostatic chuck, the electrostatic attraction force does not fluctuate and the detachability after the voltage application is stopped does not change.

本発明のサセプタ装置においては、前記突起部の前記一主面に沿う断面積は8×10−3mm以上かつ8×10−1mm以下であり、前記微小突起部の前記一主面に沿う断面積は2×10−5mm以上かつ2×10−3mm以下であることが好ましい。
このサセプタ装置では、前記突起部の前記一主面に沿う断面積が8×10−3mm未満であると、この突起部が磨耗し易くなり、パーティクル発生の原因となるからであり、一方、この断面積が8×10−1mmを越えると、この突起部の形状に呼応した温度差分布が板状試料に発生するからである。
In the susceptor device of the present invention, a cross-sectional area along the one principal surface of the protrusion is 8 × 10 −3 mm 2 or more and 8 × 10 −1 mm 2 or less, and the one principal surface of the minute protrusion is Is preferably 2 × 10 −5 mm 2 or more and 2 × 10 −3 mm 2 or less.
In this susceptor device, if the cross-sectional area along the one principal surface of the protrusion is less than 8 × 10 −3 mm 2 , the protrusion easily wears and causes particle generation. This is because, when the cross-sectional area exceeds 8 × 10 −1 mm 2 , a temperature difference distribution corresponding to the shape of the protrusion is generated in the plate-like sample.

また、前記微小突起部においては、その前記一主面に沿う断面積が2×10−5mm未満であると、ウエハレスドライクリーニングを施した場合に、処理時間が増大するに伴ってプラズマに曝されることにより、微小突起部の板状試料に対向する面の表面状態が経時的に大きく変化し、サセプタ装置の熱特性が変動するからであり、一方、微小突起部の前記一主面に沿う断面積が2×10−3mmを越えると、この微小突起部と微小突起部間に形成される微細な溝部との間に熱伝導性に差異が生じるために板状試料に局所的な温度差が生じ、また、微小突起部上に付着したパーティクルが上記の溝部に落下できず、微小突起部の頂面と板状試料との間にパーティクルが介在することとなり、板状試料と微小突起部との間の熱伝導特性が変化するからである。 Further, when the cross-sectional area along the one main surface is less than 2 × 10 −5 mm 2 in the microprojection portion, the plasma increases as the processing time increases when waferless dry cleaning is performed. This is because the surface state of the surface of the microprojection facing the plate-like sample changes greatly with time, and the thermal characteristics of the susceptor device fluctuate. If the cross-sectional area along the surface exceeds 2 × 10 −3 mm 2 , a difference in thermal conductivity occurs between the microprojection and the microgroove formed between the microprojections, so the plate sample A local temperature difference occurs, and the particles adhering to the microprojections cannot fall into the groove, and the particles are interposed between the top surface of the microprojections and the plate-like sample. The heat conduction characteristics between the sample and the microprojection Because it changes.

本発明のサセプタ装置においては、前記微小突起部の前記突起部の頂面からの高さは、1μm以上かつ10μm以下であることが好ましい。
このサセプタ装置では、微小突起部の前記突起部の頂面からの高さが1μm未満であると、板状試料の裏面にパーティクルが付着し易くなり、また、パーティクルが付着した際の熱伝導率の変化が大きくなるからであり、一方、微小突起部の前記突起部の頂面からの高さが10μmを越えると、パーティクル付着の防止効果や熱伝導性の変化の防止効果の増大が望めず、吸着力が低下し、微小突起部の加工時に形状崩れ等が生じ易く、加工コストの増加をもたらすからである。
In the susceptor device according to the present invention, it is preferable that the height of the minute protrusion from the top surface of the protrusion is 1 μm or more and 10 μm or less.
In this susceptor device, if the height of the microprojection from the top surface of the projection is less than 1 μm, particles easily adhere to the back surface of the plate-like sample, and the thermal conductivity when the particles adhere On the other hand, if the height of the microprojection from the top surface of the projection exceeds 10 μm, it cannot be expected to increase the effect of preventing the adhesion of particles or the effect of changing the thermal conductivity. This is because the attracting force is reduced, the shape of the microprojections is easily broken during processing, and the processing cost is increased.

本発明のサセプタ装置においては、前記突起部における前記複数の微小突起部の前記一主面に沿う面積の合計は、前記突起部の頂面の面積の1%以上かつ40%以下であることが好ましい。
このサセプタ装置では、複数の微小突起部の前記一主面に沿う面積の合計が突起部の頂面の面積の1%未満であると、必要な静電吸着力を確保することが難しくなるからであり、一方、複数の微小突起部の前記一主面に沿う面積の合計が突起部の頂面の面積の40%を越えると、載置面にパーティクルが付着し易くなり、しかも電圧印加中止後の板状試料の脱離性を確保することが難しくなるからである。
In the susceptor device of the present invention, the sum of the areas along the one principal surface of the plurality of microprojections in the projection may be 1% or more and 40% or less of the area of the top surface of the projection. preferable.
In this susceptor device, if the total area along the one main surface of the plurality of minute protrusions is less than 1% of the area of the top surface of the protrusions, it is difficult to ensure the required electrostatic attraction force. On the other hand, if the sum of the areas along the one principal surface of the plurality of microprojections exceeds 40% of the area of the top surface of the protrusion, particles are likely to adhere to the mounting surface, and voltage application is stopped. This is because it becomes difficult to ensure the detachability of the later plate-like sample.

本発明のサセプタ装置においては、前記微小突起部の頂面の周縁部は、曲面とされていることが好ましい。
このサセプタ装置では、微小突起部の頂面の周縁部を曲面としたことにより、板状試料との接触による板状試料の損傷を防止すると共に、板状試料のズレによる前記微小突起部の頂面の形状変化を抑制し、板状試料の載置面と板状試料との間の熱伝導性の変化を防止する。
In the susceptor device of the present invention, it is preferable that the peripheral edge portion of the top surface of the minute protrusion is a curved surface.
In this susceptor device, the peripheral edge of the top surface of the microprojection is curved, thereby preventing damage to the plate sample due to contact with the plate sample, and the top of the microprojection due to displacement of the plate sample. The shape change of the surface is suppressed, and the change in thermal conductivity between the mounting surface of the plate sample and the plate sample is prevented.

本発明のサセプタ装置においては、前記曲面の曲率半径は、0.5μm以上かつ5μm以下であることが好ましい。
このサセプタ装置では、前記曲面の曲率半径を0.5μm以上かつ5μm以下としたことにより、前記微小突起部の外周部の欠け、板状試料との接触による板状試料の損傷、およびパーティクルの発生を抑制する。
In the susceptor device of the present invention, it is preferable that the curvature radius of the curved surface is 0.5 μm or more and 5 μm or less.
In this susceptor device, the curvature radius of the curved surface is set to 0.5 μm or more and 5 μm or less, so that the chip of the outer periphery of the microprojection is chipped, the plate sample is damaged by contact with the plate sample, and particles are generated. Suppress.

本発明のサセプタ装置においては、前記複数の突起部及び前記複数の微小突起部は、炭化ケイ素を1重量%以上かつ12重量%以下含む酸化アルミニウム−炭化ケイ素複合焼結体であり、前記酸化アルミニウムの平均粒子径は2μm以下、前記炭化ケイ素の平均粒子径は0.2μm以下であることが好ましい。   In the susceptor device of the present invention, the plurality of protrusions and the plurality of micro protrusions are an aluminum oxide-silicon carbide composite sintered body containing silicon carbide in an amount of 1 wt% to 12 wt%, and the aluminum oxide The average particle diameter of the silicon carbide is preferably 2 μm or less, and the average particle diameter of the silicon carbide is preferably 0.2 μm or less.

このサセプタ装置では、炭化ケイ素の含有量が1重量%未満であると、酸化アルミニウムの平均粒子径が2μmより大きくなり、よって、プラズマエッチングされ易くなるからであり、一方、12重量%を越えると、サセプタ基体の固有抵抗値が1×10Ω・cmより小さくなり、良好なサセプタ基体とならないからである。 In this susceptor device, if the silicon carbide content is less than 1% by weight, the average particle diameter of aluminum oxide becomes larger than 2 μm, and therefore, plasma etching is likely to occur, whereas if it exceeds 12% by weight. This is because the specific resistance value of the susceptor substrate is smaller than 1 × 10 8 Ω · cm, and the susceptor substrate is not good.

また、酸化アルミニウムの平均粒子径を2μm以下とすることにより、酸化アルミニウム−炭化ケイ素複合焼結体がプラズマエッチングされてスパッタ痕が形成されることを防止する。
また、炭化珪素の平均粒子径を0.2μm以下とすることにより、プラズマ照射時の電場が酸化アルミニウム−炭化ケイ素複合焼結体中の炭化珪素粒子の部分に集中して炭化珪素粒子の周辺が損傷することを防止する。
Further, by setting the average particle diameter of aluminum oxide to 2 μm or less, it is possible to prevent the aluminum oxide-silicon carbide composite sintered body from being plasma etched and forming a sputter mark.
Further, by setting the average particle diameter of silicon carbide to 0.2 μm or less, the electric field at the time of plasma irradiation concentrates on the portion of silicon carbide particles in the aluminum oxide-silicon carbide composite sintered body, and the periphery of the silicon carbide particles is Prevent damage.

本発明のサセプタ装置においては、前記一主面の周縁部に沿って連続する壁部を設け、この壁部の頂面及び前記微小突起部の頂面を前記板状試料を載置する載置面としたことが好ましい。
このサセプタ装置では、前記一主面の周縁部に沿って設けられた連続する壁部の頂面及び前記微小突起部の頂面を前記板状試料を載置する載置面としたことにより、前記板状試料の載置面と前記板状試料との間の隙間に冷却ガスをシールすることが可能である。
In the susceptor device according to the present invention, a wall portion continuous along the peripheral edge portion of the one main surface is provided, and the plate-like sample is placed on the top surface of the wall portion and the top surface of the microprojection portion. It is preferable to use a surface.
In this susceptor device, the top surface of the continuous wall portion provided along the peripheral edge portion of the one main surface and the top surface of the microprojection portion are set as mounting surfaces on which the plate-like sample is mounted, It is possible to seal the cooling gas in the gap between the plate-like sample mounting surface and the plate-like sample.

本発明のサセプタ装置においては、前記壁部の頂面の内周側には、その周方向に沿う段差部が形成され、前記頂面の前記段差部を除く領域を前記板状試料を載置する載置面としたことが好ましい。
このサセプタ装置では、前記壁部の頂面の内周側に、その周方向に沿う段差部を形成し、前記頂面の前記段差部を除く領域と前記微小突起部の頂面とを前記板状試料を載置する載置面としたことにより、前記壁部の頂面上へのパーティクルの付着が低減され、パーティクル付着による冷却ガスのシール性の低下を大幅に低減する。
In the susceptor device of the present invention, a step portion along the circumferential direction is formed on the inner peripheral side of the top surface of the wall portion, and the plate-like sample is placed in an area excluding the step portion on the top surface. It is preferable to use a mounting surface.
In this susceptor device, a step portion along the circumferential direction is formed on the inner peripheral side of the top surface of the wall portion, and the region excluding the step portion on the top surface and the top surface of the microprojection portion are connected to the plate. By using the mounting surface for mounting the shaped sample, the adhesion of particles to the top surface of the wall portion is reduced, and the deterioration of the sealing performance of the cooling gas due to the particle adhesion is greatly reduced.

本発明のサセプタ装置においては、前記段差部に、複数の第2の微小突起部を設け、これら第2の微小突起部それぞれの頂面を前記板状試料を載置する載置面としたことが好ましい。
このサセプタ装置では、前記段差部に、複数の第2の微小突起部を設け、これら第2の微小突起部それぞれの頂面と、前記壁部の頂面と、前記微小突起部の頂面とを前記板状試料を載置する載置面としたことにより、第2の微小突起部の頂面上へのパーティクルの付着が低減され、パーティクル付着による冷却ガスのシール性の低下を大幅に低減する。
In the susceptor device of the present invention, the step portion is provided with a plurality of second microprojections, and the top surface of each of the second microprojections is used as a placement surface on which the plate-like sample is placed. Is preferred.
In this susceptor device, the step portion is provided with a plurality of second microprojections, the top surface of each of the second microprojections, the top surface of the wall, and the top surface of the microprojections. Is a mounting surface on which the plate-like sample is mounted, so that the adhesion of particles to the top surface of the second microprojection is reduced, and the deterioration of the sealing performance of the cooling gas due to the adhesion of particles is greatly reduced. To do.

本発明のサセプタ装置においては、前記段差部に、その周方向に沿って連続する第2の壁部を設け、この第2の壁部の頂面を前記板状試料を載置する載置面としたことが好ましい。
このサセプタ装置では、前記段差部に、その周方向に沿って連続する第2の壁部を設け、この第2の壁部の頂面と、前記(第1の)壁部の頂面と、前記微小突起部の頂面とを前記板状試料を載置する載置面としたことにより、パーティクル付着による冷却ガスのシール性の低下を低減するとともに、十分な吸着力を確保することが可能になる。
In the susceptor device according to the present invention, the stepped portion is provided with a second wall portion that is continuous along the circumferential direction, and the top surface of the second wall portion is placed on the plate-like sample. It is preferable that
In this susceptor device, the stepped portion is provided with a second wall portion continuous along the circumferential direction thereof, the top surface of the second wall portion, the top surface of the (first) wall portion, By making the top surface of the microprojection part a mounting surface on which the plate-like sample is mounted, it is possible to reduce a decrease in cooling gas sealing performance due to particle adhesion and to secure a sufficient adsorbing force. become.

本発明のサセプタ装置においては、前記第2の壁部の前記段差部からの高さは、1μm以上かつ10μm以下であることが好ましい。
このサセプタ装置では、第2の壁部の前記段差部からの高さが1μm未満であると、板状試料の裏面にパーティクルが付着し易くなり、また、パーティクルが付着した際の熱伝導率の変化が大きくなるからであり、一方、第2の壁部の前記段差部からの高さが10μmを越えると、パーティクル付着の防止効果や熱伝導性の変化の防止効果の増大が望めず、吸着力が低下し、微小突起部の加工時に形状崩れ等が生じ易く、加工コストの増加をもたらすからである。
In the susceptor device of the present invention, it is preferable that the height of the second wall portion from the step portion is 1 μm or more and 10 μm or less.
In this susceptor device, when the height of the second wall portion from the stepped portion is less than 1 μm, particles easily adhere to the back surface of the plate-like sample, and the thermal conductivity of the particles when adhered On the other hand, if the height of the second wall portion from the stepped portion exceeds 10 μm, the effect of preventing the adhesion of particles and the effect of preventing the change of thermal conductivity cannot be expected, and adsorption This is because the force is reduced, the shape of the microprojections is easily broken during processing, and the processing cost is increased.

本発明のサセプタ装置においては、前記基体内に、内部電極を備えたことが好ましい。
この内部電極は、直流電圧が印加されて静電吸着力を発生させるための内部電極、高周波電力が印加されてプラズマを発生させるための内部電極、交流電圧または直流電圧が印加されて発熱するヒータ用の内部電極のいずれであってもよい。
In the susceptor device of the present invention, it is preferable that an internal electrode is provided in the substrate.
This internal electrode includes an internal electrode for generating an electrostatic attraction force by applying a DC voltage, an internal electrode for generating plasma by applying a high frequency power, and a heater that generates heat when an AC voltage or a DC voltage is applied. Any of the internal electrodes may be used.

以上説明したように、本発明のサセプタ装置によれば、基体の一主面に複数の突起部を設け、これらの突起部それぞれの頂面に複数の微小突起部を設け、これら微小突起部それぞれの頂面を板状試料を載置する載置面としたので、サセプタ装置に板状試料を繰り返し載置した場合においても、また、ウエハレスドライクリーニング処理時間が増大した場合においても、サセプタ装置と板状試料との間の熱伝導特性の経時的変動を抑えることができ、よって、板状試料毎に均一な処理を施すことができる。また、パーティクルの発生も抑制することができ、板状試料の裏面へのパーティクルの付着を防止することができる。
さらに、サセプタ装置を静電チャックとして用いた場合には、静電吸着力の変動を抑制し、電圧印加中止後の離脱性が変化する虞もなくなる。
As described above, according to the susceptor device of the present invention, a plurality of protrusions are provided on one main surface of the base, and a plurality of minute protrusions are provided on the top surfaces of these protrusions. Since the top surface of the substrate is a mounting surface on which the plate-like sample is placed, the susceptor device can be used even when the plate-like sample is repeatedly placed on the susceptor device or when the waferless dry cleaning processing time increases. Variation of the heat conduction characteristics between the plate-like sample and the plate-like sample can be suppressed with time, and thus a uniform treatment can be performed for each plate-like sample. Moreover, generation | occurrence | production of a particle can also be suppressed and adhesion of the particle to the back surface of a plate-shaped sample can be prevented.
Further, when the susceptor device is used as an electrostatic chuck, fluctuations in electrostatic attraction force are suppressed, and there is no possibility that the detachability after voltage application is stopped will change.

本発明のサセプタ装置の各実施の形態について、静電吸着用の内部電極を備えた静電チャック装置を例にとり説明する。
なお、以下の各実施の形態は、発明の趣旨をより良く理解させるために具体的に説明するものであり、特に指定のない限り、本発明を限定するものではない。
Each embodiment of the susceptor device of the present invention will be described by taking an electrostatic chuck device provided with an internal electrode for electrostatic attraction as an example.
The following embodiments are specifically described for better understanding of the gist of the invention, and do not limit the present invention unless otherwise specified.

[第1の実施形態]
図1は、本発明の第1の実施形態の静電チャック装置を示す断面図、図2は同静電チャック装置の要部を示す拡大断面図、図3は同静電チャック装置の要部を示す拡大平面図であり、この静電チャック装置11は、円板状の基体12と、この基体12の下面側にシリコン樹脂系接着剤13を介して接着一体化された金属製の温度調節ベース部材14とを主体として構成されている。
[First Embodiment]
1 is a cross-sectional view showing an electrostatic chuck device according to a first embodiment of the present invention, FIG. 2 is an enlarged cross-sectional view showing a main part of the electrostatic chuck device, and FIG. 3 is a main part of the electrostatic chuck device. The electrostatic chuck device 11 includes a disk-shaped base 12 and a metal temperature control united and integrated with a lower surface side of the base 12 via a silicon resin adhesive 13. The base member 14 is the main component.

基体12は、上面(一主面)21a側が半導体ウエハ、金属ウエハ、ガラス板等の板状試料Wを載置するための載置面とされた円形状の誘電体板21と、この誘電体板21の下面(他の一主面)21b側に対向配置された円板状の絶縁部材22と、誘電体板21と絶縁部材22との間に挟持された面状の内部電極23と、内部電極23の外周側にこれを囲む様に設けられた環状の絶縁部材24とを主体として構成されている。   The base 12 has a circular dielectric plate 21 whose upper surface (one main surface) 21a is a mounting surface for mounting a plate-like sample W such as a semiconductor wafer, a metal wafer, a glass plate, and the like. A disc-shaped insulating member 22 disposed opposite to the lower surface (another main surface) 21b of the plate 21; a planar internal electrode 23 sandwiched between the dielectric plate 21 and the insulating member 22; The inner electrode 23 is mainly composed of an annular insulating member 24 provided so as to surround the outer periphery of the inner electrode 23.

一方、温度調節ベース部材14には、その内部に水や有機溶媒等の冷却用媒体を循環させる流路25が形成されている。
そして、これら基体12及び温度調節ベース部材14の中央部には、誘電体板21に静電吸着された板状試料Wを脱着するために、板状試料Wを図中下方から押圧する押圧部材(図示略)を挿通させるための貫通孔26が形成されている。
On the other hand, the temperature control base member 14 is formed with a flow path 25 for circulating a cooling medium such as water or an organic solvent.
And in the center part of these base | substrate 12 and the temperature control base member 14, in order to detach | desorb the plate-shaped sample W electrostatically adsorbed by the dielectric plate 21, the pressing member which presses the plate-shaped sample W from the downward direction in the figure A through hole 26 for inserting (not shown) is formed.

また、絶縁部材22及び温度調節ベース部材14の中央部近傍には、電極挿入孔27が形成され、この電極挿入孔27には内部電極23に電圧を印加するための取り出し電極28が装填されている。この取り出し電極28の上端部は内部電極23に電気的に接続されている。   Further, an electrode insertion hole 27 is formed in the vicinity of the central portion of the insulating member 22 and the temperature control base member 14, and an extraction electrode 28 for applying a voltage to the internal electrode 23 is loaded in the electrode insertion hole 27. Yes. The upper end portion of the extraction electrode 28 is electrically connected to the internal electrode 23.

また、誘電体板21、絶縁部材22、内部電極23及び温度調整ベース部材14には、これらを貫通する冷却ガス導入孔29が形成され、この冷却ガス導入孔29により絶縁部材21と板状試料Wの下面との隙間にHe等の冷却ガスが供給されるようになっている。   The dielectric plate 21, the insulating member 22, the internal electrode 23, and the temperature adjustment base member 14 are formed with a cooling gas introduction hole 29 that penetrates the dielectric plate 21, the insulating member 21 and the plate-like sample. A cooling gas such as He is supplied to the gap with the lower surface of W.

上記の誘電体板21の上面21aには、その周縁部を除き、この上面21aに沿う断面が略円形状の円柱状の突起部31が複数個設けられ、これらの突起部31各々の頂面31aには、この頂面31aに沿う断面が略円形状の円柱状の微小突起部32が複数個設けられ、これらの微小突起部32各々の頂面32aは、頂面31a、すなわち上面21aに平行とされている。   The upper surface 21a of the dielectric plate 21 is provided with a plurality of columnar projections 31 having a substantially circular cross section along the upper surface 21a except for the peripheral edge thereof, and the top surface of each of these projections 31. A plurality of columnar microprojections 32 having a substantially circular cross section along the top surface 31a are provided on 31a. The top surface 32a of each of these microprojections 32 is formed on the top surface 31a, that is, the upper surface 21a. Parallel.

また、この上面21aの周縁部には、He等の冷却ガスが漏れないように、この周縁部に沿って連続し、かつ突起部31と微小突起部32との合計高さと同じ高さの壁部33が、この上面21aの周縁部を一巡する様に形成されている。
この静電チャック装置では、微小突起部32各々の頂面32a上に板状試料Wを載置し、内部電極23に所定の電圧を印加することにより、静電気力を利用して板状試料Wを吸着固定することが可能な構造となっている。
上記の突起部31の上面21aに沿う断面積は8×10−3mm以上かつ8×10−1mm以下が好ましく、より好ましくは2×10−2mm以上かつ4×10−1mm以下である。
In addition, a wall having a height equal to the total height of the protrusion 31 and the minute protrusion 32 is provided along the periphery so that a cooling gas such as He does not leak to the periphery of the upper surface 21a. The portion 33 is formed so as to go around the peripheral edge of the upper surface 21a.
In this electrostatic chuck device, the plate-like sample W is placed on the top surface 32a of each of the microprojections 32, and a predetermined voltage is applied to the internal electrode 23, whereby the plate-like sample W is utilized using electrostatic force. Has a structure capable of adsorbing and fixing.
The cross-sectional area along the upper surface 21a of the protrusion 31 is preferably 8 × 10 −3 mm 2 or more and 8 × 10 −1 mm 2 or less, more preferably 2 × 10 −2 mm 2 or more and 4 × 10 −1. mm 2 or less.

ここで、突起部31の上面21aに沿う断面積を上記の様に限定した理由は、断面積が8×10−3mm未満であると、微小突起部32、32…が突起部31の上面21aの周縁部に形成される(掛かる)割合が増加し、微小突起部32、32…が磨耗し易くなり、パーティクル発生の原因となるからであり、一方、この断面積が8×10−1mmを越えると、板状試料Wに突起部31の形状に呼応した温度差分布が形成され、板状試料Wの温度分布の面内均一性が保持できなくなり、その結果、板状試料Wの特性分布が大きくなるからである。 Here, the reason why the cross-sectional area along the upper surface 21 a of the protrusion 31 is limited as described above is that when the cross-sectional area is less than 8 × 10 −3 mm 2 , the minute protrusions 32, 32. This is because the rate of formation (hanging) on the peripheral edge of the upper surface 21a increases, and the minute protrusions 32, 32... Are likely to wear and cause generation of particles, while this cross-sectional area is 8 × 10 −. If the thickness exceeds 1 mm 2 , a temperature difference distribution corresponding to the shape of the protrusion 31 is formed on the plate-like sample W, and the in-plane uniformity of the temperature distribution of the plate-like sample W cannot be maintained. This is because the characteristic distribution of W becomes large.

また、微小突起部32の上面21aに沿う断面積は2×10−5mm以上かつ2×10−3mm以下が好ましく、より好ましくは8×10−5mm以上かつ4×10−4mm以下である。 Further, the cross-sectional area along the top surface 21a of the minute projection 32 is preferably 2 × 10 -5 mm 2 or more and 2 × 10 -3 mm 2 or less, more preferably 8 × 10 -5 mm 2 or more and 4 × 10 - 4 mm 2 or less.

ここで、微小突起部32の上面21aに沿う断面積を上記の様に限定した理由は、断面積が2×10−5mm未満であると、ウエハレスドライクリーニングを施した場合に、処理時間が増大するに伴い、プラズマに曝されて主として微小突起部32の側面部がエッチングされることにより、微小突起部32の板状試料Wに対向する面の表面状態が経時的に大きく変化し、静電チャック装置の熱特性が変動するからであり、一方、この断面積が2×10−3mmを越えると、この微小突起部32、32間に形成される平坦部35との間に熱伝導性に差異が生じるために板状試料Wに局所的な温度差が生じ、また、微小突起部32上に付着したパーティクルが上記の平坦部35に落下できず、微小突起部32の頂面32aと板状試料Wとの間にパーティクルが介在することとなり、板状試料Wと微小突起部32との間の熱伝導特性が変化するからである。 Here, the reason why the cross-sectional area along the upper surface 21a of the microprojection 32 is limited as described above is that when the cross-sectional area is less than 2 × 10 −5 mm 2 , processing is performed when waferless dry cleaning is performed. As the time increases, the surface state of the surface of the microprojection 32 facing the plate-like sample W largely changes over time by being exposed to plasma and mainly etching the side surface of the microprojection 32. This is because the thermal characteristics of the electrostatic chuck device fluctuate. On the other hand, when the cross-sectional area exceeds 2 × 10 −3 mm 2 , the gap between the flat portions 35 formed between the microprojections 32 and 32 is reduced. Because of the difference in thermal conductivity, a local temperature difference occurs in the plate-like sample W, and particles adhering on the microprojection 32 cannot fall on the flat portion 35, and the microprojection 32 The top surface 32a and the plate-like sample W This is because particles intervene between them, and the heat conduction characteristics between the plate-like sample W and the minute protrusions 32 change.

これにより、誘電体板21の静電吸着面がウエハレスドライクリーニング中、直接プラズマに曝されてウエハドライクリーニングの累積時間が増加しても、微小突起部32の表面状態における表面粗さの変動が軽微であり、もって、板状試料Wとの間の熱伝導特性が変化することはなく、板状試料Wごとに均一な処理を施すことができる。また、パーティクルの発生も少なく、静電吸着力の変動や電圧印加中止後の離脱性の変化もない。したがって、ウエハレスドライクリーニングを繰り返し行っても何らの支障はない。   Thereby, even if the electrostatic adsorption surface of the dielectric plate 21 is directly exposed to plasma during the waferless dry cleaning and the accumulated time of the wafer dry cleaning is increased, the fluctuation of the surface roughness in the surface state of the microprojection portion 32 is increased. Therefore, the heat conduction characteristics with the plate-like sample W do not change, and a uniform treatment can be performed for each plate-like sample W. Further, the generation of particles is small, and there is no change in electrostatic attraction force or change in detachability after voltage application is stopped. Therefore, there is no problem even if the waferless dry cleaning is repeated.

微小突起部32の、突起部31の頂面31aからの高さは、1μm以上かつ10μm以下が好ましく、より好ましく1μm以上かつ5μm以下である。
微小突起部32の高さが1μm未満であると、微小突起部32、32、…の頂面32a、32a、…に付着したパーティクルが微小突起部32、32間に形成される平坦部35、35、…に落下できず、また平坦部35、35、…内のパーティクルも容易に微小突起部32、32、…の頂面32a、32a、…に移動し易くなるために、板状試料Wの裏面にパーティクルが付着し易くなり、また、パーティクルが付着したときの熱伝導率の変化が大きくなってしまうからであり、一方、高さが10μmを超えると、パーティクルの付着防止効果や熱伝導率変化の防止効果が望めず、吸着力が低下し、微小突起部32、32、…の加工時に形状崩れ等が生じ易くなり、加工コストの増加をもたらすからである。
The height of the minute protrusion 32 from the top surface 31a of the protrusion 31 is preferably 1 μm or more and 10 μm or less, more preferably 1 μm or more and 5 μm or less.
When the height of the microprojection 32 is less than 1 μm, the flat portion 35 on which particles attached to the top surfaces 32a, 32a, ... of the microprojections 32, 32, ... are formed between the microprojections 32, 32, .., And particles in the flat portions 35, 35,... Easily move to the top surfaces 32 a, 32 a,. This is because particles easily adhere to the back surface of the material, and the change in thermal conductivity when the particles adhere becomes large. On the other hand, if the height exceeds 10 μm, the particle adhesion preventing effect and heat conduction are increased. This is because the effect of preventing the rate change cannot be expected, the adsorbing force is reduced, the shape of the projections 32, 32,.

突起部31における微小突起部32、32、…の上面21aに沿う面積の合計は、突起部31の頂面31aの面積の1%以上かつ40%以下であることが好ましい。
ここで、微小突起部32の面積の合計を1%以上としたのは、必要な静電吸着力を確保するためであり、また、この面積の合計を40%以下としたのは、静電吸着面に存在するパーティクルを付着させ難くし、しかも電圧印加中止後の板状試料Wの脱離性を確保するためである。
It is preferable that the total area along the upper surface 21a of the micro projections 32, 32,... In the projection 31 is 1% or more and 40% or less of the area of the top surface 31a of the projection 31.
Here, the reason why the total area of the microprojections 32 is set to 1% or more is to secure a necessary electrostatic attraction force, and the total area is set to 40% or less. This is to make it difficult for particles present on the adsorption surface to adhere and to ensure the detachability of the plate-like sample W after the voltage application is stopped.

この微小突起部32は、図4に示すように、中央部の平坦な頂面32aと、外周部のR形状の曲面部32bとからなるもので、頂面32aのRa(中心線平均粗さ)は0.01μm〜0.3μmが好ましく、より好ましくは0.01μm〜0.1μmであり、また、曲面部32bの曲率(R)は0.5μm〜5μmが好ましく、より好ましくは1μm〜2μmである。   As shown in FIG. 4, the minute protrusion 32 is composed of a flat top surface 32a at the center and an R-shaped curved surface 32b at the outer periphery, and the Ra (center line average roughness) of the top surface 32a. ) Is preferably 0.01 μm to 0.3 μm, more preferably 0.01 μm to 0.1 μm, and the curvature (R) of the curved surface portion 32 b is preferably 0.5 μm to 5 μm, more preferably 1 μm to 2 μm. It is.

この微小突起部32では、頂面32aのRaを0.01μm〜0.3μmとしたことにより、板状試料Wとの接触によって板状試料Wが損傷することを防止すると共に、板状試料Wのズレによる突起部31の頂面31aの表面粗さの変化を抑制し、板状試料Wの載置面である微小突起部32の頂面32aと板状試料Wとの間の熱伝導性の変化を防止することができる。また、曲面部32bの曲率(R)を0.5μm〜5μmとしたことにより、微小突起部32、32…の外周部の欠け、板状試料Wとの接触による板状試料Wの損傷、パーティクルの発生等を抑制することができる。   In this microprojection portion 32, Ra of the top surface 32a is set to 0.01 μm to 0.3 μm, so that the plate sample W is prevented from being damaged by contact with the plate sample W, and the plate sample W is also damaged. The change in the surface roughness of the top surface 31a of the projection 31 due to the deviation of the projection is suppressed, and the thermal conductivity between the top surface 32a of the microprojection 32, which is the mounting surface of the plate-like sample W, and the plate-like sample W is suppressed. Can be prevented. Further, by setting the curvature (R) of the curved surface portion 32b to 0.5 μm to 5 μm, chipping of the outer peripheral portion of the microprojections 32, 32, damage to the plate sample W due to contact with the plate sample W, particles Can be suppressed.

また、突起部31、31…の頂面31aの合計面積の吸着領域の全面積(誘電体板21の表面の面積から壁部33の面積を除いた面積)に対する比は0.5〜30%、好ましくは5〜15%の範囲とされている。この面積比を0.5%以上とするのは必要な静電吸着力を確保するためであり、30%以下とするのは静電吸着面に存在するパーティクルを付着させ難くし、しかも電圧印加中止後の板状試料Wの脱離性を確保するためである。   Further, the ratio of the total area of the top surfaces 31a of the protrusions 31, 31... To the total area of the attracting region (the area of the surface of the dielectric plate 21 excluding the area of the wall 33) is 0.5 to 30%. , Preferably it is 5 to 15% of range. The area ratio is set to 0.5% or more in order to secure a necessary electrostatic adsorption force, and 30% or less makes it difficult to attach particles existing on the electrostatic adsorption surface, and voltage is applied. This is to ensure the detachability of the plate-like sample W after the suspension.

また、突起部31、31に挟まれる平坦部36の深さHは10μm〜50μmが好ましく、より好ましくは30μm〜45μmである。
平坦部36の深さHが10μm未満であると、板状試料Wが平坦部36の底面に接触する可能性があり、一方、平坦部36の深さHが50μmを超えると、吸着力の低下の原因となるので好ましくない。
また、Heガス等の冷却ガスによる冷却効果を考慮すると、平坦部36の深さHを特に30μm〜45μmとすることにより、冷却ガス圧の均一化が達成されて板状試料Wの面内温度が均一となるので好ましい。
Further, the depth H of the flat portion 36 sandwiched between the protrusions 31 and 31 is preferably 10 μm to 50 μm, and more preferably 30 μm to 45 μm.
If the depth H of the flat portion 36 is less than 10 μm, the plate-like sample W may come into contact with the bottom surface of the flat portion 36, while if the depth H of the flat portion 36 exceeds 50 μm, the adsorption force This is not preferable because it causes a decrease.
Further, in consideration of the cooling effect by the cooling gas such as He gas, by making the depth H of the flat portion 36 particularly 30 μm to 45 μm, the cooling gas pressure is made uniform and the in-plane temperature of the plate-like sample W is achieved. Is preferable because it becomes uniform.

誘電体板21、突起部31及び微小突起部32は、ともに、セラミックスからなるものである。
このセラミックスとしては、窒化アルミニウム、酸化アルミニウム、窒化珪素、酸化ジルコニウム、サイアロン、窒化ホウ素、炭化珪素から選択された1種からなるセラミックス、あるいは2種以上を含む複合セラミックスが好ましい。
The dielectric plate 21, the protrusion 31 and the minute protrusion 32 are all made of ceramics.
As this ceramic, a ceramic made of one selected from aluminum nitride, aluminum oxide, silicon nitride, zirconium oxide, sialon, boron nitride and silicon carbide, or a composite ceramic containing two or more kinds is preferable.

また、これらを構成する材料は、単一であっても混合物であってもよいが、熱膨張係数が可能な限り内部電極23の熱膨張係数に近似したもので、しかも焼結し易いものが好ましい。また、誘電体板21の上面21a側は静電吸着面となるから、特に誘電率が高い材質であって、静電吸着する板状試料Wに対して不純物とならないものを選択することが好ましい。
以上のことを考慮すれば、誘電体板21、突起部31及び微小突起部32は、実質的に1重量%〜12重量%の炭化珪素を含み、残部を酸化アルミニウムとする炭化珪素−酸化アルミニウム複合焼結体が好ましい。
Further, the material constituting these may be a single material or a mixture, but the one having a thermal expansion coefficient that is as close as possible to that of the internal electrode 23 and that is easy to sinter. preferable. In addition, since the upper surface 21a side of the dielectric plate 21 is an electrostatic adsorption surface, it is preferable to select a material that has a particularly high dielectric constant and that does not become an impurity with respect to the plate-like sample W that is electrostatically adsorbed. .
In consideration of the above, the dielectric plate 21, the protrusion 31 and the minute protrusion 32 substantially contain 1% to 12% by weight of silicon carbide, and the remainder is aluminum oxide-aluminum oxide. A composite sintered body is preferred.

この炭化珪素−酸化アルミニウム複合焼結体は、室温(25℃)における体積固有抵抗値が1×10Ω・cm〜1×1015Ω・cm程度であるから、静電チャックの絶縁部材(誘電体板)として好適であり、しかも、耐プラズマ性も向上したものとなっている。 Since this silicon carbide-aluminum oxide composite sintered body has a volume resistivity value of about 1 × 10 8 Ω · cm to 1 × 10 15 Ω · cm at room temperature (25 ° C.), an insulating member ( It is suitable as a dielectric plate) and has improved plasma resistance.

ここで、この炭化珪素−酸化アルミニウム複合焼結体における炭化珪素の含有量が1重量%未満であると、誘電体板21に含有される酸化アルミニウム粒子の平均粒子径が2μmより大きくなり、よって、プラズマエッチングされ易くなるからであり、一方、12重量%を越えると、誘電体板21の体積固有抵抗値が1×10Ω・cmより小さくなり、静電チャックとして好ましい体積固有抵抗値とならないからである。
この複合焼結体においては、少量の不純物は許容される。しかしながら、アルミニウム(Al)、珪素(Si)以外の金属不純物が0.1重量%を越えると、ウエハ等の板状試料を汚染する可能性が高くなるとともに、電気抵抗の温度依存性が大きくなるので好ましくない。
Here, when the content of silicon carbide in the silicon carbide-aluminum oxide composite sintered body is less than 1% by weight, the average particle diameter of the aluminum oxide particles contained in the dielectric plate 21 becomes larger than 2 μm. On the other hand, if it exceeds 12% by weight, the volume resistivity value of the dielectric plate 21 becomes smaller than 1 × 10 8 Ω · cm, and the volume resistivity value preferable as an electrostatic chuck is obtained. Because it will not be.
In this composite sintered body, a small amount of impurities is allowed. However, if the amount of metal impurities other than aluminum (Al) and silicon (Si) exceeds 0.1% by weight, there is a high possibility that a plate-like sample such as a wafer will be contaminated, and the temperature dependence of electrical resistance will increase. Therefore, it is not preferable.

また、この炭化珪素−酸化アルミニウム複合焼結体における炭化珪素粒子の平均粒子径は0.2μm以下が好ましい。
炭化珪素粒子の平均粒子径が0.2μmを超えると、プラズマ照射時の電場が炭化珪素−酸化アルミニウム複合焼結体中の炭化珪素粒子の部分に集中し、炭化珪素粒子の周辺が損傷を受け易くなるからである。
Moreover, the average particle diameter of the silicon carbide particles in this silicon carbide-aluminum oxide composite sintered body is preferably 0.2 μm or less.
If the average particle diameter of the silicon carbide particles exceeds 0.2 μm, the electric field during plasma irradiation concentrates on the silicon carbide particles in the silicon carbide-aluminum oxide composite sintered body, and the periphery of the silicon carbide particles is damaged. It is because it becomes easy.

また、この炭化珪素−酸化アルミニウム複合焼結体における酸化アルミニウム粒子の平均粒子径は2μm以下が好ましい。
酸化アルミニウム粒子の平均粒子径が2μmを超えると、炭化珪素−酸化アルミニウム複合焼結体がプラズマエッチングされ、スパッタ痕が形成され易くなり、表面粗さが粗くなるからである。
The average particle diameter of the aluminum oxide particles in the silicon carbide-aluminum oxide composite sintered body is preferably 2 μm or less.
This is because when the average particle diameter of the aluminum oxide particles exceeds 2 μm, the silicon carbide-aluminum oxide composite sintered body is plasma-etched, and sputtering marks are easily formed, resulting in a rough surface roughness.

なお、誘電体板21、突起部31及び微小突起部32が共に、1重量%〜12重量%の炭化珪素を含み、残部を酸化アルミニウムとする炭化珪素−酸化アルミニウム複合焼結体により構成されている必要はなく、少なくとも突起部31及び微小突起部32のみが1重量%〜12重量%の炭化珪素を含む炭化珪素−酸化アルミニウム複合焼結体により構成されていてもよい。   The dielectric plate 21, the protrusions 31, and the minute protrusions 32 are both composed of a silicon carbide-aluminum oxide composite sintered body containing 1 wt% to 12 wt% silicon carbide and the balance being aluminum oxide. It is not necessary that at least the protrusions 31 and the minute protrusions 32 be made of a silicon carbide-aluminum oxide composite sintered body containing 1 wt% to 12 wt% of silicon carbide.

また、絶縁部材22は、誘電体板21と異なる構造を有する絶縁部材からなっていても良いが、誘電体板21と同じ構造を有する複合焼結体からなっていることが望ましい。誘電体板21と同じ構造を有する複合焼結体であれば、ハロゲンガスやプラズマに対する耐食性に優れ、高い強度及び硬度を有し、耐熱性、耐熱衝撃性に優れたものにすることができるとともに、静電チャックの製造工程を簡略化することができるので望ましい。   The insulating member 22 may be made of an insulating member having a structure different from that of the dielectric plate 21, but is preferably made of a composite sintered body having the same structure as the dielectric plate 21. If it is a composite sintered body having the same structure as the dielectric plate 21, it can be excellent in corrosion resistance to halogen gas and plasma, has high strength and hardness, and excellent in heat resistance and thermal shock resistance. It is desirable because the manufacturing process of the electrostatic chuck can be simplified.

次に、本実施形態の静電チャック装置の製造方法について説明する。
ここでは、誘電体板21、絶縁部材22,突起部31及び微小突起部32を、実質的に1重量%〜12重量%の炭化珪素を含む炭化珪素−酸化アルミニウム複合焼結体を用いて製造する場合を例にとり説明する。
用いる炭化珪素粒子の原料粉末としては、平均粒子径が0.1μm以下の炭化珪素粉末を用いることが好ましい。
Next, a method for manufacturing the electrostatic chuck device of this embodiment will be described.
Here, the dielectric plate 21, the insulating member 22, the protruding portion 31, and the minute protruding portion 32 are manufactured using a silicon carbide-aluminum oxide composite sintered body that substantially contains 1 wt% to 12 wt% of silicon carbide. This will be described as an example.
As the raw material powder for the silicon carbide particles to be used, it is preferable to use silicon carbide powder having an average particle size of 0.1 μm or less.

その理由は、炭化珪素粉末の平均粒子径が0.1μmを越えると、得られた炭化珪素−酸化アルミニウム複合焼結体は、炭化珪素粒子の平均粒子径が0.2μmを超えることとなり、誘電体板21及び絶縁部材22の強度向上の効果が小さくなるからである。
また、この炭化珪素−酸化アルミニウム複合焼結体からなる誘電体板21は、プラズマに曝されたときに電場が炭化珪素粒子の部分に集中して大きな損傷を受け易くなり、プラズマ耐性が低く、プラズマ損傷後の静電吸着力が低下する虞があるからである。
The reason is that when the average particle diameter of the silicon carbide powder exceeds 0.1 μm, the obtained silicon carbide-aluminum oxide composite sintered body has an average particle diameter of the silicon carbide particles exceeding 0.2 μm. This is because the effect of improving the strength of the body plate 21 and the insulating member 22 is reduced.
In addition, the dielectric plate 21 made of this silicon carbide-aluminum oxide composite sintered body is easily damaged when the electric field is concentrated on the silicon carbide particles when exposed to plasma, and the plasma resistance is low. This is because the electrostatic attractive force after plasma damage may be reduced.

この炭化珪素粉末としては、プラズマCVD法により得られた粉末が好ましく、特に、非酸化性雰囲気のプラズマ中に、シラン化合物またはハロゲン化珪素と炭化水素の原料ガスを導入し、反応系の圧力を1×10Pa(1気圧)未満から1.33×10Pa(0.1Torr)の範囲で制御しつつ気相反応させることにより得られた平均粒子径が0.1μm以下の超微粉末が、焼結性に優れ、高純度であり、粒子形状が球状であるために成形時の分散性が良好であるので、好ましい。 The silicon carbide powder is preferably a powder obtained by a plasma CVD method, and in particular, a silane compound or silicon halide and hydrocarbon source gas is introduced into the plasma in a non-oxidizing atmosphere, and the pressure of the reaction system is increased. An ultrafine powder having an average particle size of 0.1 μm or less obtained by performing a gas phase reaction while controlling in a range of less than 1 × 10 5 Pa (1 atm) to 1.33 × 10 Pa (0.1 Torr), The sinterability is excellent, the purity is high, and the particle shape is spherical, so that the dispersibility during molding is good, which is preferable.

一方、酸化アルミニウム粒子の原料粉末としては、平均粒子径が1μm以下の酸化アルミニウム粉末を用いることが好ましい。
その理由は、平均粒子径が1μmを越える酸化アルミニウム粉末を用いて得られた炭化珪素−酸化アルミニウム複合焼結体においては、複合焼結体中の酸化アルミニウム粒子の平均粒子径が2μmを越えるために、誘電体板21の板状試料を載置する側の上面21aがプラズマによりエッチングされ易くなるために、スパッタ痕が形成されることとなり、この上面21aの表面粗さが粗くなり、静電チャック装置11の静電吸着力が低下する虞があるからである。
なお、使用する酸化アルミニウム粉末としては、平均粒子径が1μm以下のものであれば特段限定されず、高純度のものであればよい。
On the other hand, it is preferable to use an aluminum oxide powder having an average particle diameter of 1 μm or less as a raw material powder for aluminum oxide particles.
The reason is that in the silicon carbide-aluminum oxide composite sintered body obtained by using the aluminum oxide powder having an average particle diameter exceeding 1 μm, the average particle diameter of the aluminum oxide particles in the composite sintered body exceeds 2 μm. In addition, since the upper surface 21a on the side where the plate-like sample of the dielectric plate 21 is placed is easily etched by plasma, sputter marks are formed, and the surface roughness of the upper surface 21a becomes rough, and electrostatic This is because the electrostatic attraction force of the chuck device 11 may be reduced.
The aluminum oxide powder to be used is not particularly limited as long as the average particle diameter is 1 μm or less, and it may be high-purity.

次いで、上記の炭化珪素粉末と酸化アルミニウム粉末とを、炭化珪素粉末が1〜12重量%、残部が酸化アルミニウム粉末となるように秤量、混合する。
次いで、得られた混合粉を、金型を用いて所定形状に成形し、その後、得られた成形体を、例えば、ホットプレス(HP)を用いて、加圧しながら焼成し、炭化珪素−酸化アルミニウム複合焼結体を得る。
Next, the silicon carbide powder and the aluminum oxide powder are weighed and mixed so that the silicon carbide powder is 1 to 12% by weight and the balance is the aluminum oxide powder.
Next, the obtained mixed powder is molded into a predetermined shape using a mold, and then the obtained molded body is fired while being pressed using, for example, a hot press (HP), and silicon carbide-oxidized An aluminum composite sintered body is obtained.

ホットプレス(HP)の条件としては、加圧力は、特に制限されるものではないが、炭化珪素−酸化アルミニウム複合焼結体を得る場合には、例えば、5〜40MPaが好ましい。加圧力が5MPaを下回ると、充分な焼結密度の複合焼結体が得られず、一方、加圧力が40MPaを超えると、黒鉛等からなる治具が変形損耗するからである。   As the conditions for hot pressing (HP), the pressure is not particularly limited, but when obtaining a silicon carbide-aluminum oxide composite sintered body, for example, 5-40 MPa is preferable. This is because if the applied pressure is less than 5 MPa, a composite sintered body having a sufficient sintered density cannot be obtained, while if the applied pressure exceeds 40 MPa, a jig made of graphite or the like is deformed and worn.

また、焼成する際の温度としては、1650〜1850℃が好ましい。焼成温度が1650℃未満であると、充分緻密な炭化珪素−酸化アルミニウム複合焼結体を得ることができず、一方、1850℃を超えると、焼成過程にて焼結体の分解や粒成長が生じ易くなるからである。
また、焼成時の雰囲気としては、アルゴン雰囲気、窒素雰囲気等の不活性雰囲気が炭化珪素の酸化を防止するので好ましい。
Moreover, as a temperature at the time of baking, 1650-1850 degreeC is preferable. When the firing temperature is less than 1650 ° C., a sufficiently dense silicon carbide-aluminum oxide composite sintered body cannot be obtained. On the other hand, when the firing temperature exceeds 1850 ° C., decomposition and grain growth of the sintered body occur during the firing process. This is because it tends to occur.
Further, as the atmosphere during firing, an inert atmosphere such as an argon atmosphere or a nitrogen atmosphere is preferable because it prevents oxidation of silicon carbide.

この様にして得られた2枚の炭化珪素−酸化アルミニウム複合焼結体のうち、一方の複合焼結体の所定位置に電極挿入孔27を機械加工により形成し、絶縁部材22とする。
また、この絶縁部材22の内部電極を形成する領域内に、導電性フィラーを含むペーストを塗布して導電層を形成し、この導電層を形成した領域の外側の領域に、絶縁性フィラーを含むペーストを塗布し、絶縁層を形成する。
一方、上記の2枚の複合焼結体のうち、他方の複合焼結体の表面(板状試料の載置面となる面)をRa(中心線平均粗さ)が0.3μm以下となるように研磨して平坦面とし、誘電体板21とする。
Of the two silicon carbide-aluminum oxide composite sintered bodies obtained in this manner, an electrode insertion hole 27 is formed by machining in a predetermined position of one composite sintered body to form an insulating member 22.
Also, a conductive layer is formed by applying a paste containing a conductive filler in the region where the internal electrode of the insulating member 22 is formed, and the insulating filler is included in a region outside the region where the conductive layer is formed. A paste is applied to form an insulating layer.
On the other hand, Ra (center line average roughness) is 0.3 μm or less on the surface of the other composite sintered body (the surface on which the plate-like sample is placed) of the two composite sintered bodies. The dielectric plate 21 is thus polished to a flat surface.

次いで、電極挿入孔27に取り出し電極28を挿入し、この絶縁部材22の導電層及び絶縁層が形成されている面と、誘電体板21の研磨されていない面とを対向して重ね合わせ、次いで、これら誘電体板21及び絶縁部材22を、例えば、1600℃以上に加熱しながら加圧し、上記の導電層により内部電極23を形成するとともに、絶縁層により接合層となる絶縁部材24を形成し、誘電体板21及び絶縁部材22を内部電極23及び絶縁部材24を介して接合する。
突起部31,微小突起部32及び壁部33は、例えば、砥石加工、レーザ彫刻等の機械的加工、あるいはブラスト加工を用いて形成することができる。
Next, the take-out electrode 28 is inserted into the electrode insertion hole 27, and the surface of the insulating member 22 where the conductive layer and the insulating layer are formed and the unpolished surface of the dielectric plate 21 are overlapped facing each other. Next, the dielectric plate 21 and the insulating member 22 are pressed while being heated to, for example, 1600 ° C. or more, and the internal electrode 23 is formed by the conductive layer, and the insulating member 24 that is a bonding layer is formed by the insulating layer. Then, the dielectric plate 21 and the insulating member 22 are joined via the internal electrode 23 and the insulating member 24.
The protrusion 31, the minute protrusion 32, and the wall 33 can be formed by using, for example, mechanical processing such as grinding stone processing, laser engraving, or blast processing.

以下、ブラスト加工法を用いて製造する方法について、図5に基づき説明する。
まず、図5(a)に示すように、炭化珪素−酸化アルミニウム複合焼結体41の板状試料の載置面となる平坦な面を再研磨し、Ra(中心線平均粗さ)が、例えば、0.01〜0.3μmの表面41aとし、この表面41aを脱脂・洗浄する。
洗浄は、例えば、アセトン、メタノール、エタノール、2−プロパノール等の有機溶剤を用い、脱脂を行う。その後、温水等にて洗浄を行う。
Hereinafter, the manufacturing method using the blast processing method will be described with reference to FIG.
First, as shown in FIG. 5A, a flat surface serving as a mounting surface for the plate-like sample of the silicon carbide-aluminum oxide composite sintered body 41 is re-polished, and Ra (centerline average roughness) is For example, the surface 41a is 0.01 to 0.3 μm, and the surface 41a is degreased and cleaned.
For cleaning, for example, degreasing is performed using an organic solvent such as acetone, methanol, ethanol, or 2-propanol. Thereafter, washing is performed with warm water or the like.

洗浄後、この表面41a上に、所定のパターンを有するマスク42を載置する。このマスク42のパターンは、図1〜図3に示す突起部31のパターンと同一形状とする。このマスク42としては、紫外線や可視光に感光する感光性樹脂(レジスト)やメタルマスク等の板状マスクが用いられる。   After cleaning, a mask 42 having a predetermined pattern is placed on the surface 41a. The pattern of the mask 42 has the same shape as the pattern of the protrusions 31 shown in FIGS. As the mask 42, a plate-shaped mask such as a photosensitive resin (resist) or a metal mask that is sensitive to ultraviolet rays or visible light is used.

次いで、このマスク42を用いて複合焼結体41の表面41aにブラスト加工を施す。これにより、図5(b)に示すように、マスク42によって覆われている部分が研削されずに残って突起部31となり、マスク42によって覆われている部分が研削されて平坦部36となる。
このブラスト加工に使用される粒子としては、アルミナ、炭化珪素、ガラスビーズ等が好適に用いられる。これらの粒径としては、粒度分布における累積百分率が50%(D50)で8.5μm〜60μm程度が好ましい。
Next, blasting is performed on the surface 41 a of the composite sintered body 41 using the mask 42. As a result, as shown in FIG. 5B, the portion covered by the mask 42 remains without being ground and becomes the protrusion 31, and the portion covered by the mask 42 is ground and becomes the flat portion 36. .
As particles used for the blasting, alumina, silicon carbide, glass beads, or the like is preferably used. As these particle sizes, the cumulative percentage in the particle size distribution is preferably about 8.5 μm to 60 μm at 50% (D50).

次いで、図5(c)に示すように、マスク42を除去する。この際、マスク42が感光性樹脂からなる場合には、塩化メチレン等の剥離液を用いる。
これにより、炭化珪素−酸化アルミニウム複合焼結体41の表面41aに、突起部31及び平坦部36が同時に形成される。
Next, as shown in FIG. 5C, the mask 42 is removed. At this time, when the mask 42 is made of a photosensitive resin, a stripping solution such as methylene chloride is used.
Thereby, the protrusion 31 and the flat part 36 are simultaneously formed on the surface 41 a of the silicon carbide-aluminum oxide composite sintered body 41.

次いで、図5(d)に示すように、突起部31、31、…それぞれの頂面31aにマスク43を設ける。このマスク43のパターンは、微小突起部32のパターンと同一形状とする。
このマスク43としては、紫外線や可視光に感光する感光性樹脂(レジスト)やメタルマスク等の板状マスクが用いられる。
Next, as shown in FIG. 5D, a mask 43 is provided on the top surface 31a of each of the protrusions 31, 31,. The pattern of the mask 43 has the same shape as the pattern of the minute protrusions 32.
As the mask 43, a plate-shaped mask such as a photosensitive resin (resist) or a metal mask that is sensitive to ultraviolet rays or visible light is used.

次いで、このマスク43を用いて突起部31、31、…それぞれの頂面31aにブラスト加工を施す。これにより、図5(e)に示すように、マスク43によって覆われている部分が研削されずに残って微小突起部32となり、マスク43によって覆われている部分が研削されて平坦部35となる。
この際、平坦部36がブラスト加工により研削されないように、この平坦部36を耐ブラスト性の高い有機高分子(レジスト)等で覆っておくのが望ましい。
このブラスト加工に使用される粒子の粒径としては、粒度分布における累積百分率が50%(D50)で6μm〜17μm程度が好ましい。
Next, using this mask 43, the top surfaces 31a of the protrusions 31, 31,. As a result, as shown in FIG. 5E, the portion covered by the mask 43 remains without being ground and becomes the minute protrusion 32, and the portion covered by the mask 43 is ground to form the flat portion 35. Become.
At this time, it is desirable to cover the flat portion 36 with an organic polymer (resist) having high blast resistance so that the flat portion 36 is not ground by blasting.
The particle size of the particles used for the blasting is preferably about 6 μm to 17 μm with a cumulative percentage in the particle size distribution of 50% (D50).

次いで、突起部31、31、…及び微小突起部32、32、…が形成された複合焼結体41の脱脂を行う。この脱脂は、アセトン、メタノール、エタノール、2−プロパノール等の有機溶剤を用いて行う。その後、温水等にて洗浄を行う。
これにより、表面に突起部31、31、…及び微小突起部32、32、…が形成される。
その後、基体12の下面側にシリコン樹脂系接着剤13を介して温度調節ベース部材14を接着一体化することにより、本実施形態の静電チャック装置を得る。
Next, the composite sintered body 41 on which the protrusions 31, 31,... And the minute protrusions 32, 32,. This degreasing is performed using an organic solvent such as acetone, methanol, ethanol, 2-propanol. Thereafter, washing is performed with warm water or the like.
As a result, the protrusions 31, 31,... And the minute protrusions 32, 32,.
Thereafter, the temperature adjustment base member 14 is bonded and integrated to the lower surface side of the base 12 via the silicon resin adhesive 13 to obtain the electrostatic chuck device of this embodiment.

以上説明したように、本実施形態の静電チャック装置によれば、基体12を構成する誘電体板21の上面21aに突起部31を複数個設け、これらの突起部31各々の頂面31aに微小突起部32を複数個設けたので、板状試料Wを繰り返し載置した場合においても、また、ウエハレスドライクリーニング処理時間が増大した場合においても、誘電体板21と板状試料Wとの間の熱伝導特性の経時的変動を抑えることができ、また、パーティクルの発生を抑制することができ、板状試料Wの裏面へのパーティクルの付着を防止することができる。
さらに、微小突起部32、32、…各々の頂面32aにより板状試料Wを支持する構成であるから、静電吸着力が変動したり、電圧印加中止後の離脱性が変化する等の虞もない。
As described above, according to the electrostatic chuck device of the present embodiment, a plurality of protrusions 31 are provided on the upper surface 21a of the dielectric plate 21 constituting the substrate 12, and the top surface 31a of each of these protrusions 31 is provided. Since a plurality of the microprojections 32 are provided, the dielectric plate 21 and the plate-like sample W are not affected even when the plate-like sample W is repeatedly placed or when the waferless dry cleaning processing time is increased. It is possible to suppress the temporal variation of the heat conduction characteristics during the period, to suppress the generation of particles, and to prevent the particles from adhering to the back surface of the plate sample W.
Further, since the plate-like sample W is supported by the top surfaces 32a of the microprojections 32, 32,..., There is a possibility that the electrostatic attraction force fluctuates or the detachability after voltage application is stopped. Nor.

[第2の実施形態]
図6は、本発明の第2の実施形態の静電チャック装置の周縁部近傍を示す断面図、図7は、同静電チャック装置の周縁部近傍を示す平面図であり、この静電チャック装置51が第1の実施形態の静電チャック装置11と異なる点は、壁部33の頂面33aの内周側に、その周方向に沿う断面矩形状の段差部52を形成し、残った環状の壁部33B上の頂面を板状試料Wを載置する載置面とし,これら段差部52及び壁部33BによりHe等の冷却ガスをシールするように構成した点である。
残った壁部33Bの幅は10μm〜50μm、その高さは1μm〜10μmである。
[Second Embodiment]
FIG. 6 is a sectional view showing the vicinity of the periphery of the electrostatic chuck device according to the second embodiment of the present invention, and FIG. 7 is a plan view showing the vicinity of the periphery of the electrostatic chuck device. The difference between the device 51 and the electrostatic chuck device 11 of the first embodiment is that a stepped portion 52 having a rectangular cross section along the circumferential direction is formed on the inner peripheral side of the top surface 33a of the wall portion 33 and remains. The top surface on the annular wall portion 33B is a mounting surface on which the plate-like sample W is placed, and the cooling gas such as He is sealed by the step portion 52 and the wall portion 33B.
The remaining wall 33B has a width of 10 μm to 50 μm and a height of 1 μm to 10 μm.

ここで、壁部33Bの幅が10μm未満であると、ウエハレスドライクリーニングの処理時間数の増加に伴って壁部33Bのコーナ部が消耗し、冷却ガスのシール性が大幅に低下する他、壁部33Bの加工時に形状崩れ等が生じ易く、加工コストの増加をもたらすからであり、一方、壁部33Bの幅が50μmを超えると、パーティクルが壁部33B上に留まり易くなり、冷却ガスのシール性が低下するからである。   Here, if the width of the wall portion 33B is less than 10 μm, the corner portion of the wall portion 33B is consumed with an increase in the number of processing times of the waferless dry cleaning, and the sealing performance of the cooling gas is greatly reduced. This is because shape deformation or the like is likely to occur during the processing of the wall portion 33B, resulting in an increase in processing cost. On the other hand, if the width of the wall portion 33B exceeds 50 μm, particles tend to stay on the wall portion 33B, and the cooling gas This is because the sealing performance is lowered.

この静電チャック装置51にあっては、段差部52が形成されていない場合には、壁部33の頂面33a上にパーティクルが付着すると、冷却ガスのシール性が大幅に低下するが、この壁部33の頂面33aの内周側に段差部52を形成したことにより、壁部33の頂面33a上にパーティクルが付着するのを低減することができ、もって、パーティクル付着による冷却ガスのシール性の低下を防止することができる。   In this electrostatic chuck device 51, when the stepped portion 52 is not formed, if particles adhere to the top surface 33a of the wall portion 33, the sealing performance of the cooling gas is greatly reduced. By forming the stepped portion 52 on the inner peripheral side of the top surface 33a of the wall portion 33, it is possible to reduce the adhesion of particles on the top surface 33a of the wall portion 33. A decrease in sealing performance can be prevented.

[第3の実施形態]
図8は、本発明の第3の実施形態の静電チャック装置の周縁部近傍を示す断面図、図9は、同静電チャック装置の周縁部近傍を示す平面図であり、この静電チャック装置61が第2の実施形態の静電チャック装置51と異なる点は、段差部52上に、微小突起部32を複数個設け、残った環状の壁部33B上の頂面及び微小突起部32の頂面32aを板状試料Wを載置する載置面とし、段差部52及び壁部33BによりHe等の冷却ガスをシールするように構成した点である。
微小突起部32の断面積は8×10−5mm以上かつ2×10−3mm以下が好ましい。
[Third Embodiment]
FIG. 8 is a sectional view showing the vicinity of the periphery of the electrostatic chuck device according to the third embodiment of the present invention, and FIG. 9 is a plan view showing the vicinity of the periphery of the electrostatic chuck device. The device 61 is different from the electrostatic chuck device 51 of the second embodiment in that a plurality of microprojections 32 are provided on the stepped portion 52 and the top surface and the microprojections 32 on the remaining annular wall 33B. The top surface 32a is used as a mounting surface on which the plate-like sample W is mounted, and a cooling gas such as He is sealed by the step portion 52 and the wall portion 33B.
The cross-sectional area of the microprojections 32 is preferably 8 × 10 −5 mm 2 or more and 2 × 10 −3 mm 2 or less.

本実施形態の静電チャック装置61においても、第2の実施形態の静電チャック装置51と全く同様の効果を奏することができる。
特に、段差部52上に微小突起部32を複数個設けたので、パーティクル付着による冷却ガスのシール性の低下を防止することができ他、十分な吸着力を確保することができる。
The electrostatic chuck device 61 of the present embodiment can achieve the same effects as the electrostatic chuck device 51 of the second embodiment.
In particular, since a plurality of the minute projections 32 are provided on the stepped portion 52, it is possible to prevent the cooling gas from being deteriorated by adhesion of particles, and to secure a sufficient adsorbing force.

[第4の実施形態]
図10は、本発明の第4の実施形態の静電チャック装置を示す断面図、図11は、同静電チャック装置を示す平面図であり、この静電チャック装置71が第2の実施形態の静電チャック装置51と異なる点は、段差部52上に、この段差部52の周方向に沿って連続しかつ壁部33Bと同心円状の壁部33Cを形成し,環状の壁部33B,33C上の頂面及び微小突起部32の頂面32aを板状試料Wを載置する載置面とし、段差部52及び壁部33B、33CによりHe等の冷却ガスをシールするように構成した点である。
[Fourth Embodiment]
FIG. 10 is a cross-sectional view showing an electrostatic chuck device according to a fourth embodiment of the present invention. FIG. 11 is a plan view showing the electrostatic chuck device. The electrostatic chuck device 71 is a second embodiment. A difference from the electrostatic chuck device 51 is that on the step portion 52, a wall portion 33C that is continuous along the circumferential direction of the step portion 52 and concentric with the wall portion 33B is formed, and the annular wall portion 33B, The top surface on 33C and the top surface 32a of the microprojection portion 32 are used as a mounting surface on which the plate-like sample W is placed, and a cooling gas such as He is sealed by the step portion 52 and the wall portions 33B and 33C. Is a point.

この壁部33Cの段差部52からの高さは、1μm以上かつ10μm以下であり、この壁部33Cの頂面の幅は、10μm以上かつ50μm以下であることが好ましい。また、この壁部33Cの断面積は8×10−5mm以上かつ2×10−3mm以下が好ましい。 The height of the wall portion 33C from the stepped portion 52 is preferably 1 μm or more and 10 μm or less, and the width of the top surface of the wall portion 33C is preferably 10 μm or more and 50 μm or less. Further, the cross-sectional area of the wall 33C is preferably 8 × 10 −5 mm 2 or more and 2 × 10 −3 mm 2 or less.

本実施形態の静電チャック装置71においても、第2の実施形態の静電チャック装置51と全く同様の効果を奏することができる。
特に、段差部52上に、この段差部52の周方向に沿って連続しかつ壁部33Bと同心円状の壁部33Cを形成したので、パーティクル付着による冷却ガスのシール性の低下をより一層防止することができ他、十分な吸着力を確保することができる。
The electrostatic chuck device 71 of the present embodiment can achieve the same effects as the electrostatic chuck device 51 of the second embodiment.
In particular, since the wall portion 33C that is continuous along the circumferential direction of the step portion 52 and concentric with the wall portion 33B is formed on the step portion 52, the deterioration of the sealing performance of the cooling gas due to particle adhesion is further prevented. In addition, sufficient adsorbing power can be secured.

以下、実施例及び比較例を挙げ、本発明をさらに詳しく説明する。ここでは、第4の実施形態に係る静電チャック装置、すなわち図10に示す静電チャック装置71を作製した。   Hereinafter, the present invention will be described in more detail with reference to Examples and Comparative Examples. Here, the electrostatic chuck device according to the fourth embodiment, that is, the electrostatic chuck device 71 shown in FIG. 10 was produced.

「実施例1」
(静電チャック装置の作製)
平均粒子径0.06μmの炭化珪素超微粒子をプラズマCVD法により気相合成し、この炭化珪素超微粒子と、平均粒子径0.15μmの酸化アルミニウム粉末とを、炭化珪素超微粒子/酸化アルミニウム粉末が重量比で9/91となるように秤量し、均一に混合した。
この混合粉末を粉末成形機を用いて円板状に成形し、次いで、ホットプレス(HP)を用いて、アルゴン雰囲気中、1800℃の温度で4時間、加圧しながら焼成し、直径298mm、厚み4mmの円板状の複合焼結体を2枚作製した。加圧力は40MPaとした。
"Example 1"
(Production of electrostatic chuck device)
Silicon carbide ultrafine particles with an average particle size of 0.06 μm are synthesized in a gas phase by a plasma CVD method. The silicon carbide ultrafine particles and the aluminum oxide powder with an average particle size of 0.15 μm are combined into silicon carbide ultrafine particles / aluminum oxide powder. They were weighed so as to have a weight ratio of 9/91 and mixed uniformly.
This mixed powder was formed into a disk shape using a powder molding machine, and then fired for 4 hours at a temperature of 1800 ° C. in an argon atmosphere using a hot press (HP), with a diameter of 298 mm and a thickness of Two 4 mm disk-shaped composite sintered bodies were produced. The applied pressure was 40 MPa.

得られた複合焼結体の炭化珪素粒子、酸化アルミニウム粒子それぞれの平均粒子径を走査電子顕微鏡(SEM)を用いて測定したところ、それぞれ0.07μm、1.4μmであった。また、この複合焼結体の体積固有抵抗値を、静電チャック装置の使用温度である20℃にて測定したところ、2×1011Ω・cmであった。 When the average particle diameter of each of the silicon carbide particles and aluminum oxide particles of the obtained composite sintered body was measured using a scanning electron microscope (SEM), they were 0.07 μm and 1.4 μm, respectively. Further, the volume resistivity value of the composite sintered body was measured at 20 ° C., which is the operating temperature of the electrostatic chuck device, and found to be 2 × 10 11 Ω · cm.

次いで、この2枚の複合焼結体のうち、一方の複合焼結体の中心より100mm離れた位置に内径5mmの電極挿入孔27を機械加工により形成し、絶縁部材22とした。
また、この絶縁部材22の内部電極を形成する領域内(中心から半径145mm内の領域)に、スクリーン印刷法により導電性ペースト(導電性フィラーを含むペースト)を塗布し、導電層を形成した。
導電性ペーストとしては、炭化タンタル粉末と酸化アルミニウム粉末とを、炭化タンタル粉末/酸化アルミニウム粉末が体積比で35/65となるよう秤量し、有機溶剤等を加えて混練したものを用いた。
Next, an electrode insertion hole 27 having an inner diameter of 5 mm was formed by machining at a position 100 mm away from the center of one of the two composite sintered bodies to form an insulating member 22.
In addition, a conductive paste (a paste containing a conductive filler) was applied by screen printing to a region (region having a radius of 145 mm from the center) in which the internal electrode of the insulating member 22 was to be formed, thereby forming a conductive layer.
As the conductive paste, a tantalum carbide powder and an aluminum oxide powder were weighed so that the volume ratio of tantalum carbide powder / aluminum oxide powder was 35/65, and an organic solvent or the like was added and kneaded.

また、この絶縁部材22の導電層を形成した領域の外側の領域(中心から半径145〜149mmの領域)に、スクリーン印刷法により酸化アルミニウム粉末を含むペーストを塗布し、絶縁層を形成した。
一方、上記の2枚の複合焼結体のうち、他方の複合焼結体の表面(板状試料の載置面となる面)をRa(中心線平均粗さ)が0.3μmとなるように研磨して平坦面とし、誘電体板21とした。
In addition, a paste containing aluminum oxide powder was applied by screen printing to a region outside the region where the conductive layer of the insulating member 22 was formed (region having a radius of 145 to 149 mm from the center) to form an insulating layer.
On the other hand, Ra (center line average roughness) of the surface of the other composite sintered body (surface on which the plate-like sample is placed) of the two composite sintered bodies is 0.3 μm. A dielectric plate 21 was obtained by polishing to a flat surface.

次いで、上記の電極挿入孔27に炭化タンタルからなる取り出し電極28を挿入し、この絶縁部材22の導電層及び絶縁層が形成されている面と、誘電体板21の研磨されていない面とを、対向して重ね合わせ、次いで、これら誘電体板21及び絶縁部材22を、1700℃に加熱しながら7.5MPaに加圧し、導電層により内部電極23を形成するとともに、絶縁層により接合層となる絶縁部材24を形成し、誘電体板21及び絶縁部材22を内部電極23及び絶縁部材24を介して接合した。   Next, an extraction electrode 28 made of tantalum carbide is inserted into the electrode insertion hole 27, and the surface of the insulating member 22 where the conductive layer and the insulating layer are formed and the surface of the dielectric plate 21 that is not polished are formed. Then, the dielectric plate 21 and the insulating member 22 are pressed to 7.5 MPa while being heated to 1700 ° C., and the internal electrode 23 is formed by the conductive layer, and the bonding layer is formed by the insulating layer. The insulating member 24 is formed, and the dielectric plate 21 and the insulating member 22 are joined via the internal electrode 23 and the insulating member 24.

次いで、誘電体板21の平坦面(板状試料の載置面となる面)を、Ra(中心線平均粗さ)が0.05μmとなるように研磨し、その後、ブラスト加工により、壁部33、33B、33Cと、断面積が0.2mmの円柱状の突起部31、31…と、断面積が7×10−4mmの円柱状の微小突起部32、32…とを形成した。 Next, the flat surface of the dielectric plate 21 (surface on which the plate-like sample is placed) is polished so that Ra (center line average roughness) is 0.05 μm, and then the wall portion is formed by blasting. forming 33,33B, and 33C, a cylindrical protrusion 31, 31 of the cross-sectional area 0.2 mm 2, the cross-sectional area of 7 × 10 -4 mm 2 cylindrical and minute projections 32, 32 ... did.

ここでは、突起部31の頂面31aの合計面積の吸着領域の全面積に対する比を1%、1つの突起部31の頂面31aにおける微小突起部32、32、…の合計面積の突起部31の頂面31aに対する面積比を30%とした。
また、平坦部36からの壁部33B、33Cの頂面までの高さh1を30μm、平坦部36からの突起部31の高さh2を25μm、突起部31の頂面31aからの微小突起部32の高さh3を5μmとした。また、壁部33B、33Cの幅を、共に25μmとした。
Here, the ratio of the total area of the top surface 31a of the protrusion 31 to the total area of the adsorption region is 1%, and the protrusion 31 has the total area of the minute protrusions 32, 32,... On the top surface 31a of one protrusion 31. The area ratio with respect to the top surface 31a was 30%.
Further, the height h1 from the flat portion 36 to the top surfaces of the wall portions 33B and 33C is 30 μm, the height h2 of the protruding portion 31 from the flat portion 36 is 25 μm, and the minute protruding portion from the top surface 31a of the protruding portion 31 The height h3 of 32 was 5 μm. Further, the widths of the wall portions 33B and 33C were both set to 25 μm.

以上のようにして製造された基体12の下部に、内部に冷却用媒体を循環させる流路25が形成された金属製の温度調整ベース部材14をシリコーン系接着剤13にて接着一体化し、静電チャック装置を得た。   A metallic temperature adjusting base member 14 having a flow path 25 for circulating a cooling medium therein is bonded and integrated with the silicone-based adhesive 13 at the bottom of the base 12 manufactured as described above, An electric chuck device was obtained.

(静電チャック装置の特性評価)
この静電チャック装置をプラズマCVD装置に搭載し、静電チャック装置の板状試料載置面に直径30cm(12インチ)のシリコンウエハーを、直流1000Vの印加電圧で吸着固定しつつ、シランガスと酸素ガスとの混合ガス(シラン:20v/v%、酸素:80v/v%)を用いて発生させたプラズマ雰囲気下にて、静電チャック装置の板状試料載置面と板状試料との間にHeガスを圧力1.33×10Pa(10torr)にて流しつつ、また、温度調整ベース部材14の流路25に20℃の冷却水を流しつつ、シリコンウエハー上にSiO膜を成膜し、処理枚数:1枚、100枚、1000枚毎に平均膜厚を測定した。
(Characteristic evaluation of electrostatic chuck device)
This electrostatic chuck apparatus is mounted on a plasma CVD apparatus, and a silicon wafer having a diameter of 30 cm (12 inches) is adsorbed and fixed to a plate-like sample mounting surface of the electrostatic chuck apparatus with a DC 1000 V applied voltage, while silane gas and oxygen Between the plate-like sample mounting surface of the electrostatic chuck device and the plate-like sample in a plasma atmosphere generated using a gas mixture with the gas (silane: 20 v / v%, oxygen: 80 v / v%) A SiO 2 film is formed on the silicon wafer while flowing He gas at a pressure of 1.33 × 10 3 Pa (10 torr) and flowing cooling water at 20 ° C. through the flow path 25 of the temperature adjusting base member 14. Films were processed, and the average film thickness was measured every 1 processed, 100 processed, and 1000 processed sheets.

また、このときのシリコンウエハーの温度を測定するとともに、成膜処理後のシリコンウエハー裏面のパーティクル付着量を測定した。また、Heリーク試験は、別途、シリコンウエハーを直流1000Vの印加電圧で吸着固定し、冷却ガス導入孔29より4.00×10Pa(30torr)のガス圧力にてHeを導入し、そのリーク量を測定した。得られた結果を表1に示す。 In addition, the temperature of the silicon wafer at this time was measured, and the amount of adhered particles on the back surface of the silicon wafer after the film formation process was measured. In the He leak test, a silicon wafer was separately adsorbed and fixed at an applied voltage of 1000 V DC, and He was introduced from the cooling gas introduction hole 29 at a gas pressure of 4.00 × 10 3 Pa (30 torr). The amount was measured. The obtained results are shown in Table 1.

また、プラズマ処理装置のチャンバー内に、フロン:50v/v%、酸素:50v/v%の混合ガスを1.33×10−1Pa(0.01torr)にて導入し、発生させたプラズマ雰囲気下にて、上部出力1kW、下部バイアス出力0.5kWの条件下、ウエハレスドライクリーニング(WLDC)処理を行った。
ウエハレスドライクリーニング(WLDC)処理の累積時間が1時間、50時間、200時間を経過する毎に、それぞれ上記と同様にして、SiO膜の膜厚、シリコンウエハーの温度、パーティクル数、Heリーク量を測定した。得られた結果を表2に示す。
Further, a plasma atmosphere generated by introducing a mixed gas of Freon: 50 v / v% and oxygen: 50 v / v% at 1.33 × 10 −1 Pa (0.01 torr) into the chamber of the plasma processing apparatus. Below, a waferless dry cleaning (WLDC) process was performed under conditions of an upper output of 1 kW and a lower bias output of 0.5 kW.
Each time the cumulative time of the waferless dry cleaning (WLDC) process passes 1 hour, 50 hours, and 200 hours, the SiO 2 film thickness, the silicon wafer temperature, the number of particles, and the He leak are the same as above. The amount was measured. The obtained results are shown in Table 2.

「実施例2」
実施例1と同様にして静電チャック装置を得た。
ただし、突起部31の頂面31aの合計面積の吸着領域の全面積に対する比を8%、1つの突起部31の頂面31aにおける微小突起部32、32…の合計面積の突起部31の頂面31aに対する面積比を4%とした。
そして、実施例1に準じて、得られた静電チャック装置の各種特性を評価した。得られた結果を表3、表4に示した。
"Example 2"
An electrostatic chuck device was obtained in the same manner as in Example 1.
However, the ratio of the total area of the top surface 31a of the protrusion 31 to the total area of the adsorption region is 8%, and the top of the protrusion 31 of the total area of the small protrusions 32, 32. The area ratio to the surface 31a was 4%.
And according to Example 1, the various characteristics of the obtained electrostatic chuck apparatus were evaluated. The obtained results are shown in Tables 3 and 4.

「比較例」
実施例1と同様にして静電チャック装置を得た。
ただし、突起部31の頂面31aには微小突起部32、32…を形成せず、この頂面31aに表面粗し加工を施した。表面粗し加工を行った頂面31aの表面粗さRa(中心線平均粗さ)は0.35μmであった。
そして、実施例1に準じて、得られた静電チャック装置の各種特性を評価した。得られた結果を表5、表6に示した。
"Comparative example"
An electrostatic chuck device was obtained in the same manner as in Example 1.
However, the fine protrusions 32, 32... Were not formed on the top surface 31a of the protrusion 31, and the surface 31a was roughened. The surface roughness Ra (centerline average roughness) of the top surface 31a subjected to the surface roughening was 0.35 μm.
And according to Example 1, the various characteristics of the obtained electrostatic chuck apparatus were evaluated. The obtained results are shown in Tables 5 and 6.

Figure 2006049352
Figure 2006049352

Figure 2006049352
Figure 2006049352

Figure 2006049352
Figure 2006049352

Figure 2006049352
Figure 2006049352

Figure 2006049352
Figure 2006049352

Figure 2006049352
Figure 2006049352

「評価結果」
上記の評価結果から、次のことが分かった。
実施例1、2では、ウエハー処理枚数が1000枚まで増加した場合においても、SiO膜の膜厚、ウエハー温度、Heリーク量は変化しないか、変化したとしてもその変化量が小さかった。このことは、静電チャック装置の板状試料載置面が磨耗しても、その影響がないことを示している。また、パーティクル付着量も少なかった。
"Evaluation results"
The following was found from the above evaluation results.
In Examples 1 and 2, even when the number of processed wafers increased to 1000, the film thickness of the SiO 2 film, the wafer temperature, and the He leak amount did not change, or even if they changed, the change amount was small. This indicates that there is no influence even if the plate-like sample mounting surface of the electrostatic chuck device is worn. Also, the amount of particle adhesion was small.

実施例1、2では、ウエハレスドライクリーニングの累積時間が250時間に達した場合であっても、SiO膜の膜厚、Heリーク量、ウエハー温度の変化も小さい。このことは、静電チャック装置の板状試料載置面がプラズマによる損傷を受けず、例え損傷を受けてもその影響が軽微であることを示している。また、パーティクル付着量も少ない。 In Examples 1 and 2, even when the cumulative time of waferless dry cleaning reaches 250 hours, changes in the thickness of the SiO 2 film, the amount of He leak, and the wafer temperature are small. This indicates that the plate-like sample mounting surface of the electrostatic chuck device is not damaged by plasma, and the influence is slight even if it is damaged. Also, the amount of particle adhesion is small.

比較例では、ウエハー処理枚数が増加するに伴ってSiO膜の膜厚、ウエハー温度、Heリーク量が大きく変化していた。このことは、静電チャック装置の板状試料載置面が磨耗すると、その影響が大きいことを示している。また、パーティクル付着量も多い。
この比較例では、ウエハレスドライクリーニングの累積時間が増加するに伴って、SiO膜の膜厚、ウエハー温度、Heリーク量が大きく変化していた。
このことは、静電チャック装置の板状試料載置面がプラズマによる損傷を受け、その影響が大きいことを示している。また、パーティクル付着量も多い。
In the comparative example, as the number of wafers processed increased, the SiO 2 film thickness, wafer temperature, and He leak amount changed greatly. This indicates that the influence is great when the plate-like sample mounting surface of the electrostatic chuck device is worn. Also, the amount of particle adhesion is large.
In this comparative example, as the accumulated time of the waferless dry cleaning increases, the film thickness of the SiO 2 film, the wafer temperature, and the amount of He leak change greatly.
This indicates that the plate-like sample mounting surface of the electrostatic chuck apparatus is damaged by the plasma, and the influence thereof is large. Also, the amount of particle adhesion is large.

以上により、本実施形態の静電チャック装置によれば、多数の微小突起部32が板状試料Wとの接触により磨耗して微小突起部32の頂面32aの表面状態が変動したとしても、その影響は非常に小さいことが分かった。
また、ウエハレスドライクリーニングの累積時間が増加した場合であっても、静電チャック装置の板状試料載置面の表面状態の変動が軽微であり、よって、板状試料Wとの間の熱伝導特性が変化することはなく、板状試料の処理枚数が増加しても、板状試料ごとに均一な処理を施すことができ、パーティクルの付着量も少ない。
As described above, according to the electrostatic chuck device of the present embodiment, even if a large number of microprojections 32 are worn by contact with the plate-like sample W and the surface state of the top surface 32a of the microprojections 32 varies. The effect was found to be very small.
Even when the accumulated time of the waferless dry cleaning is increased, the fluctuation of the surface state of the plate-like sample mounting surface of the electrostatic chuck device is slight, so that the heat with the plate-like sample W can be reduced. The conduction characteristics do not change, and even if the number of processed plate samples increases, uniform processing can be performed for each plate sample, and the amount of adhered particles is small.

本発明の第1の実施形態の静電チャック装置を示す断面図である。It is sectional drawing which shows the electrostatic chuck apparatus of the 1st Embodiment of this invention. 本発明の第1の実施形態の静電チャック装置の要部を示す拡大断面図である。It is an expanded sectional view showing the important section of the electrostatic chuck device of a 1st embodiment of the present invention. 本発明の第1の実施形態の静電チャック装置の要部を示す拡大平面図である。It is an enlarged plan view which shows the principal part of the electrostatic chuck apparatus of the 1st Embodiment of this invention. 本発明の第1の実施形態の静電チャック装置の微小突起部を示す断面図である。It is sectional drawing which shows the microprotrusion part of the electrostatic chuck apparatus of the 1st Embodiment of this invention. 本発明の第1の実施形態の複合焼結体をブラスト加工法を用いて製造する方法を示す過程図である。It is process drawing which shows the method of manufacturing the composite sintered compact of the 1st Embodiment of this invention using a blasting method. 本発明の第2の実施形態の静電チャック装置の周縁部近傍を示す断面図である。It is sectional drawing which shows the peripheral part vicinity of the electrostatic chuck apparatus of the 2nd Embodiment of this invention. 本発明の第2の実施形態の静電チャック装置の周縁部近傍を示す平面図である。It is a top view which shows the peripheral part vicinity of the electrostatic chuck apparatus of the 2nd Embodiment of this invention. 本発明の第3の実施形態の静電チャック装置の周縁部近傍を示す断面図である。It is sectional drawing which shows the peripheral part vicinity of the electrostatic chuck apparatus of the 3rd Embodiment of this invention. 本発明の第3の実施形態の静電チャック装置の周縁部近傍を示す平面図である。It is a top view which shows the peripheral part vicinity of the electrostatic chuck apparatus of the 3rd Embodiment of this invention. 本発明の第4の実施形態の静電チャック装置の周縁部近傍を示す断面図である。It is sectional drawing which shows the peripheral part vicinity of the electrostatic chuck apparatus of the 4th Embodiment of this invention. 本発明の第4の実施形態の静電チャック装置の周縁部近傍を示す平面図である。It is a top view which shows the peripheral part vicinity of the electrostatic chuck apparatus of the 4th Embodiment of this invention. 従来のサセプタ装置の1例を示す断面図である。It is sectional drawing which shows an example of the conventional susceptor apparatus.

符号の説明Explanation of symbols

11、51、61、71 静電チャック装置
12 基体
13 シリコン樹脂系接着剤
14 温度調節ベース部材
21 誘電体板
21a 上面
21b 下面
22 絶縁部材
23 内部電極
24 絶縁部材
25 流路
26 貫通孔
27 電極挿入孔
28 取り出し電極
29 冷却ガス導入孔
31 突起部
31a 頂面
32 微小突起部
32a 頂面
33、33B、33C 壁部
41 炭化珪素−酸化アルミニウム複合焼結体
41a 表面
42、43 マスク
52 段差部
11, 51, 61, 71 Electrostatic chuck device 12 Substrate 13 Silicon resin adhesive 14 Temperature control base member 21 Dielectric plate 21a Upper surface 21b Lower surface 22 Insulating member 23 Internal electrode 24 Insulating member 25 Channel 26 Through-hole 27 Electrode insertion Hole 28 Extraction electrode 29 Cooling gas introduction hole 31 Projection portion 31a Top surface 32 Micro projection portion 32a Top surface 33, 33B, 33C Wall portion 41 Silicon carbide-aluminum oxide composite sintered body 41a Surface 42, 43 Mask 52 Step portion

Claims (13)

基体の一主面に複数の突起部を設け、これらの突起部それぞれの頂面に複数の微小突起部を設け、これら微小突起部それぞれの頂面を板状試料を載置する載置面としたことを特徴とするサセプタ装置。   A plurality of projections are provided on one main surface of the substrate, a plurality of microprojections are provided on the top surfaces of each of these projections, and the top surface of each of these microprojections is a placement surface on which a plate-like sample is placed; A susceptor device characterized by that. 前記突起部の前記一主面に沿う断面積は8×10−3mm以上かつ8×10−1mm以下であり、前記微小突起部の前記一主面に沿う断面積は2×10−5mm以上かつ2×10−3mm以下であることを特徴とする請求項1記載のサセプタ装置。 The cross-sectional area along the one principal surface of the protrusion is 8 × 10 −3 mm 2 or more and 8 × 10 −1 mm 2 or less, and the cross-sectional area along the one principal surface of the minute protrusion is 2 × 10. The susceptor device according to claim 1, wherein the susceptor device is −5 mm 2 or more and 2 × 10 −3 mm 2 or less. 前記微小突起部の前記突起部の頂面からの高さは、1μm以上かつ10μm以下であることを特徴とする請求項1または2記載のサセプタ装置。   3. The susceptor device according to claim 1, wherein a height of the minute protrusion from a top surface of the protrusion is 1 μm or more and 10 μm or less. 前記突起部における前記複数の微小突起部の前記一主面に沿う面積の合計は、前記突起部の頂面の面積の1%以上かつ40%以下であることを特徴とする請求項1、2または3記載のサセプタ装置。   The total of the areas along the one main surface of the plurality of micro projections in the projection is 1% or more and 40% or less of the area of the top surface of the projection. Or the susceptor apparatus of 3. 前記微小突起部の頂面の周縁部は、曲面とされていることを特徴とする請求項1ないし4のいずれか1項記載のサセプタ装置。   The susceptor device according to any one of claims 1 to 4, wherein a peripheral edge portion of a top surface of the microprojection portion is a curved surface. 前記曲面の曲率半径は、0.5μm以上かつ5μm以下であることを特徴とする請求項5記載のサセプタ装置。   The susceptor device according to claim 5, wherein a radius of curvature of the curved surface is not less than 0.5 μm and not more than 5 μm. 前記複数の突起部及び前記複数の微小突起部は、炭化ケイ素を1重量%以上かつ12重量%以下含む酸化アルミニウム−炭化ケイ素複合焼結体であり、前記酸化アルミニウムの平均粒子径は2μm以下、前記炭化ケイ素の平均粒子径は0.2μm以下であることを特徴とする請求項1ないし6のいずれか1項記載のサセプタ装置。   The plurality of protrusions and the plurality of minute protrusions are an aluminum oxide-silicon carbide composite sintered body containing silicon carbide in an amount of 1 wt% to 12 wt%, and the average particle diameter of the aluminum oxide is 2 μm or less. The susceptor device according to claim 1, wherein the silicon carbide has an average particle size of 0.2 μm or less. 前記一主面の周縁部に沿って連続する壁部を設け、この壁部の頂面及び前記微小突起部の頂面を前記板状試料を載置する載置面としたことを特徴とする請求項1ないし7のいずれか1項記載のサセプタ装置。   A continuous wall portion is provided along the peripheral edge of the one main surface, and the top surface of the wall portion and the top surface of the microprojection portion are used as a mounting surface on which the plate-like sample is mounted. The susceptor device according to any one of claims 1 to 7. 前記壁部の頂面の内周側には、その周方向に沿う段差部が形成され、前記頂面の前記段差部を除く領域を前記板状試料を載置する載置面としたことを特徴とする請求項8記載のサセプタ装置。   A step portion along the circumferential direction is formed on the inner peripheral side of the top surface of the wall portion, and a region excluding the step portion on the top surface is used as a mounting surface on which the plate-like sample is mounted. The susceptor device according to claim 8. 前記段差部に、複数の第2の微小突起部を設け、これら第2の微小突起部それぞれの頂面を前記板状試料を載置する載置面としたことを特徴とする請求項9記載のサセプタ装置。   10. The step portion is provided with a plurality of second microprojections, and the top surface of each of the second microprojections is a mounting surface on which the plate-like sample is placed. Susceptor device. 前記段差部に、その周方向に沿って連続する第2の壁部を設け、この第2の壁部の頂面を前記板状試料を載置する載置面としたことを特徴とする請求項9または10記載のサセプタ装置。   The step portion is provided with a second wall portion continuous in the circumferential direction, and the top surface of the second wall portion is used as a placement surface on which the plate-like sample is placed. Item 11. The susceptor device according to Item 9 or 10. 前記第2の壁部の前記段差部からの高さは、1μm以上かつ10μm以下であることを特徴とする請求項11記載のサセプタ装置。   The susceptor device according to claim 11, wherein a height of the second wall portion from the stepped portion is 1 µm or more and 10 µm or less. 前記基体内に、内部電極を備えたことを特徴とする請求項1ないし12のいずれか1項記載のサセプタ装置。   The susceptor device according to any one of claims 1 to 12, wherein an internal electrode is provided in the base.
JP2004223995A 2004-07-30 2004-07-30 Susceptor device Expired - Fee Related JP4417197B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2004223995A JP4417197B2 (en) 2004-07-30 2004-07-30 Susceptor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004223995A JP4417197B2 (en) 2004-07-30 2004-07-30 Susceptor device

Publications (2)

Publication Number Publication Date
JP2006049352A true JP2006049352A (en) 2006-02-16
JP4417197B2 JP4417197B2 (en) 2010-02-17

Family

ID=36027596

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004223995A Expired - Fee Related JP4417197B2 (en) 2004-07-30 2004-07-30 Susceptor device

Country Status (1)

Country Link
JP (1) JP4417197B2 (en)

Cited By (291)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006351949A (en) * 2005-06-17 2006-12-28 Tokyo Electron Ltd Substrate mounting base, method for manufacturing the same and substrate processing apparatus
JP2008085129A (en) * 2006-09-28 2008-04-10 Taiheiyo Cement Corp Substrate mounting apparatus
JP2008198800A (en) * 2007-02-13 2008-08-28 Bridgestone Corp Heat treatment tool
JP2008244149A (en) * 2007-03-27 2008-10-09 Taiheiyo Cement Corp Electrostatic chuck and manufacturing method thereof
JP2008252012A (en) * 2007-03-30 2008-10-16 Applied Materials Inc Wafer transferring blade
WO2009051087A1 (en) * 2007-10-19 2009-04-23 Mitsubishi Heavy Industries, Ltd. Plasma film forming apparatus
US7646581B2 (en) 2006-01-31 2010-01-12 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck
JP2010050396A (en) * 2008-08-25 2010-03-04 Hitachi High-Technologies Corp Plasma processing device
JP2010147080A (en) * 2008-12-16 2010-07-01 Shin Etsu Handotai Co Ltd Susceptor for vapor deposition, vapor deposition apparatus, and manufacturing method of epitaxial wafer
JP2010205813A (en) * 2009-03-02 2010-09-16 Shinko Electric Ind Co Ltd Electrostatic chuck
JP2011508079A (en) * 2007-12-19 2011-03-10 アプライド マテリアルズ インコーポレイテッド Method for cleaning process kit and chamber, and method for recovering ruthenium
WO2011118159A1 (en) * 2010-03-26 2011-09-29 株式会社アルバック Substrate holding device
JP2012028539A (en) * 2010-07-23 2012-02-09 Ngk Spark Plug Co Ltd Ceramic joined body
WO2014018285A1 (en) * 2012-07-27 2014-01-30 Applied Materials, Inc. Roughened substrate support
JP2014027207A (en) * 2012-07-30 2014-02-06 Hitachi Chemical Co Ltd Dielectric body and electrostatic chuck using the same
KR20150136483A (en) * 2013-03-29 2015-12-07 스미토모 오사카 세멘토 가부시키가이샤 Electrostatic chuck device
KR20180060954A (en) * 2016-11-29 2018-06-07 램 리써치 코포레이션 Substrate support with varying depths of areas between mesas and corresponding temperature dependent method of fabricating
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
WO2024135320A1 (en) * 2022-12-23 2024-06-27 住友大阪セメント株式会社 Electrostatic chuck member and electrostatic chuck device
WO2024135319A1 (en) * 2022-12-23 2024-06-27 住友大阪セメント株式会社 Electrostatic chuck member and electrostatic chuck device
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US12027365B2 (en) 2020-11-24 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices
US12033885B2 (en) 2020-01-06 2024-07-09 Asm Ip Holding B.V. Channeled lift pin
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US12051567B2 (en) 2021-10-04 2024-07-30 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including gas supply unit

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10262886B2 (en) * 2014-09-30 2019-04-16 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck device

Cited By (373)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006351949A (en) * 2005-06-17 2006-12-28 Tokyo Electron Ltd Substrate mounting base, method for manufacturing the same and substrate processing apparatus
JP4657824B2 (en) * 2005-06-17 2011-03-23 東京エレクトロン株式会社 Substrate mounting table, substrate processing apparatus, and method for manufacturing substrate mounting table
US7646581B2 (en) 2006-01-31 2010-01-12 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck
JP2008085129A (en) * 2006-09-28 2008-04-10 Taiheiyo Cement Corp Substrate mounting apparatus
JP2008198800A (en) * 2007-02-13 2008-08-28 Bridgestone Corp Heat treatment tool
JP2008244149A (en) * 2007-03-27 2008-10-09 Taiheiyo Cement Corp Electrostatic chuck and manufacturing method thereof
KR100989752B1 (en) 2007-03-30 2010-10-26 어플라이드 머티어리얼스, 인코포레이티드 Wafer transfer blade
JP2008252012A (en) * 2007-03-30 2008-10-16 Applied Materials Inc Wafer transferring blade
JP4516089B2 (en) * 2007-03-30 2010-08-04 アプライド マテリアルズ インコーポレイテッド Wafer transfer blade
TWI455237B (en) * 2007-03-30 2014-10-01 Applied Materials Inc Wafer transfer blade
WO2009051087A1 (en) * 2007-10-19 2009-04-23 Mitsubishi Heavy Industries, Ltd. Plasma film forming apparatus
JP2011508079A (en) * 2007-12-19 2011-03-10 アプライド マテリアルズ インコーポレイテッド Method for cleaning process kit and chamber, and method for recovering ruthenium
JP2014194085A (en) * 2007-12-19 2014-10-09 Quantam Global Technologies Llc Method for cleaning process kit and chamber, and method for recovering ruthenium
JP2010050396A (en) * 2008-08-25 2010-03-04 Hitachi High-Technologies Corp Plasma processing device
JP2010147080A (en) * 2008-12-16 2010-07-01 Shin Etsu Handotai Co Ltd Susceptor for vapor deposition, vapor deposition apparatus, and manufacturing method of epitaxial wafer
JP2010205813A (en) * 2009-03-02 2010-09-16 Shinko Electric Ind Co Ltd Electrostatic chuck
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011118159A1 (en) * 2010-03-26 2011-09-29 株式会社アルバック Substrate holding device
US8817449B2 (en) 2010-03-26 2014-08-26 Ulvac, Inc. Substrate holding device
CN102870205A (en) * 2010-03-26 2013-01-09 株式会社爱发科 Substrate holding device
KR101390444B1 (en) * 2010-03-26 2014-04-30 가부시키가이샤 알박 Substrate holding device
JP5597695B2 (en) * 2010-03-26 2014-10-01 株式会社アルバック Substrate holding device and substrate holding method
JP2012028539A (en) * 2010-07-23 2012-02-09 Ngk Spark Plug Co Ltd Ceramic joined body
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10434629B2 (en) 2012-07-27 2019-10-08 Applied Materials, Inc. Roughened substrate support
WO2014018285A1 (en) * 2012-07-27 2014-01-30 Applied Materials, Inc. Roughened substrate support
JP2014027207A (en) * 2012-07-30 2014-02-06 Hitachi Chemical Co Ltd Dielectric body and electrostatic chuck using the same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
KR101986266B1 (en) 2013-03-29 2019-06-07 스미토모 오사카 세멘토 가부시키가이샤 Electrostatic chuck device
KR20150136483A (en) * 2013-03-29 2015-12-07 스미토모 오사카 세멘토 가부시키가이샤 Electrostatic chuck device
US20160036355A1 (en) * 2013-03-29 2016-02-04 Smitomo Osaka Cement Co., Ltd. Electrostatic chuck device
US10389278B2 (en) * 2013-03-29 2019-08-20 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck device with multiple fine protrusions or multiple fine recesses
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
CN108335993A (en) * 2016-11-29 2018-07-27 朗姆研究公司 The substrate support and temperature dependency manufacturing method that regional depth changes between table top
CN108335993B (en) * 2016-11-29 2023-08-18 朗姆研究公司 Substrate support with varying depth of inter-mesa regions and temperature-dependent fabrication method
KR20180060954A (en) * 2016-11-29 2018-06-07 램 리써치 코포레이션 Substrate support with varying depths of areas between mesas and corresponding temperature dependent method of fabricating
KR102537060B1 (en) * 2016-11-29 2023-05-25 램 리써치 코포레이션 Substrate support with varying depths of areas between mesas and corresponding temperature dependent method of fabricating
JP2018098497A (en) * 2016-11-29 2018-06-21 ラム リサーチ コーポレーションLam Research Corporation Substrate support having area between mesas of different depth and corresponding temperature dependent processing method
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US12000042B2 (en) 2016-12-15 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US12043899B2 (en) 2017-01-10 2024-07-23 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US12033861B2 (en) 2017-10-05 2024-07-09 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US12040184B2 (en) 2017-10-30 2024-07-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US12020938B2 (en) 2018-03-27 2024-06-25 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11996304B2 (en) 2019-07-16 2024-05-28 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US12040229B2 (en) 2019-08-22 2024-07-16 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US12033849B2 (en) 2019-08-23 2024-07-09 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by PEALD using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US12033885B2 (en) 2020-01-06 2024-07-09 Asm Ip Holding B.V. Channeled lift pin
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US12027365B2 (en) 2020-11-24 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US12051567B2 (en) 2021-10-04 2024-07-30 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including gas supply unit
WO2024135320A1 (en) * 2022-12-23 2024-06-27 住友大阪セメント株式会社 Electrostatic chuck member and electrostatic chuck device
WO2024135319A1 (en) * 2022-12-23 2024-06-27 住友大阪セメント株式会社 Electrostatic chuck member and electrostatic chuck device

Also Published As

Publication number Publication date
JP4417197B2 (en) 2010-02-17

Similar Documents

Publication Publication Date Title
JP4417197B2 (en) Susceptor device
JP4739039B2 (en) Electrostatic chuck device
US7646581B2 (en) Electrostatic chuck
JP5011736B2 (en) Electrostatic chuck device
JP6119430B2 (en) Electrostatic chuck device
US8264813B2 (en) Electrostatic chuck device
US7619870B2 (en) Electrostatic chuck
TWI518835B (en) Electrostatic chuck device
JP6064908B2 (en) Electrostatic chuck device
US8284538B2 (en) Electrostatic chuck device
KR101217379B1 (en) Focus ring and susbstrate mounting system
US20080062609A1 (en) Electrostatic chuck device
KR20020019030A (en) Electrostatic chuck and treating device
JP4943086B2 (en) Electrostatic chuck apparatus and plasma processing apparatus
EP1376660A2 (en) Wafer heating apparatus with electrostatic attraction function
US20080062610A1 (en) Electrostatic chuck device
US20210013081A1 (en) Ceramic substrate and susceptor
JP4943085B2 (en) Electrostatic chuck apparatus and plasma processing apparatus
JP2007201068A (en) Electrostatic chuck
JP4031419B2 (en) Electrostatic chuck and manufacturing method thereof
JP2008042140A (en) Electrostatic chuck device
JP2008300374A (en) Electrostatic suction apparatus
JP2008159900A (en) Ceramic heater with electrostatic chuck
JP2008042137A (en) Electrostatic chuck device
JP2019179780A (en) Manufacturing method of electrostatic chuck device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061206

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090330

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090825

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091023

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20091117

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20091125

R150 Certificate of patent or registration of utility model

Ref document number: 4417197

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121204

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121204

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131204

Year of fee payment: 4

LAPS Cancellation because of no payment of annual fees