JP3122618B2 - プラズマ処理装置 - Google Patents

プラズマ処理装置

Info

Publication number
JP3122618B2
JP3122618B2 JP08241313A JP24131396A JP3122618B2 JP 3122618 B2 JP3122618 B2 JP 3122618B2 JP 08241313 A JP08241313 A JP 08241313A JP 24131396 A JP24131396 A JP 24131396A JP 3122618 B2 JP3122618 B2 JP 3122618B2
Authority
JP
Japan
Prior art keywords
plasma
frequency
pulse
bias
power
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP08241313A
Other languages
English (en)
Other versions
JPH1064696A (ja
Inventor
地塩 輿水
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP08241313A priority Critical patent/JP3122618B2/ja
Priority to US08/907,418 priority patent/US5997687A/en
Priority to TW086111455A priority patent/TW355812B/zh
Priority to KR1019970040090A priority patent/KR100415226B1/ko
Publication of JPH1064696A publication Critical patent/JPH1064696A/ja
Application granted granted Critical
Publication of JP3122618B2 publication Critical patent/JP3122618B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、プラズマ処理装置
に関する。
【0002】
【従来の技術】従来より、半導体ウェハやLCD用基板
などの被処理体に対してエッチング処理などのプラズマ
処理を施すにあたり、気密な処理容器内に設けられた処
理室内に、上部電極と下部電極を対向配置し、少なくと
も上部電極と下部電極のいずれか一方に一定周波数の高
周波電力を印加して、処理室内に導入される所定の処理
ガスをプラズマ化し、被処理体に対して所定のプラズマ
処理を施すプラズマ処理装置が提案されている。
【0003】しかし、一定周波数の高周波電力を電極に
連続して印加すると、処理室内の電子温度が上昇するた
め、処理ガスの解離が過度に進行して、選択比及びホー
ル内のエッチングレートが低下するという問題が生じる
ことがある。そのため、従来の処理装置では、選択比あ
るいはホール内のエッチングレートの向上には自ずと限
界があり、特に最近の半導体デバイスの超高集積化及び
小型化に伴って求められる超微細加工(例えば、高アス
ペクト比のホール加工など)においては、その限界の克
服が技術的要求項目として挙げられている。
【0004】そこで、少なくとも上部電極と下部電極の
いずれか一方に、オン/オフ制御またはハイ/ロウ制御
された高周波電力パルスを印加することにより、パルス
プラズマを励起して、パルスプラズマにより被処理体の
処理を行う技術が提案されている。かかる方法によれ
ば、連続的なプラズマでなく、間欠的なパルスプラズマ
が処理室内に励起されるため、処理室内の電子温度の上
昇を抑制することができ、また処理ガスを任意の速度で
解離させることが可能となるため、選択比及びホール内
のエッチングレートを向上させることができる。
【0005】
【発明が解決しようとする課題】ところで、RF電力が
オフの場合などのように対向電極間にプラズマが存在し
ない場合や、RF電力がロウの場合やアフタグロー放電
の場合などのように対向電極間のプラズマが薄い場合に
は、対向電極間のキャパシタンスが小さいため、共振条
件が整いにくい。したがって、従来の装置または方法の
ように、単にパルス変調した高周波電力を電極に印加す
るだけでは、パルスプラズマの着火が困難であり、エッ
チングレートは減少してしまうという問題があった。さ
らに、従来の装置または方法では、各パルスのオン直後
には、電子温度が急激に上昇し、ウェハにダメージを与
えるおそれもあった。さらに、パルスのオンあるいはオ
フ時には、短時間でいわゆる電極間のインピーダンスの
急激な変化が起こるため、従来のマッチング回路ではそ
の変化に追従できず、反射波を緩和することが困難であ
るという問題もあった。
【0006】さらにまた、下部電極に対してバイアス用
の高周波電力を印加するプラズマ処理装置において、そ
のバイアス用高周波電力もパルス変調した場合には、上
部電極あるいは下部電極のどちらか一方にパルス変調さ
れた高周波電力を印加するプラズマ処理装置に比べ、均
一なパルスプラズマを被処理体に引き込むことができる
反面、そのパルスのオンあるいはオフ時に被処理体のV
PPまたはVDCが急激に変化し、例えば半導体ウェハのゲ
ート酸化膜を破壊するなど、被処理体に対してダメージ
を与えてしまうことがあり、問題とされていた。
【0007】本発明は、従来のプラズマ処理装置が有す
る上記のような問題点に鑑みてなされたものであり、電
極に印加されるパルス変調された高周波電力の周波数の
制御を行うことにより、最適なパルスプラズマを励起し
て被処理体に対して所望の均一なプラズマ処理を施すこ
とが可能な、新規かつ改良されたプラズマ処理装置を提
供することを目的としている。
【0008】
【課題を解決するための手段】上記課題を解決するた
め、請求項1に記載の発明は、プラズマ源に印加される
高周波電力をオン/オフ制御またはハイ/ロウ制御して
パルスプラズマを励起し、処理室内に載置された被処理
体に対して所定のプラズマ処理を施すプラズマ処理装置
において、高周波電力の周波数を、各パルスプラズマの
着火時に、パルスプラズマが励起している定常時よりも
相対的に高い周波数にシフトさせる周波数制御手段を設
けたことを特徴としている。
【0009】かかる構成によれば、電極間のコンダクタ
ンスが小さいパルスプラズマの着火時に、印加される高
周波電力の周波数を、パルスプラズマが励起している定
常時よりも相対的に高い周波数にシフトさせるので、共
振条件が整い易くなり、したがって、追従が比較的遅い
従来のマッチング回路であっても、パルスプラズマの着
火が容易となり、所望の間隔で均一なパルスプラズマを
生成させることができ、安定した処理を行うことができ
る。
【0010】また、請求項2に記載の発明は、プラズマ
源に印加される高周波電力をオン/オフ制御またはハイ
/ロウ制御してパルスプラズマを励起し、処理室内に載
置された被処理体に対して所定のプラズマ処理を施すプ
ラズマ処理装置において、高周波電力の周波数を、アフ
ターグロープラズマ励起時に、プラズマ処理用のパルス
プラズマが励起している定常時よりも相対的に高い周波
数にシフトさせる周波数制御手段を設けたことを特徴と
している。
【0011】かかる構成によれば、比較的プラズマ密度
の薄いアフターグロープラズマを励起する場合であって
も、印加される高周波電力の周波数を、パルスプラズマ
が励起している定常時よりも相対的に高い周波数にシフ
トさせるので、共振条件が整い易くなり、したがって、
パルスプラズマの着火が容易となる。
【0012】請求項3に記載の発明は、被処理体をオン
/オフ制御またはハイ/ロウ制御可能なバイアス用高周
波電力を印加可能な載置台に載置することが可能なプラ
ズマ処理装置に適用され、被処理体の処理面上での電位
または処理面上での電位と見なされる電位のVPPまたは
VDCが実質的に一定になるようにバイアス用高周波電力
の出力を制御する電力制御手段を備えていることを特徴
としている。
【0013】そして、上記電位のVPPまたはVDCが実質
的に一定になるようにするためには、請求項4に記載の
発明のように、上記電力制御手段により、載置台に対し
て一定のバイアス用高周波電力を印加した場合に生じる
前記VPPまたはVDCの変化に応じて表れる波形の凹凸を
相対的に反転した凹凸の波形を実質的に有するようにバ
イアス用高周波電力の出力を制御することができる。
【0014】また、請求項5に記載の発明も、請求項3
に記載の発明と同様に、被処理体をオン/オフ制御また
はハイ/ロウ制御可能なバイアス用高周波電力を印加可
能な載置台に載置することが可能なプラズマ処理装置に
適用されるが、この場合には、被処理体の処理面上での
電位または処理面上での電位と見なされる電位のVPPま
たはVDCが実質的に一定になるようにバイアス用高周波
電力の周波数を制御する周波数制御手段を備えているこ
とを特徴としている。
【0015】そして、上記電位のVPPまたはVDCが実質
的に一定になるようにするためには、請請求項6に記載
の発明のように、上記周波数制御手段により、載置台に
対して一定のバイアス用高周波電力を印加した場合に生
じる前記VPPまたはVDCの変化に応じて表れる波形の凹
凸と相似する凹凸の波形を実質的に有するようにバイア
ス用高周波電力の周波数を制御することができる。
【0016】以上のように、請求項3〜6に記載の発明
によれば、被処理体を載置する載置台に対して、パルス
変調されるとともに、VPPまたはVDCが実質的に一定に
なるように出力または周波数を制御されたバイアス用高
周波電力を印加するので、そのパルスのオンあるいはオ
フ時に被処理体のVPPあるいはVDCがパルスのオンまた
はオフ時に急激に変化して被処理体にダメージを与える
ことがない。また処理室内の電子温度の急激な変化が生
じないため、均一なプラズマ処理を被処理体に施すこと
ができる。なお、本明細書において、VPPとは、ウェハ
(被処理体)に印加するRF電力の電圧の最大値と最小
値を示し、VDCとは、ウェハに印加するRF電力の電圧
の平均値をさすものとする。
【0017】また、請求項7に記載の発明のように、上
記バイアス用高周波電力のパルスを、プラズマ源に印加
される高周波電力のパルスよりも所定の遅延をもって印
加する構成を採用すれば、処理室内に最適な状態のパル
スプラズマが励起された後に、被処理体上に引き込むこ
とができるので、より効果的かつ均一なプラズマ処理を
行うことが可能となる。
【0018】
【発明の実施の形態】以下に添付図面を参照しながら、
本発明にかかるプラズマ処理装置を平行平板型エッチン
グ装置に適用した、実施の一形態について詳細に説明す
る。なお、以下の説明において、略同一の機能及び構成
を有する構成要素については、同一番号を付することに
より、重複説明を省略することにする。
【0019】まず、図1を参照しながら、本実施の形態
にかかるエッチング装置100の処理容器102の構成
について概略的に説明すると、処理容器102は、導電
性素材、例えば表面が陽極酸化処理されたアルミニウム
から成る略円筒形状を成しており、後述する載置台10
4上に載置される半導体ウェハ(以下、「ウェハ」と称
する。)Wなどの被処理体に対して所定のプラズマ処理
を施す処理室106は、この処理容器102内に形成さ
れいる。
【0020】上記処理室102内の底部には絶縁性素
材、例えばセラミックスの絶縁支持板103が設けられ
ており、この絶縁支持板103の上部に、被処理体、例
えばウェハWを載置可能な、略円柱状の導電性素材、例
えばアルマイト処理されたアルミニウムからなる載置台
104が形成されている。載置台104は、導電性素
材、例えば表面が陽極酸化処理されたアルミニウムから
成る略円筒形状を成しており、またウェハの載置面には
静電チャック111が設けらていて、ウェハWを吸着保
持するように構成されている。この載置台104は、下
部電極を構成するものであり、後述するように、増幅器
130、マッチング回路132を介して高周波電源13
6が電気的に接続されており、所定のバイアス用高周波
電力のパルスが印加されるように構成されている。
【0021】載置台104内には、冷媒循環手段105
が設けられており、載置台104を介して、ウェハW上
の被処理面を所定の温度に調節することが可能なように
構成されている。また、静電チャック111内には、不
図示の伝熱ガス供給孔が略同心円上に形成されており、
各伝熱ガス供給孔には伝熱ガス供給管113を介して伝
熱ガス、例えばヘリウムガスが上記ウェハWの裏面と静
電チャック111の上面との間に形成される微小空間に
供給され、冷媒循環手段105からの伝熱効率を高める
ように構成されている。
【0022】また載置台104の上端周縁部には、静電
チャック111上に載置されたウェハWを囲むように、
略環状のフォーカスリング115が配置されている。こ
のフォーカスリング115は、反応性イオン等を引き寄
せない絶縁性の材質、例えば石英からなり、プラズマに
よって発生した反応性イオン等を、その内側のウェハW
にだけ、効果的に入射するように構成されている。
【0023】また載置台104と処理容器102の内壁
との間には、複数のバッフル孔が穿設された排気リング
117が、載置台104を囲むように形成されている。
この排気リング117は、排気流の流れを整え、処理容
器102内から処理ガス等を、均一に排気するように設
けられたものである。
【0024】さらに、処理室106の底部側壁には、排
気管108が接続されており、この排気管108は、不
図示のバルブ等を介して真空引き手段P110に接続さ
れている。従って、処理室106内は、真空引き手段P
110の作動により所定の減圧雰囲気、例えば1〜10
0mTorrの任意の圧力に維持される。
【0025】下部電極をなす載置台104と対向する処
理室106内の上壁部には、導電性素材、例えば表面が
陽極酸化処理されたアルミニウムから成る略円筒形状の
上部電極112が設けられている。この上部電極112
には、ガス供給管114が接続されており、このガス供
給管114は、バルブ116及びマスフローコントロー
ラMFC118を介して、ガス供給源120に接続され
ている。
【0026】また、上部電極112の内部は、中空部1
12aを有する中空構造となっており、ウェハWに対向
する面112bには、多数の吐出口112cが形成され
ている。従って、上部電極112内の中空部112a
に、ガス供給源120から所定の処理ガス、例えばC4
8ガスが導入された後、吐出口112cから処理室1
06内に均一に導入されるように構成されている。
【0027】ここで、本実施の形態にかかるプラズマ処
理装置100の上部電極112及び下部電極104への
高周波電力のパルスの印加構成について説明する。
【0028】まず、上部電極112へのプラズマ励起用
高周波電力パルスの印加構成について説明する。上部電
極112は、マッチング回路120、増幅器126を介
して、パルスを発振する高周波電源128に電気的に接
続されている。従って、高周波電源128から所定周波
数および出力のパルスが発振されると、プラズマ励起用
高周波パルスは増幅器126により増幅された後、マッ
チング回路120により共振条件などのマッチングが行
われた後、上部電極112に印加されるように構成され
ている。さらに、上部電極112への給電点近くには、
プラズマ励起用高周波パルスの状態を検出して、制御器
129にフィードバックするセンサ121が設けられて
いる。
【0029】また、増幅器126および高周波電源12
8は、制御器129に電気的に接続されそれぞれ制御さ
れており、後述するように、制御器129の制御信号に
より、上部電極112に対して所定の周波数および出力
を有するプラズマ励起用高周波パルスを印加することが
可能なように構成されている。
【0030】次に、下部電極104へのバイアス用高周
波電力のパルスの印加構成について説明する。下部電極
104には、マッチング回路132、増幅器130を介
してバイアス用高周波パルスを発振する高周波電源13
6に電気的に接続されている。従って、高周波電源13
6から所定周波数および出力のバイアス用高周波パルス
が発振されると、増幅器134により増幅され、マッチ
ング回路132により、共振条件などがマッチングされ
た後、下部電極104に印加されるように構成されてい
る。
【0031】また、増幅器130および高周波電源13
6は、制御器129に電気的に接続されそれぞれ制御さ
れており、後述するように、制御器129の制御信号に
より、下部電極104に対して所定の周波数および出力
のバイアス用高周波パルスを印加することが可能なよう
に構成されている。さらに、バイアス用高周波パルスの
給電点近くには、センサ133が設けられており、下部
電極104へ印加されるバイアス用高周波パルスのVPP
またはVDCを測定し、その測定値を制御器129にフィ
ードバックすることが可能である。制御器129は、V
PPまたはVDCの測定値に応じて、制御器129により、
上部電極112および下部電極104に印加される高周
波パルスを適宜修正することにより、処理室106内に
最適なパルスプラズマを生成し、ウェハW上に引き込む
ことができる。
【0032】なお、図示の実施例では、バイアス用高周
波パルスのVPPまたはVDCを下部電極104への給電点
付近に設けられるセンサ133により検出するように構
成しているが、本発明はかかる例に限定されない。後述
するように、本発明の制御対象は、被処理体の処理面上
に表れるバイアス用高周波パルスのVPPまたはVDCを一
定に保持することにより、均一なプラズマ処理を達成す
ることなので、被処理体の処理面上のイオンエネルギー
を反映するプラズマ電位を直接測定することが好ましい
が、実際のプロセスではかかる測定を行うことは困難な
ので、本実施の形態にかかる装置のように、下部電極1
04への給電点付近の電位を計測し、その値をそのま
ま、あるいは所定の補正処理を施した値を被処理体の処
理面上の電位として用いることができる。あるいは、被
処理体の裏面付近に設けたセンサや被処理体の外縁に設
けられたフォーカスリングに設けたセンサなどの測定値
をそのまま、あるいは所定の補正処理を施した値を被処
理体の処理面上の電位として用いることができる。さら
には、被処理体上方のプラズマ領域の中間電位を適当な
センサ、例えばエミッシブプローブや赤外線分光吸収法
を利用したスペクトル分析型センサにより測定し、その
測定値のVPPまたはVDCを一定するように高周波パルス
を制御するように構成してもよい。
【0033】次に、本実施の形態にかかる、上部電極1
12および下部電極104に印加されるプラズマ励起用
またはバイアス用の高周波パルスの制御構成についてそ
れぞれ具体的に説明する。
【0034】(1)プラズマ励起用高周波パルスの制御 まず、上部電極112に印加されるプラズマ励起用高周
波パルスの制御方法について説明すると、本実施の形態
にかかるプラズマ処理装置100は、図2に模式的に示
すように、インダクタンス素子(L)とキャパシタンス
素子(C)から構成されるLC共振回路と見なすことが
できるため、その共振周波数fは、下記の式(1)によ
り表すことができる。
【0035】
【数1】
【0036】ところで、処理室106内にプラズマが励
起されていない状態では、上部電極112と下部電極1
04との間は高真空状態にあるので、キャパシタンス
(C)が小さいと考えられる。これに対して、処理室1
06内にプラズマが励起されている状態では、上部電極
112と下部電極104との間にプラズマが入って導通
状態になり、見かけ上の対向電極間の距離が短くなり、
その結果、キャパシタンス(C)が大きくなる。このよ
うに、プラズマ処理装置100では、両電極間にプラズ
マが存在する場合と存在しない場合(あるいはプラズマ
が薄い場合)とでは、両電極間のインピーダンスが大き
く変動することが指摘されている。したがって、プラズ
マ励起(定常状態)時のキャパシタンス(C)を想定し
た共振周波数fを上部電極に印加しても、プラズマの着
火がしにくいという問題があった。特に、最初のパルス
プラズマ着火時はもちろんのこと、オフ時間が比較的に
長い場合にもオン時にプラズマ着火がしにくいことが問
題となっていた。
【0037】さらに、高周波パルスによりパルスプラズ
マを両電極間に励起しようとする場合には、図3(a)
に示すようにパルスをオン時に発生する、図3(b)に
示すような反射波の影響をマッチング回路120により
除去しながら、最適な共振条件にマッチングさせる必要
がある。ところで、両電極間のインピーダンスの変化に
追従させるために、マッチング回路120の構成要素で
あるインダクタンス(L)とキャパシタンス(C)を変
化させる場合には、機械的可動方法をとらざるを得ず、
その追従性に問題があった。特に、高周波パルスはオン
オフの切り替えが速いため、従来のマッチング回路12
0では対応することが困難であり、その結果、プラズマ
の励起に寄与しない高周波電力成分が生じ、電力消費の
無駄が生じるとともに、ウェハWに対するダメージや、
プラズマ密度の変動に伴う処理の均一性の低下などの問
題が生じていた。
【0038】本実施の形態にかかる装置では、上記のよ
うな問題点に鑑みて、図4に示すように、上部電極11
2に印加される高周波パルスの周波数を、制御器129
により、各パルスプラズマの着火時に、パルスプラズマ
が励起している定常時の周波数、例えば13.56MH
zよりも相対的に高い周波数、例えば15MHzにシフ
トさせるように構成している。
【0039】かかる構成によれば、パルスプラズマ着火
時などのように、プラズマが存在しないために(あるい
は、プラズマが薄いために)キャパシタンス(C)が小
さくても、式(1)における共振周波数fを高めにシフ
トさせるので、共振条件が整いやすく、マッチング回路
120の追従性が悪い場合であっても、プラズマを容易
に着火させることが可能である。その結果、プラズマの
励起に寄与しない高周波電力の割合を軽減することが可
能となり、高周波電力の利用効率を高めることが可能と
なる。また、高周波パルスであっても、プラズマを安定
的に励起することができるので、ウェハWに対するダメ
ージを軽減し、また処理の均一性を向上させることが可
能となる。
【0040】なお、上記説明においては、各パルスプラ
ズマの着火時(すなわち、各高周波パルスのオン時)に
高周波パルスの周波数を定常時よりも相対的に高い周波
数にシフトさせる構成を示したが、本発明はかかる例に
限定されない。例えば、プロセスによっては、所定のプ
ラズマ処理の終了後に、アフターグロープラズマを励起
させることがあるが、アフターグロープラズマ励起時の
ように、両電極間に存在するプラズマが非常に薄い場合
にも、プラズマが着火しにくいことが指摘されている
が、本実施の形態によれば、両電極間にプラズマが存在
しない場合のみ成らず、アフターグロープラズマ励起時
のように両電極間に存在するプラズマが薄いと考えられ
る場合にも、各パルスプラズマの着火タイミングに合わ
せて、高周波パルスを相対的に高い周波数にシフトさせ
るので、プラズマの着火を容易に行うことができる。
【0041】なお、本実施の形態によれば、図4に示す
ように、各高周波パルスの周波数は、各高周波パルスの
オン時点では、その当初より相対的に高い周波数に設定
される必要があるが、相対的に低い定常時に周波数に戻
すにあたっては、図4(b)に示すように、徐々に低い
周波数にシフトするように構成することも可能であり、
あるいは、1または2以上のステップにわたり段階的に
低い周波数にシフトするように構成してもよい。
【0042】(2)バイアス用高周波パルスの制御 次に、本実施の形態にかかるプラズマ処理装置によるバ
イアス用高周波パルスの制御構成について、図5および
図6を参照しながら、説明する。
【0043】まず、本実施の形態にかかる装置構成の効
果の理解を容易にするために、図5を参照しながら、上
部電極112に対して所定周波数の高周波パルスを印加
(図5(a))するとともに、下部電極104に対して
一定出力のバイアス用高周波電力を印加(図5(b))
した場合を比較例として説明する。かかる構成による
と、プラズマ励起用高周波パルスのオン/オフタイミン
グに応じて、処理室106内の電子温度は、図5(c)
に示すように、高周波パルスのオン直後に、急激に上昇
する。それに応じて、処理室106内のパルスプラズマ
の密度を表す電子密度は、図5(d)に示したような波
形を示す。
【0044】さらに、バイアス用高周波電力が一定であ
る場合の、ウェハWの処理面付近の電位VPP変化を見て
みると、図5(d)に示す上記処理室内の電子密度の波
形と相反対に変化し、図5(e)に示したように、プラ
ズマ励起用高周波パルスのオフ時にプラズマ密度が減少
するために急激に上昇してしまう。このように、従来の
装置のように、バイアス用高周波電力を一定に保持した
場合には、プラズマ励起用高周波パルスのオフ時にVPP
の上昇により、イオンが急激に加速して、ウェハWの処
理面に衝突するために、ウェハWにダメージを与えるお
それがある。
【0045】そこで、上記問題点を解決するために、上
部電極112および下部電極104に対して、本実施の
形態にかかる、周波数または出力を制御したパルスおよ
びRFパルスを印加した場合について図6を参照しなが
ら説明する。
【0046】まず、所定のプロセス条件が整った処理室
106内の載置台104上にウェハWを載置後、上部電
極112に、例えば、例えばパルスのオン時に2kW、
そのオフ時に0kWの高周波パルス(図3(a)を参
照。)を印加する。
【0047】この際、パルスを発振する高周波電源12
6およびパルスを増幅する増幅器126は制御器129
により制御されており、プラズマの着火性能を高めるた
めに、本実施の形態に従い、図4(b)に示したよう
に、パルスのオンの瞬間には、パルスプラズマが励起し
ている定常時のパルスの周波数、例えば13.56MH
zよりも高い周波数、例えば15MHzの周波数のパル
スが、上部電極112に印加される。
【0048】そして、上部電極112にパルスが印加さ
れることにより、処理室106内にはそのパルスと処理
室106内に導入されている所定の処理ガスとが反応し
て、パルスプラズマが生成される。このパルスプラズマ
は、図5(d)に示した電子密度からもわかるように、
パルスのオンの瞬間には生成せず、またパルスがオフに
なった直後にはアフターグローとして残留している。
【0049】そこで、本実施の形態によれば、パルスの
オン時とパルスプラズマの生成との時間のずれを勘案し
て、バイアス用高周波パルスの下部電極104への印加
タイミングを制御する。すなわち、上部電極112と下
部電極104との間にパルスプラズマが生成すると、電
極間のインダクタンスが大きくなり、すなわちVPPが減
少するようになる。この変化はVPPまたはVDCの変化と
してセンサ133で検出された後、制御器129にフィ
ードバックされる。
【0050】そして、制御器129は、VPPまたはVDC
が一定となるように、制御信号により、バイアス用高周
波パルスを発振する高周波電源136および増幅器13
0を制御して、一定のバイアス用高周波電力を下部電極
に印加した場合に見られる電子密度の変化(図5(d)
参照。)を相殺して一定となるように、バイアス用高周
波パルス電力の出力を制御する。より具体的には、検出
されたVPPまたはVDCの波形に表れる変化を相対的に反
転した波形(図6(a)参照。)を実質的に有するよう
に、バイアス用高周波パルス電力の出力を、例えば、8
00Wと0Wとの間で、制御すれば、図6(d)に示す
ように、VPPまたはVDCを一定に保持することが可能で
あり、パルスプラズマを常に一定のエネルギーでウェハ
Wに引き込むことができる。
【0051】なお、上記例では、VPPまたはVDCを一定
に保持するために、バイアス用高周波パルス電力の出力
波形を制御していたが、本発明はかかる例に限定されな
い。例えば、図6(c)に示すように、バイアス用高周
波パルスの周波数を制御して、VPPまたはVDCを一定に
保持するように構成してもよい。その場合には、バイア
ス用高周波パルスの周波数を、検出されたVPPまたはV
DCの波形に表れる変化と相似する波形(図6(b)参
照。)を実質的に有するように、例えば、1.2MHz
と800kHzとの間で、制御すれば、図6(d)に示
すように、VPPまたはVDCを一定に保持することが可能
であり、パルスプラズマを均一にウェハWに引き込むこ
とができる。
【0052】なお、上記例では、バイアス用高周波パル
スの出力電力または周波数のいずれか一方のみを制御す
る構成を示したが、もちろん、出力電力と周波数の双方
を同時に制御して、VPPまたはVDCを一定に保持するよ
うに制御することも可能であることは言うまでもない。
要するに、本発明の要旨は、パルスプラズマによりウェ
ハWをプラズマ処理する際に、両電極間のインピーダン
スの変化により生じるウェハWの処理面上でのイオンエ
ネルギーの急激な変動を相殺するように、バイアス用高
周波パルスを制御することにあるので、かかる目的を達
成するものであれば、上記例に限定されず、さまざまな
態様でバイアス用高周波パルスを制御することが可能で
あり、それらの態様についても、本発明の技術的範囲に
属するものと了解される。
【0053】以上説明したように、本実施の形態にかか
るプラズマ処理装置においては、上部電極112および
下部電極104にそれぞれ印加されるプラズマ励起用高
周波パルスおよびバイアス用高周波パルスの周波数およ
び出力を、上記のようにそれぞれ制御することで、パル
スプラズマの着火性を高めるとともに、生成したパルス
プラズマを均一なエネルギーでウェハWに引き込むこと
が可能となる。また、VPPまたはVDCがプラズマ処理
中、常時一定に保たれるため、ウェハWに対してダメー
ジを与えることなく、均一なプラズマ処理を施すことが
できる。
【0054】また、バイアス用高周波パルスを印加する
にあたっては、プラズマ励起用高周波パルスのオンタイ
ミングよりも若干の遅延を持たせることが好ましい。す
なわち、図5(d)に示すように、プラズマ励起用高周
波パルスにより励起されるパルスプラズマのプラズマ密
度は、プラズマ励起用高周波パルスのオンタイミングに
対して若干の遅延をもってピークに達し、プラズマ励起
用高周波パルスのオフタイミングに対しても若干の遅延
をもって減衰していくため、かかるプラズマ密度の変化
に合わせてバイアス用高周波パルスのオン/オフ切り替
えタイミングまたはハイ/ロウ切り換えタイミングを制
御することにより、より効率的にパルスプラズマを利用
することが可能となる。
【0055】以上、本発明の好適な実施の一形態につい
て、添付図面を参照しながら説明したが、本発明はかか
る構成に限定されない。特許請求の範囲に記載された技
術的思想の範疇において、当業者であれば、各種の変更
例及び修正例に想到し得るものであり、それら変更例及
び修正例についても本発明の技術的範囲に属するものと
了解される。
【0056】例えば、上記実施の形態において、上部電
極112および下部電極104にそれぞれ印加するプラ
ズマ励起用高周波パルスおよびバイアス用高周波パルス
の周波数および出力を同時に制御した構成を例に挙げて
説明したが、本発明はかかる構成に限定されず、プロセ
スに応じて、プラズマ励起用高周波パルスとバイアス用
高周波パルスのいずれか一方のみを本実施の形態にかか
る方法により制御する構成としてもよい。
【0057】また、上記実施の形態においては、センサ
121および133によりプラズマ励起用高周波パルス
およびバイアス用高周波パルスの変化を検出して、制御
器129によりフィードバック制御する構成を例に挙げ
て説明したが、本発明はかかる構成に限定されず、あら
かじめ試験的に制御パラメータを求めておき、その制御
パラメータに基づいて、オープンループ制御を行う構成
を採用してもよい。もちろん、オープンループ制御を行
う場合であっても、上記センサ121および133によ
りプラズマ励起用高周波パルスおよびバイアス用高周波
パルスの変化を検出して、その検出値が所定の許容値を
越えた場合には、処理の停止などの所定の処理を行うよ
うに構成しても良い。
【0058】さらに、上記実施の形態においては、上部
電極112および下部電極104にそれぞれ印加するプ
ラズマ励起用高周波パルスおよびバイアス用高周波パル
スとして、オン/オフの状態変化をするパルスを用いた
場合を例に挙げたが、本発明はかかる例に限定されず、
ハイ/ロウの状態変化をするパルスを用いることも可能
である。
【0059】上記実施の形態において、平行平板型エッ
チング装置によりウェハをエッチングする構成を例に挙
げて説明したが、本発明はかかる構成に限定されず、高
周波パルスを利用してプラズマを励起することが可能な
さまざまなプラズマ源、例えばECRプラズマ源や誘導
結合プラズマ源などにも当然に適用することが可能であ
る。さらに、本発明は、一のプラズマ源のみを有するプ
ラズマ処理装置に限定されずパルス制御される二以上の
プラズマ源を有するプラズマ処理装置に対しても適用す
ることが可能である。その場合には、各プラズマ源に印
加される高周波パルスの印加タイミングは、ハンチング
を回避するために、多少のズレを持たせることが好まし
い。さらに、上記実施の形態では、ウェハWを処理する
例を挙げて本発明を説明したが、本発明はかかる例に限
定されず、例えばLCD用ガラス基板に対してエッチン
グする装置にも適用することが可能である。さらに、上
記実施の形態では、プラズマ処理装置として、エッチン
グ装置を例に挙げて本発明を説明したが、本発明はエッ
チング装置に限定されず、パルスプラズマを用いて被処
理体に対して所定のプラズマ処理を施すさまざま装置、
例えばアッシング装置やプラズマCVD装置に適用する
ことも可能である。
【0060】
【発明の効果】以上説明したように、本発明にかかるプ
ラズマ装置においては、各パルスプラズマの着火時やア
フターグロープラズマの励起時に、パルスプラズマが励
起している定常時よりも相対的に高い周波数にシフトさ
せるので、共振状態が整いやすく、追従性の遅いマッチ
ング回路を用いた場合であっても、パルスプラズマの着
火が容易となり、所望の間隔で均一なパルスプラズマを
生成させることができる。
【0061】また、被処理体を載置する載置台に、VPP
またはVDCが実質的に一定になるように出力を制御され
たバイアス用高周波電力のパルスを印加するので、その
パルスのオンあるいはオフ時に被処理体のVPPあるいは
VDCが急激に変化して被処理体にダメージを与えること
なく、ダメージレスの均一なプラズマ処理を被処理体に
施すことができる。
【0062】さらに、被処理体を載置する載置台に、V
PPまたはVDCが実質的に一定になるように周波数を制御
されたバイアス用高周波電力のパルスを印加するので、
そのパルスのオンあるいはオフ時に被処理体のVPPある
いはVDCが急激に変化して被処理体にダメージを与える
ことなく、ダメージレスの均一なプラズマ処理を被処理
体に施すことができる。
【図面の簡単な説明】
【図1】本発明を適用可能なプラズマ処理装置の実施の
一形態を示す概略的な断面図である。
【図2】図1に示したプラズマ処理装置の模式的な回路
図である。
【図3】プラズマ励起用高周波パルスのオンタイミング
とその反射波との関係を示すタイミングチャートであ
る。
【図4】本発明に基づくプラズマ処理装置によりプラズ
マ励起用高周波パルスの周波数を制御する様子を示すタ
イミングチャートである。
【図5】下部電極に対して一定のバイアス用高周波を印
加した場合の電子温度、電子密度およびVPPの関係を示
すタイミングチャートである。
【図6】本発明に基づくプラズマ処理装置によりバイア
ス用高周波パルスの出力および周波数を制御する様子を
示すタイミングチャートである。
【符号の説明】
102 処理容器 104 下部電極 106 処理室 112 上部電極 120 ガス供給源 122、132 マッチング回路 126、130 増幅器 128、136 高周波電源 121、133 センサ 129 制御器
───────────────────────────────────────────────────── フロントページの続き (58)調査した分野(Int.Cl.7,DB名) H05H 1/46 H01L 21/3065

Claims (7)

    (57)【特許請求の範囲】
  1. 【請求項1】 プラズマ源に印加される高周波電力をオ
    ン/オフ制御またはハイ/ロウ制御してパルスプラズマ
    を励起し、処理室内に載置された被処理体に対して所定
    のプラズマ処理を施すプラズマ処理装置において、 前記高周波電力の周波数を、プラズマの着火時に、パル
    スプラズマが励起している定常時よりも相対的に高い周
    波数にシフトさせる周波数制御手段を設けたことを特徴
    とする、プラズマ処理装置。
  2. 【請求項2】 プラズマ源に印加される高周波電力をオ
    ン/オフ制御またはハイ/ロウ制御してパルスプラズマ
    を励起し、処理室内に載置された被処理体に対して所定
    のプラズマ処理を施すプラズマ処理装置において、 前記高周波電力の周波数を、アフターグロープラズマ励
    起時またはパルスプラズマの励起時に、プラズマ処理用
    のパルスプラズマが励起している定常時よりも相対的に
    高い周波数にシフトさせる周波数制御手段を設けたこと
    を特徴とする、プラズマ処理装置。
  3. 【請求項3】 前記被処理体は、オン/オフ制御または
    ハイ/ロウ制御可能なバイアス用高周波電力を印加可能
    な載置台に載置されており、 前記被処理体の処理面上での電位または処理面上での電
    位と見なされる電位のVPPまたはVDCが実質的に一定に
    なるように前記バイアス用高周波電力の出力を制御する
    電力制御手段を備えていることを特徴とする、請求項1
    または2に記載のプラズマ処理装置。
  4. 【請求項4】 前記電力制御手段は、前記載置台に対し
    て一定のバイアス用高周波電力を印加した場合に生じる
    前記VPPまたはVDCの変化に応じて表れる波形の凹凸を
    相対的に反転した凹凸の波形を実質的に有するように前
    記バイアス用高周波電力の出力を制御するものであるこ
    とを特徴とする、請求項3に記載のプラズマ処理装置。
  5. 【請求項5】 前記被処理体は、オン/オフ制御または
    ハイ/ロウ制御可能なバイアス用高周波電力を印加可能
    な載置台に載置されており、 前記被処理体の処理面上での電位または処理面上での電
    位と見なされる電位のVPPまたはVDCが実質的に一定に
    なるように前記バイアス用高周波電力の周波数を制御す
    る周波数制御手段を備えていることを特徴とする、請求
    項1、2、3または4のいずれかに記載のプラズマ処理
    装置。
  6. 【請求項6】 前記周波数制御手段は、前記載置台に対
    して一定のバイアス用高周波電力を印加した場合に生じ
    る前記VPPまたはVDCの変化に応じて表れる波形の凹凸
    と相似する凹凸の波形を実質的に有するように前記バイ
    アス用高周波電力の周波数を制御するものであることを
    特徴とする、請求項5に記載のプラズマ処理装置。
  7. 【請求項7】 前記バイアス用高周波電力のパルスは、
    前記プラズマ源に印加される高周波電力のパルスよりも
    所定の遅延をもって印加されることを特徴とする、請求
    項3、4、5または6のいずれかに記載のプラズマ処理
    装置。
JP08241313A 1996-08-23 1996-08-23 プラズマ処理装置 Expired - Lifetime JP3122618B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP08241313A JP3122618B2 (ja) 1996-08-23 1996-08-23 プラズマ処理装置
US08/907,418 US5997687A (en) 1996-08-23 1997-08-07 Plasma processing apparatus
TW086111455A TW355812B (en) 1996-08-23 1997-08-11 Plasma processing apparatus
KR1019970040090A KR100415226B1 (ko) 1996-08-23 1997-08-22 플라즈마처리장치

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP08241313A JP3122618B2 (ja) 1996-08-23 1996-08-23 プラズマ処理装置

Publications (2)

Publication Number Publication Date
JPH1064696A JPH1064696A (ja) 1998-03-06
JP3122618B2 true JP3122618B2 (ja) 2001-01-09

Family

ID=17072443

Family Applications (1)

Application Number Title Priority Date Filing Date
JP08241313A Expired - Lifetime JP3122618B2 (ja) 1996-08-23 1996-08-23 プラズマ処理装置

Country Status (4)

Country Link
US (1) US5997687A (ja)
JP (1) JP3122618B2 (ja)
KR (1) KR100415226B1 (ja)
TW (1) TW355812B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170041142A (ko) 2015-10-06 2017-04-14 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치의 임피던스 정합을 위한 방법
US11217430B2 (en) 2018-10-29 2022-01-04 Tokyo Electron Limited Plasma processing apparatus and plasma processing method

Families Citing this family (402)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4013271B2 (ja) * 1997-01-16 2007-11-28 日新電機株式会社 物品表面処理方法及び装置
US20010037770A1 (en) * 2000-04-27 2001-11-08 Toru Otsubo Plasma processing apparatus and processing method
JP3497092B2 (ja) * 1998-07-23 2004-02-16 名古屋大学長 プラズマ密度情報測定方法、および測定に用いられるプローブ、並びにプラズマ密度情報測定装置
US6277235B1 (en) * 1998-08-11 2001-08-21 Novellus Systems, Inc. In situ plasma clean gas injection
JP3818561B2 (ja) 1998-10-29 2006-09-06 エルジー フィリップス エルシーディー カンパニー リミテッド シリコン酸化膜の成膜方法および薄膜トランジスタの製造方法
US20030205192A1 (en) * 1999-01-19 2003-11-06 Tokyo Electron Limited Film forming method
JP3533105B2 (ja) * 1999-04-07 2004-05-31 Necエレクトロニクス株式会社 半導体装置の製造方法と製造装置
US7361287B2 (en) * 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
DE10145297A1 (de) * 2001-09-14 2003-04-10 Bosch Gmbh Robert Verfahren zum Einätzen von Strukturen in einen Ätzkörper mit einem Plasma
DE19933841A1 (de) * 1999-07-20 2001-02-01 Bosch Gmbh Robert Vorrichtung und Verfahren zum Ätzen eines Substrates mittels eines induktiv gekoppelten Plasmas
DE19933842A1 (de) 1999-07-20 2001-02-01 Bosch Gmbh Robert Vorrichtung und Verfahren zum Ätzen eines Substrates mittels eines induktiv gekoppelten Plasmas
JP4382926B2 (ja) * 1999-09-29 2009-12-16 東京エレクトロン株式会社 プラズマ処理方法
JP4592867B2 (ja) * 2000-03-27 2010-12-08 株式会社半導体エネルギー研究所 平行平板形プラズマcvd装置及びドライクリーニングの方法
JP4697833B2 (ja) * 2000-06-14 2011-06-08 キヤノンアネルバ株式会社 静電吸着機構及び表面処理装置
JP3482949B2 (ja) * 2000-08-04 2004-01-06 松下電器産業株式会社 プラズマ処理方法及び装置
JP4724325B2 (ja) * 2000-08-25 2011-07-13 春雄 進藤 プラズマ中の電子エネルギー分布の測定方法及びその装置
JP4557400B2 (ja) * 2000-09-14 2010-10-06 キヤノン株式会社 堆積膜形成方法
DE10051508C2 (de) * 2000-10-18 2003-08-07 Fraunhofer Ges Forschung Verfahren und Einrichtung zur Reduzierung der Zündspannung von Leistungspulsen gepulst betriebener Plasmen
US7199328B2 (en) * 2001-08-29 2007-04-03 Tokyo Electron Limited Apparatus and method for plasma processing
DE10309711A1 (de) * 2001-09-14 2004-09-16 Robert Bosch Gmbh Verfahren zum Einätzen von Strukturen in einem Ätzkörper mit einem Plasma
EP1444727A4 (en) * 2001-10-22 2007-07-18 Unaxis Usa Inc PROCESS AND DEVICE FOR CORROSING THIN, DAMAGE-SENSITIVE LAYERS USING HIGH FREQUENCY PULSE PLASMA
JP4488662B2 (ja) * 2001-12-13 2010-06-23 東京エレクトロン株式会社 プラズマ処理装置、マッチングボックス
WO2003065435A1 (fr) * 2002-02-01 2003-08-07 Tokyo Electron Limited Procede de gravure
JP4493896B2 (ja) * 2002-03-12 2010-06-30 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理停止方法
KR100455753B1 (ko) * 2002-04-08 2004-11-06 한국과학기술연구원 펄스 플라즈마 방전에 의한 박막 증착방법
US6849559B2 (en) * 2002-04-16 2005-02-01 Tokyo Electron Limited Method for removing photoresist and etch residues
US7541283B2 (en) * 2002-08-30 2009-06-02 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
JP4173389B2 (ja) * 2003-03-19 2008-10-29 東京エレクトロン株式会社 プラズマ処理装置
JP4532948B2 (ja) * 2004-03-19 2010-08-25 積水化学工業株式会社 プラズマ処理方法
JP2005063760A (ja) * 2003-08-08 2005-03-10 Sekisui Chem Co Ltd プラズマ処理方法および処理装置
WO2005015963A1 (ja) * 2003-08-08 2005-02-17 Sekisui Chemical Co., Ltd. プラズマ処理方法及び装置
JP2005072260A (ja) * 2003-08-25 2005-03-17 Sanyo Electric Co Ltd プラズマ処理方法、プラズマエッチング方法、固体撮像素子の製造方法
US20050069651A1 (en) * 2003-09-30 2005-03-31 Tokyo Electron Limited Plasma processing system
US20050241762A1 (en) * 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
US9119700B2 (en) 2004-11-30 2015-09-01 Novartis Ag Graphical user interface system and method for representing and controlling surgical parameters
US7945341B2 (en) * 2004-11-30 2011-05-17 Alcon, Inc. Graphical user interface for selecting pulse parameters in a phacoemulsification surgical system
KR101020156B1 (ko) * 2005-12-30 2011-03-08 엘아이지에이디피 주식회사 플라즈마 처리장치
EP2029164B1 (en) 2006-06-07 2015-12-23 The Board of Trustees of the Leland Stanford Junior University Anti-leukocyte recruitment therapy for the treatment of seizures and epilepsy
US20080060579A1 (en) * 2006-08-28 2008-03-13 Atomic Energy Council-Institue Of Nuclear Energy Research Apparatus of triple-electrode dielectric barrier discharge at atmospheric pressure
US8192576B2 (en) 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
US7605595B2 (en) * 2006-09-29 2009-10-20 General Electric Company System for clearance measurement and method of operating the same
JP5192209B2 (ja) 2006-10-06 2013-05-08 東京エレクトロン株式会社 プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
US20080087539A1 (en) * 2006-10-16 2008-04-17 Walton Scott G Apparatus and Method for Materials Processing with Ion-Ion Plasma
JP5426811B2 (ja) * 2006-11-22 2014-02-26 パール工業株式会社 高周波電源装置
TW200834671A (en) * 2007-02-12 2008-08-16 Innolux Display Corp Plasma enhanced chemical vapor deposition device
US20080230008A1 (en) * 2007-03-21 2008-09-25 Alexander Paterson Plasma species and uniformity control through pulsed vhf operation
KR100915613B1 (ko) 2007-06-26 2009-09-07 삼성전자주식회사 펄스 플라즈마 매칭시스템 및 그 방법
JP5514413B2 (ja) * 2007-08-17 2014-06-04 東京エレクトロン株式会社 プラズマエッチング方法
JP5224837B2 (ja) 2008-02-01 2013-07-03 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
JP5319150B2 (ja) * 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
KR101124770B1 (ko) * 2008-03-31 2012-03-23 도쿄엘렉트론가부시키가이샤 플라즈마 처리장치 및 플라즈마 처리방법 및 컴퓨터 판독이 가능한 기억 매체
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5390846B2 (ja) 2008-12-09 2014-01-15 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマクリーニング方法
JP5395491B2 (ja) * 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9024273B2 (en) * 2010-04-20 2015-05-05 Varian Semiconductor Equipment Associates, Inc. Method to generate molecular ions from ions with a smaller atomic mass
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
JP5808697B2 (ja) * 2012-03-01 2015-11-10 株式会社日立ハイテクノロジーズ ドライエッチング装置及びドライエッチング方法
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
JP5822795B2 (ja) * 2012-07-17 2015-11-24 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8742668B2 (en) 2012-09-05 2014-06-03 Asm Ip Holdings B.V. Method for stabilizing plasma ignition
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP6180799B2 (ja) * 2013-06-06 2017-08-16 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP6353223B2 (ja) * 2013-12-20 2018-07-04 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6424024B2 (ja) * 2014-06-24 2018-11-14 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
EP3029711B1 (en) * 2014-12-03 2019-10-16 Comet AG Frequency tuning of a RF-generator within a plasma process
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9779919B2 (en) * 2015-01-09 2017-10-03 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
JP6396822B2 (ja) * 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
JP6541623B2 (ja) * 2016-06-20 2019-07-10 東京エレクトロン株式会社 プラズマ処理装置、及び波形補正方法
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
CN109659215B (zh) 2017-10-10 2021-03-09 东京毅力科创株式会社 等离子体处理装置和检测电路
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP7175239B2 (ja) * 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
JP2022185241A (ja) 2021-06-02 2022-12-14 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
TW202341227A (zh) * 2021-12-27 2023-10-16 日商東京威力科創股份有限公司 電漿處理裝置、電源系統、控制方法、程式及記憶媒體

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2734021B2 (ja) * 1988-11-08 1998-03-30 日本電気株式会社 プラズマ気相成長方法
JPH04180569A (ja) * 1990-11-13 1992-06-26 Ishikawajima Harima Heavy Ind Co Ltd プラズマcvd装置の制御方法
US5849136A (en) * 1991-10-11 1998-12-15 Applied Materials, Inc. High frequency semiconductor wafer processing apparatus and method
JPH0617102A (ja) * 1992-06-30 1994-01-25 Isuzu Motors Ltd 焼結固化方法
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
KR100302167B1 (ko) * 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170041142A (ko) 2015-10-06 2017-04-14 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치의 임피던스 정합을 위한 방법
US9736921B2 (en) 2015-10-06 2017-08-15 Tokyo Electron Limited Method for impedance matching of plasma processing apparatus
US11217430B2 (en) 2018-10-29 2022-01-04 Tokyo Electron Limited Plasma processing apparatus and plasma processing method

Also Published As

Publication number Publication date
KR100415226B1 (ko) 2004-04-14
KR19980018889A (ko) 1998-06-05
JPH1064696A (ja) 1998-03-06
TW355812B (en) 1999-04-11
US5997687A (en) 1999-12-07

Similar Documents

Publication Publication Date Title
JP3122618B2 (ja) プラズマ処理装置
KR102038617B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
TWI665711B (zh) 電漿處理裝置
TWI552223B (zh) 電漿處理裝置
US8264154B2 (en) Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for RF power delivery
KR101993880B1 (ko) 플라즈마 처리 장치
JP5205378B2 (ja) Rf変調によって弾道電子ビームの均一性を制御する方法及びシステム
US7767056B2 (en) High-frequency plasma processing apparatus
CN111029238A (zh) 等离子体处理装置和控制方法
KR20210111269A (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
TW200307989A (en) Method and apparatus for tuning an RF matching network in a plasma enhanced semiconductor wafer processing system
KR20200083330A (ko) 플라즈마 처리 장치 및 제어 방법
US20220122810A1 (en) Plasma processing apparatus and plasma processing method
JP2021097033A (ja) プラズマ処理装置及びプラズマ処理方法
US7323081B2 (en) High-frequency plasma processing apparatus
JP4256064B2 (ja) プラズマ処理装置の制御方法
KR20210097027A (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
JP2000306891A (ja) プラズマ処理装置
US20210257187A1 (en) Plasma processing apparatus and matching method
JPH07249614A (ja) プラズマエッチング方法及びその装置
JP2020053245A (ja) プラズマ処理装置及びプラズマ処理方法
JPH097960A (ja) プラズマcvd方法及びその装置
US11705339B2 (en) Etching method and plasma processing apparatus
US20210407767A1 (en) Plasma processing apparatus and plasma processing method
WO2023199766A1 (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20001010

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091020

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121020

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151020

Year of fee payment: 15

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term