KR100276736B1 - 플라즈마 처리장치 - Google Patents

플라즈마 처리장치 Download PDF

Info

Publication number
KR100276736B1
KR100276736B1 KR1019940026833A KR19940026833A KR100276736B1 KR 100276736 B1 KR100276736 B1 KR 100276736B1 KR 1019940026833 A KR1019940026833 A KR 1019940026833A KR 19940026833 A KR19940026833 A KR 19940026833A KR 100276736 B1 KR100276736 B1 KR 100276736B1
Authority
KR
South Korea
Prior art keywords
high frequency
plasma
processing chamber
coil
antenna
Prior art date
Application number
KR1019940026833A
Other languages
English (en)
Other versions
KR950012608A (ko
Inventor
하타지로
이시이노부오
고시미즈지시오
다하라요시후미
니시카와히로시
이마하시잇세이
Original Assignee
히가시 데쓰로
동경 엘렉트론주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP5284210A external-priority patent/JP3045444B2/ja
Priority claimed from JP28420893A external-priority patent/JP3337288B2/ja
Priority claimed from JP28420693A external-priority patent/JP3294690B2/ja
Priority claimed from JP5284209A external-priority patent/JP3045443B2/ja
Priority claimed from JP33876493A external-priority patent/JP3172759B2/ja
Priority claimed from JP6023992A external-priority patent/JP3043215B2/ja
Priority claimed from JP06023994A external-priority patent/JP3112610B2/ja
Priority claimed from JP6056237A external-priority patent/JP3043217B2/ja
Application filed by 히가시 데쓰로, 동경 엘렉트론주식회사 filed Critical 히가시 데쓰로
Publication of KR950012608A publication Critical patent/KR950012608A/ko
Application granted granted Critical
Publication of KR100276736B1 publication Critical patent/KR100276736B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching

Abstract

플라즈마 처리장치는, 가스도입 포트 및 가스 배기 포트를 가지는 처리실과, 피처리면을 가지는 웨이퍼를 지지하기 위하여 처리실내에 배치되는 얹어놓는 대와, 처리실로 고주파 에너지를 공급하고 처리실내에 유도 플라즈마를 발생하기 위한 고주파 안테나 및, 고주파 전압을 고주파 안테나로 인가하기 위한 고주파 전압원을 포함한다. 처리실내의 압력 및/또는 광변화는 측정시스템에 의하여 플라즈마의 발생시에 측정되며, 고주파 전압원은 측정시스템으로부터의 신호에 근거하여 제어되므로, 안테나에 인가되는 전압이 처리실내의 압력 및/또는 광에 따라 제어된다.

Description

플라즈마 처리장치
제1도는 본 발명의 제 1 실시예에 따른 플라즈마 처리장치의 전체적인 구성을 나타내는 단면도;
제2a도 및 제2b도는 고주파 안테나 및 전원공급장치 사이의 상이한 접속관계를 나타내는 개략도;
제3도는 에칭률과 가스 압력 사이의 관계를 나타내는 그래프;
제4도는 제 1 실시예에 따른 플라즈마 처리장치에 웨이퍼를 공급하기위한 시스템을 모식적으로 나타내는 도면;
제5도는 상이한 고주파 코일을 가지는 제1도에서 나타낸 장치의 변형을 나타내는 도면;
제6도는 바이어스 전극이 추가된 제1도에 나타낸 장치의 변형예를 나타내는 도면;
제7도는 제어전극이 추가된 제1도에 나타낸 장치의 변형예를 나타내는 도면;
제8도는 다른 고주파 안테나가 처리실의 외부면에 추가된 제1도에서 나타낸 장치의 변형예를 나타내는 도면;
제9도는 다른 고주파 안테나가 얹어놓는대에 추가된 제1도에서 나타낸 장치의 변형예를 나타내는 도면;
제10도는 다른 고주파 안테나가 포커스링의 주위에 추가된 제1도에서 나타낸 장치의 변형예를 나타내는 도면;
제11도는 대규격의 피처리물의 플라즈마 처리에 적절한 플라즈마 처리 장치를 모식적으로 나타내는 사시도;
제12도는 플라즈마 처리공간이 피처리물의 아래쪽에 형성된 플라즈마처리장치를 나타내는 단면도;
제13도는 플라즈마 처리공간이 피처리물의 측면에 형성된 플라즈마 처리장치를 나타내는 단면도;
제14도는 얹어놓는대가 수직으로 이동가능한 플라즈마 처리장치를 나타내는 단면도;
제15도 및 제16도는 상이한 형상을 가지는 정전 시일드 수단을 각각 포함하여 구성되는 플라즈마 처리장치를 나타내는 단면도;
제17도는 개선된 고주파 인가수단을 포함하여 구성되는 플라즈마 처리장치를 나타내는 단면도;
제18도는 제17도에서 나타낸 장치에서 사용된 고주파 안테나를 나타내는 평면도;
제19도는 전자온도의 가스 의존도의 측정결과를 나타내는 그래프;
제20도는 방출강도의 가스압력 의존도의 측정결과를 나타내는 그래프;
제21도는 방출강도의 전자온도 의존도의 측정결과를 나타내는 그래프;
제22도는 다른 실시예에 따른 코일분리형 플라즈마 발생장치를 나타내는 제어블록 다이어그램;
제23도는 제22도에서 나타낸 플라즈마 발생장치의 전체 구성을 나타내는 단면도;
제24도는 제23도에서 나타낸 장치에서 사용된 코일분리형 고주파 안테나의 형상을 나타내는 평면도;
제25도는 정전 시일드의 예를 나타내는 평면도;
제26도는 다른 실시예에 따른 코일분리형 플라즈마 발생장치를 나타내는 제어블록 다이어그램;
제27도는 또 다른 실시예에 따른 코일분리형 플라즈마 발생장치를 나타내는 제어블록 다이어그램;
제29도는 또 다른 실시예에 따른 코일분리형 플라즈마 발생장치를 나타내는 제어블록 다이어그램;
제30도는 또 다른 실시예에 따른 코일분리형 플라즈마 발생장치를 나타내는 제어블록 다이어그램;
제31도는 또 다른 실시예에 따른 코일분리형 플라즈마 발생장치를 나타내는 제어블록 다이어그램;
제32도 내지 제34도는 분리된 코일로의 공급 전력율이 변화하고, 처리실내의 압력이 각각 5 mTorr, 10 mTorr, 및 30 mTorr로 설정되었을 때 방사방향으로의 이온포화전류의 분포의 측정 결과를 나타내는 그래프;
제35도는 제31도에서 나타낸 실시예에서 공급 전력율 또는 압력이 변화할 때 방사방향으로의 이온포화전류의 분포를 나타내는 그래프;
제36도는 제31도에서 나타낸 실시예에 따른 Si02 막의 에칭상태를 설명하기 위한 도면;
제37도는 다른 실시예에 따른 플라즈마 발생장치를 나타내는 제어블록 다이어그램;
제38a도 및 제38b도는 각각 고주파 안테나에 인가된 고주파 전압 및 하부전극에 인가된 고주파 전압을 설명하기 위한 도면;
제39도는 다른 실시예에 따른 플라즈마 처리장치를 나타내는 제어블록 다이어그램;
제40도는 제39도에서 나타낸 장치에 의하여 측정된 스펙트럼을 나타내는 그래프;
제41도 및 제42도는 각각 전자 시일드 실린더의 바람직한 예를 나타내는 사시도이다.
〈도면의 주요부분에 대한 부호의 설명〉
1 : 플라즈마 에칭장치 2 : 처리실(하우징)
3 : 절연판 4 : 얹어놓는대
4a : 서셉터 지지대 4c : 서셉터
5 : 절연재료 6 : 고주파 안테나
6a : 내부단자 6b : 외부단자
6c : 중간단자 7A : 제 1 고주파 전원
8 : 매칭회로 8A : 매칭박스
9 : 냉각쟈켓 10 : 냉매원
11a : 냉매 도입파이프 11b : 냉매 배출 파이프
12 : 정전척 13 : 도전성 막
14 : 가변 DC 고전압 전원 17 : 고리형 포커스 링
18 : 매칭 캐패시터 19 : 고주파 전원
20 : 가스 공급수단 21 : 가스 공급파이프
22 : 하부벽 23 : 작은 구멍
25 : 돌기부 26 : 버퍼 디스크
27a, 27b : 처리가스원 28 : 매스플로우 콘트롤러
29 : 고리형 돌기 30 : 방출 파이프
31 : 히이터 고정대 32 : 온도조절 히이터
33 : 전원 34 : 전달창
35 : 광학계 36 : 광학 센서
37 : 콘트롤러 38 : 센서(압력센서)
40 : 로우드-록실 41 : 반송유니트
42 : 방출 파이프 43 : 진공배기밸브
44 : 진공펌프 45 : 게이트 밸브
46 : 카세트실 47 : 카세트
48 : 얹어놓는대 49 : 방출파이프
50 : 배기밸브 51 : 게이트 밸브
53a, 53b : 전극
54a, 54b, 60, 67, 71, 74a, 74b, 74c, 74d : 매칭회로
55a, 55b, 61, 68, 72, 75a, 75b, 75c, 75d : 고주파 전원
56 : 전극 58 : 절연부재
59, 63, 70, 73a, 73b, 73c, 73d : 고주파 안테나
62 : 절연부재 75 : 지지기구
77 : 푸셔핀 기구 78 : 승강기구
81 : 제 1 안테나부 81A : 내부단자
81B : 외부단자 82 : 제 2 안테나부
82A, 82B : 끝단 91 : 정전 시일드 수단
92 : 도전판 93a, 93b : 절연막
94 : 망 110 : 처리실
111 : 절연부재 112 : 지지부재
113 : 전극 베이스 114 : 웨이퍼 얹어놓는대
115 : 마그네틱 시일드판 115A : 슬릿
116 : 제 1 의 고주파 코일 116a, 116b, 117a, 117b : 단자
117 : 제 2 의 고주파 코일 121 : 제어회로
121a : 발진회로 122a, 122b : 위상검출기
123a, 123b : 루프 필터 124a, 124b : 전압제어 발진기
125a, 125b : 전력 증폭기 126a, 126b : 매칭회로
131a, 131b : 다리 132 : 저전력 전원
133 : 캐패시터 143 : DC 전원
145 : 가스 도입파이프 146 : 샤워 헤드
147 : 가스 배출파이프 148 : 원통형 케이싱
149 : 매칭박스 150 : 전자 시일드 실린더
151 : 제어 유니트 161a, 161b : 방향성 커플러
171 : 제어회로 172 : 전원
173 : 분할기 174 : 이상기
175 : 매칭회로 181 : 압력센서
182 : 고주파 전력부 251 : 제어회로
252 : 전원부 253 : 매칭회로
260 : 창 261 : 렌즈
262 : 광섬유 263, 264 : 분광기
265, 266 : 광전 변환기 267, 268 : 증폭기
269: 제어회로 270 : 레시피
본 발명은 고주파수 유도법을 이용한 플라즈마 처리장치에 관한 것이다.
종래에, 예를 들면 플라즈마 처리를 수행하기 위하여 처리실내에 반도체 웨이퍼가 놓이는 장치로서, 고주파를 이용한 평행평판형 플라즈마 처리장치가 널리 사용되었다. 2개의 평행 평판전극이 처리실내에 배열되는 반응성 이온 에칭장치(Reactive Ion Etching: RIE)가 이러한 종류의 장치의 예이다. 고주파는 2개의 전극 사이에서 플라즈마를 발생하기 위하여 전극의 한쪽 또는 양쪽에 가해진다. 플라즈마류는 플라즈마와 피처리물 사이의 자기-바이어스 전위차에 의하여 피처리물의 목표면상에 투사되고, 그에 의하여 에칭처리를 수행한다.
그러나, 상기와 같은 평행평판형 플라즈마 처리장치와 같은 종래의 플라즈마 처리장치에 있어서는, 반도체웨이퍼의 고집적화가 요구되는 서브미크론급, 더욱 나아가서는 서브 - 하프 미크론급의 마이크로 패터닝은 수행하기 어렵다. 플라즈마 처리장치를 사용하여 이러한 처리를 수행하기 위해서는, 저압분위기에서 높은 정밀도로 고밀도의 플라즈마를 제어하는 것이 중요하다. 부가적으로, 대구경을 가지는 웨이퍼에 대응하도록 고도로 균일한 플라즈마가 필요하다. 전극을 사용하는 플라즈마 처리장치에 있어서, 전극자체는 플라즈마의 발생에 있어서 중금속 오염원이 된다. 특히 그것은 마이크로패터닝이 요구될 때 현저하다.
그러한 기술적인 요구에 따라서 새로운 플라즈마원을 설정하기 위하여 많은 접근이 다양한 관점으로부터 이루어져 왔다. 예를 들면, 고주파 안테나를 사용한 고주파-유도 플라즈마발생장치가 유럽특허출원 공개공보 379,828 호에 개시되어 있다. 이 고주파 유도 플라즈마 발생장치에 있어서는, 웨이퍼 얹어놓는대와 대면하는 처리실의 한쪽면은 예를 들면 석영글래스를 구성되며, 예를 들면 스파이어럴 코일에 의하여 구성된 고주파 안테나가 처리실의 외부벽면상에 장착된다. 고주파 전력이 처리실내에 무선주파 전자장을 형성하도록 고주파 안테나에 인가된다. 전자장 공간으로 흘러들어가는 전자는 처리실내의 중성자에 대하여 충돌하고, 가스를 이온화하며, 그에 의하여 플라즈마를 발생한다.
고주파 유도법을 사용한 상기 플라즈마 처리장치를 사용한 플라즈마 처리가 수행될 때, 처리실내에 유도된 플라즈마의 밀도는 특히 방사방향으로 불균일한 경향이 있다. 또한, 근래에 성행하는 서브-하프 미크론급으로 매우 높은 정밀도로 플라즈마 처리가 행해져야 할 때, 양호한 재반응성을 가지는 균일한 고밀도 플라즈마가 처리실내에 발생될 필요가 있다. 따라서, 높은 정밀도로 처리실내에서 플라즈마의 밀도를 제어하는 기술이 시급하게 설정되어야 한다.
본 발명의 목적은, 외벽을 가지며, 플라즈마로 처리될 면을 가진 피처리물을 그 벽내에 포함하는 플라즈마 처리용 처리실과; 처리실내에서 플라즈마를 발생하기 위하여 처리실내에 고주파 유도계를 제공하는 유도수단과; 유도수단에 고주파 전압을 인가하기 위한 전압인가수단과; 플라즈마의 발생시에 처리실내의 플라즈마로부터의 압력변화 및/또는 광강도 변화를 측정하고, 그 변화에 상당하는 신호를 출력하는 측정수단; 및 측정수단으로부터의 신호에 근거하여 고주파 전압인가수단을 제어하고, 처리실내의 플라즈마를 확인하기 위한 전압을 제어하는 제어수단을 포함하여 구성되는 플라즈마 처리장치를 제공함에 있다.
본 발명의 부가적인 목적 및 장점들은 이하의 기술내용으로부터 개진될것이며, 부분적으로는 기술내용으로부터 명백하고, 본 발명의 실시에 의하여 인지될 수 있다. 본 발명의 목적 및 장점들은 첨부된 특허청구의 범위내에서 특별히 지적된 조합 및 기구의 수단에 의하여 실현 및 얻어질 수 있다.
첨부된 도면은 명세서의 일부를 구성하고 그에 결합되어 있는 것이며, 본 발명의 바람직한 실시예를 예시하며 이하에 주어진 일반적인 기술내용과 함께 본 발명의 원리를 설명하며 바람직한 실시예를 상세히 예시한다.
[실시예]
본 발명에 근거하여 구성된 플라즈마 처리장치의 바람직한 실시예를 첨부된 도면을 참조하여 상세히 설명한다.
제 1 도에서 나타낸 플라즈마 에칭장치(1)는 예를 들면 알루미늄인 도전성재료로 구성되는 원통형이거나 또는 사각형의 처리실 또는 하우징(2)을 포함하여 구성된다. 예를 들면 반도체웨이퍼(W) 인 피처리물을 놓기 위한 대략 원주형인 얹어놓는대(4)는 예를 들면 세라믹인 절열판(3)을 통하여 처리실(2)의 바닥부에 수납된다. 얹어놓는대(4)의 얹어놓는변과 대향하는 처리실의 판 또는 꼭대기부는 석영 그래스 또는 세라믹인 절연재료(5)로 구성되어 있다. 동, 알루미늄, 스테인레스강 등에 의하여 구성되는 스파이어럴 코일에 의하여 구성되는 고주파 안테나(6)는 유도수단으로서의 절연부재(5)의 외부 꼭대기면상에 배치된다. 제2a도에서 나타낸 바와 같이 고주파 안테나(6)의 2개의 단자(내부단자(6a) 및 외부단자(6b))의 사이에 중간단자(6c)가 마련되어 있다. 이러한 구성에 의하여, 내부단자(6a)로부터 중간단자(6c)로 흐르는 전류(i1)와, 중간단자(6c)로부터 외부단자(6b)로 흐르는 전류(i2) 및 중간단자(6c)로부터 매칭회로(8)로 흐르는 전류(i3)의 사이에 이하의 식(1)에 의하여 표현되는 관계가 발생한다.
i1 = i2 + i3 ...(1)
따라서, 중간단자(6c)와 내부단자(6a) 사이에 흐르는 전류와 중간단자(6c) 및 외부단자(6b)사이에 흐르는 전류의 총량이 매칭회로(8)를 사용하여 전류(i3)에 의하여 제어되며, 따라서 2개의 안테나부 사이에 흐르는 전류의 양에 상당하는 양을 가지는 교류전계, 즉 플라즈마의 밀도가 얻어진다. 보다 상세하게는, 제 2a 도에서 나타낸 바와 같이, 내부로부터 외부로 발전하는 스파이어럴 코일 안테나가 사용되며, 중간단자(6c)가 중간에 마련된다. 이러한 구성에 있어서, 플라즈마의 밀도가 방사방향으로 내부 및 외부에서 가변적으로 제어될 수 있으므로, 양호한 재발생성을 가지는 균일하고 고밀도인 플라즈마가 처리실내에 발생될 수 있다.
본 발명에 따라 설정되는 중간단자의 수는 한개로 한정되지 않는다. 예를 들면, 제 2b 도에서 나타낸 바와 같이, 중간단자의 수는 2개로 증가될 수 있으며, 부가적으로 임의의 수의 중간단자가 사용될 수 있다. 이러한 구성에 있어서, 원하는 전류치, 즉 플라즈마의 원하는 밀도가 원하는 간격으로 얻어질 수 있으며, 플라즈마의 밀도가 높은 정밀도로 제어될 수 있다.
또한, 본 발명에 따르면, 고주파 회로의 전위가 절연된 변압기를 사용하여 접지전위로부터 부유되고 조절된다. 부가적으로 고주파 안테나(6)의 전위는 안테나(6)와 직렬로 접속된 부하회로 요소를 사용하여 그의 부하를 조절함으로써 가변적으로 제어되며, 그에 의하여 처리실(2)내에서 발생된 플라즈마의 전자온도를 조정한다. 이러한 이유로, 높은 선택성이 에칭처리에서 특별히 얻어질 수 있다. 제 1 도에서 나타낸 바와 같이, 반도체웨이퍼와 같은 피처리물(W) 을 얹어 놓을 수 있는 얹어놓는대(4)는 주로 예를 들면 알루미늄을 사용한 원주형상으로 형성되는 서셉터 지지대(4a)와, 예를 들면 알루미늄에 의하여 구성되는 볼트(4a)에 의하여 서셉터 지지대(4a)상에 또는 그로부터 장착 및 이탈이 자유롭게 마련되는 서셉터(4c)에 의하여 구성된다. 서셉터(4c)는 이러한 방식으로 부착 및 이탈이 자유롭게 구성되므로, 보수유지 등이 용이하게 수행될 수 있다.
예를 들면 냉각자켓(9)인 냉각수단이 서셉터 지지대(4a)에 마련되며, 예를 들면 액체질소인 냉매가 냉매 도입파이프(11a)를 통하여 지지대내에 형성된 쟈켓(9)으로 냉매원(10)으로부터 도입된다. 쟈켓내에서 순환하고 열교환작용에 의하여 기화되는 액체질소는 냉매 방출 파이프(11b)로부터 처리실의 외부로 방출된다. 이러한 구성으로, 예를 들면 -196 ℃ 의 온도를 가지는 액체질소를 냉각하기 위한 열이 서셉터(4c)를 통하여 냉각쟈켓(9)으로부터 반도체웨이퍼(W)로 전달되며, 반도체웨이퍼(W)의 목표면은 원하는 온도로 냉각될 수 있다.
웨이퍼와 거의 동일한 면적을 가지도록 형성된 정전척(12)이 거의 원주형상을 가지도록 형성된 서셉터(4c)의 상부면상에 웨이퍼 얹어놓는부로 마련된다. 정전척(12)은 예를 들면 절연상태의 2개의 폴리머 폴리이미드막의 사이에 동박과 같은 도전성막(13)을 끼움으로써 형성된다. 도전성막(13)은 리이드선을 통하여 가변 DC 고전압 전원(14)에 접속된다. 따라서, 고압이 도전성막(13)에 인가될 때, 반도체웨이퍼(W)는 쿨롱의 힘에 의하여 정전척(12)의 상부면상에 흡착 및 유지된다.
가스원(15)으로부터 반도체웨이퍼(W)의 하부면에 He 가스와 같은 열전달 가스(백쿨링 가스)를 공급하기위한 가스유통로(16)와 서셉터 지지대(4a) 및 서셉터(4c)를 통하여 서셉터(4c)를 구성하는 각 부재의 연결부는 서셉터 지지대(4a) 및 서셉터(4c)내에 형성된다. 고리형 포커스 링(17)은 반도체웨이퍼(W)를 둘러싸도록 서셉터(4c)의 상부 끝단의 둘레 모서리부에 배치된다. 포커스 링(17)은 예를 들면 세라믹 또는 석영 그래스와 같은 높은 저항을 가지는 저항으로 구성되며, 내부에서 반도체웨이퍼(W)에만 효과적으로 반응성 이온이 충돌하도록 작용한다.
고주파 전원(19)은 매칭 캐패시터(18)를 통하여 서셉터(4c)에 접속된다. 처리중에, 예를 들면 2 MHz 인 고주파 전원이 플라즈마와 서셉터 사이에 바이어스 전위를 형성하도록 서셉터(4c)로 인가되고, 피처리물의 목표면상에 플라즈마류를 효과적으로 방출하게 된다. 예를 들면 석영글래즈 또는 세라믹으로 만들어진 가그공급수단(20)이 서셉터(4c)의 위에 배치된다. 가스 공급수단(20)은 서셉터(4c)의 놓는면의 면적과 거의 동일한 면적을 가지는 속이 빈 원판형상을 하고 있다. 절연부재(5)의 거의 중앙을 통하여 가스공급수단(20)의 속이 빈 부분과 연이어 통하는 가스 공급파이프(21)는 가스 공급수단(20)의 상부에 중앙적으로 장착된다. 다수의 작은 구멍(23)이 가스 공급수단(20)의 하부벽(22)내에 형성되며, 하부 처리공간으로 에칭가스의 균일한 흐름을 형성하게 된다. 돌기부(25)가 가스 공급파이프(21)를 향하여 돌출하도록 중앙에 형성된 버퍼 디스크(26)가 가스 공급수단(20)의 속이 빈 부분내에 배치된다. 버퍼 디스크(26)는 매스 폴로우 콘트롤러(28)를 통하여 처리가스원(27a, 27b)으로부터 공급된 에칭가스의 혼합을 제고하고, 균일한 유량으로 처리실내로 들어가도록 구성된다. 피처리물의 목표면으로 가스를 집중하도록 작용하는 고리형 돌기(29)가 가스 공급수단(20)의 하부벽의 주위에서 아래쪽으로 돌출한다.
다수개의 방출파이프(30)가 처리실(2)의 바닥벽을 통하여 소정의 간격으로 고정되며, 진공펌프(도시 않됨)에 의하여 처리실(2)로부터 분위기를 방출하도록 구성된다. 게이트 밸브(제 1 도에서는 생략되었으나, 제 4 도에서 부호(39)로 나타냄)가 처리실의 중앙부의 측벽에 마련되며, 이 게이트 밸브를 통하여 처리실로 또는 처리실로부터 반도체웨이퍼(W)를 로우드/언로우드하도록 구성된다.
히이터 고정대(31)내에 수납된 온도조절 히이터(32)는 냉매 쟈켓(9)과 정전척(12)의 사이에서 서셉터의 하부에 마련된다. 전원(33)으로부터 온도조절 히이터(32)로 인가된 전력은 냉각쟈켓(9)으로부터의 냉각용 열의 전달을 제어하도록 조절되며, 그에 의하여 반도체웨이퍼(W)의 피처리면을 온도조절하게 된다.
상술한 구성의 처리장치의 제어시스템을 상술한다. 예를 들면 석영글래스인 투명재료로 구성된 전달창(34)이 처리실(2)의 한쪽 벽에 장착된다. 광학계(35) 및 광학 센서(36)가 이 창의 외부에서 동일한 광축상에 배치된다. 결과적으로, 처리실내에서 발생한 플라즈마로부터의 광이 광학계(35)를 통하여 광학 센서(36)에 의하여 수납될 수 있다. 광착센서(36)의 출력측은 콘트롤러(37)에 접속되며, 처리실내에서 발생된 방출 스펙트럼에 관한 신호를 보내게 된다. 처리실내의 압력과 같은 물리적인 조건에 있어서의 변화를 검지하기 위한 센서 또는 압력센서(38)가 처리실(2)상에 장착된다. 센서(38)의 출력측은 콘트롤러(37)에 접속되며, 플라즈마 처리를 통하여 연속적으로 변화하는 처리실내의 압력에 관한 신호가 콘트롤러(37)로 입력된다. 콘트롤러(37)는 센서(36, 38)로부터의 피이드백 신호 또는 미리 설정된 신호의 근거하에 플라즈마 발생 고주파 전원(7), 고주파 바이어스 전원(19), 냉매원(10), 온도-조절 전원(33), 백 쿨링 가스원(15) 및 처리가스 매스플로우 콘트롤러(28)로 신호를 보낸다. 그리고, 본 발명에 따르면, 중간단자가 가변적으로 제어되기 전/후에 안테나부를 통하여 흐르는 전류의 값 또는 고주파 안테나의 전위가 가변적으로 제어되고, 그에 의하여 플라즈마 처리장치의 작동환경을 최적치로 조정한다.
제어신호를 얻는 방법의 예를 이하에서 기술한다.
[제1방법]
플라즈마의 발생하에 전달창(34)을 통하여 처리실로부터 검지된 플라즈마로부터 각 파장의 방출 스펙트럼이 스펙트로스코오프를 포함하는 광학계(35)에 의하여 스펙트로스코우프적으로 분석되고, 광학센서(36)상에 부딪히게 된다. 광학센서(36)는 소정의 방출 스펙트럼을 가지는 광에 응답하며, 콘트롤러(37)로 제 1 및 제 2 신호(후에 상술함)를 출력한다. 제 1 신호는 처리실내에서의 그의 양이 에칭처리시에 플라즈마내의 변화에 따라서 비교적으로 또한 크게 변화하는 제 1 가스성분의 방출 스펙트럼, 예를 들면 산화막과 같은 에칭대상물과 반응하며, 에칭시에 처리실내에서 소비되기 때문에 검출된 방출강도는 낮은 레벨로 남아 있지만, 에칭의 마지막에는 소비되지 않기 때문에 그의 양이 증가하고 그의 검출된 방출강도 역시 증가하는 예를 들면 CF 또는 CF2 와 같은 CF를 근거로 하는 처리가스의 활성종의 방출스펙트럼을 나타내는 신호다. 제 2 신호는 CO 가스와 같은 반응생성물과 플라즈마의 안정화를 위하여 혼합된 불활성가스(예를 들면 아르곤 또는 질소)와 같은 제 2 가스 성분의 방출 스펙트럼을 나타내는 신호이다. 반응생성물은 에칭시에 크게 발생되는 산화막과 같은 에칭목표와 반응하여 검출된 방출량이 크게 증가하지만, 반응생성물은 에칭의 마지막에는 생성되지 않으므로, 검출된 방출강도는 감소한다. 처리실내의 제 2 가스 성분의 양은 에칭처리시에 일지라도 비교적 변화하지는 않는다. 콘트롤러(37)는 2개의 신호의 근거하에 가스 성분의 2가지 종류의 방출스펙트럼에 관한 방출강도의 비율을 계산하고, 강도의 비율의 근거하에 플라즈마의 진행상태에 따른 제어신호를 출력한다.
각 방출스펙트럼은, 관찰되는 가스 성분의 피이크 파장이 적절한 간섭필터를 통하여 검출되고 계산과정이 수행되도록 얻어질 수 있다. 대신에, 만약 방출 스펙트럼의 S/N 비가 낮다면, 특정한 파장대역내의 방출 스펙트럼의 합계의 평균 및 계산과정이 잡음의 영향을 감소시키도록 합계의 평균의 근거하에 수행되고, 그에 의하여 높은 정밀도로 측정치를 얻을 수 있다.
이러한 방식으로 측정된 방출스펙트럼으로부터 얻어진 방출강도의 비는 리얼타임으로 처리실내의 플라즈마 상태를 반영한다. 이러한 이유로, 고주파 안테나에 인가된 고주파 전압/전류는 최적상태로 처리실내의 플라즈마를 유지하도록 피이드백 제어되며, 그에 의하여 에칭의 종점을 정확하게 제어한다.
[제2방법]
처리실내의 가스의 압력과 에칭률 사이의 상관관계를 측정한 결과로서, 에칭률은 가스압력이 제3도에서 나타낸 바와 같이 소정의 범위내로 떨어질 때 큰 값으로 안정하게 된다. 이러한 이유로, 에칭률이 소정의 범위(예를 들면 B 범위 또는 C 범위가 아니고 A범위)내로 떨어지는 경우에 있어서의 압력이 범위(A1 과 A2 의 사이)가 더미 웨이퍼를 사용한 에칭처리에 의하여 미리 얻어진다. 실제적인 처리에 있어서, 압력센서(38)는 처리실내의 압력에 있어서의 변화를 감시한다. 콘트롤러(37)는, 압력센서(38)로부터 콘트롤러(37)로 보내진 압력신호가 항상 압력 A1 과 압력 A2 의 사이에 있고, 에칭처리가 높은 에칭률로 안정되게 수행되도록 각 유니트로 제어신호를 출력한다.
플라즈마 처리장치를 사용한 처리공정 및 시스템의 구성을 제4도를 참조하여 기술한다. 상기에서 상술한 플라즈마 처리장치에서와 실질적으로 동일한 구성은 동일한 참조부호를 사용하였으며 그에 대한 상세한 설명은 생략한다.
로우드-록 실(40)은, 자유롭게 개폐가능한 게이트 밸브(39)를 통하여, 본 발명이 적용될 수 있는 고주파 유도 플라즈마 처리장치(1)의 처리실(2)의 한쪽벽에 접속된다. 로우드-록 실(40)에는 정전 시일드를 위하여 유도적인 테프론이 실시된 알루미늄 아암에 의하여 마련되는 반송 아암인 반송 유니트(41)가 마련된다. 방출파이프(42)는 로우드-록 실(40)의 바닥면내에 형성된 방출 포트를 통하여 로우드-록 실(40)에 접속되며, 로우드-록 실(40)의 내부는 진공배기밸브(43)를 통하여 진공펌프(44)에 의해 진공상태로 배기된다.
카세트 실(46)은 자유롭게 개폐가능한 게이트 밸브(45)를 통하여 로우드-록 실(40)의 측벽에 접속된다. 그의 위에 카세트(47)를 올려놓기 위한 얹어놓는대(48)는 카세트실(46)내에 마련된다. 카세트(47)는 피처리물로서 예를 들면 25매의 웨이퍼(W)를 수용할 수 있다. 방출파이프(49)는 바닥면내에 형성된 방출포트를 통하여 카세트실(46)에 접속된다. 카세트(46)의 내부는 진공배기밸브(50)를 통하여 진공펌프(44)에 의하여 진공상태로 배기될 수 있다. 카세트실(46)의 다른 쪽 벽은 자유롭게 개폐가능한 게이트 밸브(51)를 통하여 대기와 연이어 통한다.
상술한 구성의 플라즈마 처리장치(1)의 작용을 간단히 설명한다. 제일 먼저, 카세트실(46)과 대기의 사이에 마련된 게이트 밸브(51)가 개방되고, 피처리물(W) 을 수납한 카세트(47)가 반송 로보트(도시않됨)에 의하여 카세트실(46) 내의 얹어놓는대(48)상에 놓여지고, 게이트 밸브(51)가 폐쇄된다. 곧 이어, 카세트실 (46)에 접속된 진공배기밸브(50)가 개방되고, 따라서 카세트실(46)의 내부가 진공펌프(44)에 의하여 예를 들면 10-1 Torr로 진공배기된다.
로우드-록 실(40)과 카세트 실(46)사이의 게이트 밸브(45)가 개방되고, 피처리물(W) 이 반송 아암(41)에 의하여 카세트실(46)내에 놓인 카세트(47)로부터 꺼내어지고, 로우드-록 실(40)에 흡착 및 반송된다. 그리고, 게이트 밸브(45)가 폐쇄된다. 로우드-록 실(40)에 접속된 진공 배기밸브(43)가 개방되고, 따라서 로우드-록 실(40)의 내부가 진공펌프(44)에 의하여 예를 들면 10-3 Torr로 진공배기된다.
로우드-록 실(40)과 처리실(2)사이의 게이트 밸브(39)가 개방되고, 반송아암(41)이 피처리물(W) 을 푸셔핀(도시않됨)으로 반송하도록 처리실(2)로 반송한다. 그 후에 반송아암(41)은 로우드-록 실(40)로 복귀하고, 게이트 밸브(39)가 폐쇄된다. 계속하여, DC 고압이 정전척(12)에 인가된다. 푸셔핀은 정전척(12)상에 피처리물(W) 을 얹어놓도록 아래쪽으로 이동하고, 반도체웨이퍼(W)는 서셉터(4c)상에 얹히고 고정된다. 이 과정을 통하여, 진공배기밸브(52)가 개방되고, 따라서 처리실(2)의 내부가 진공펌프(44)를 통하여 예를 들면 10-5 Torr 까지 진공화된다.
열전달을 위한 백-쿨링 가스는 반도체웨이퍼(W)의 하부면 및 얹어놓는대(4)의 관련 접속부로 공급되는 반면, 냉각을 위한 열은 냉각 쟈켓(9)으로부터 공급되고, 반도체웨이퍼(W)의 목표면이 원하는 온도로 냉각된다. 그 후에, CHF3와 같은 처리가스가 가스 공급수단(20)을 통하여 처리실(2)내로 도입된다. 본 발명에 따른 더미 웨이퍼를 사용함으로써 미리 얻어진, 최적의 에칭률을 얻기 위한 최적의 압력 분위기로 도달하였다고 압력센서(38)가 검출한 후에, 예를 들면 13.56 MHz 의 고주파 전력이 매칭회로(8)를 통하여 고주파 전원(7)으로부터 고주파 안테나(6)로 인가되고 처리실(2)내에서 플라즈마를 여기시킨다. 또한, 피처리물(W) 에 따라서, 예를 들면 에칭 등의 플라즈마 처리를 수행하기 위하여 얹어놓는대(4)에 바이어스 전위가 인가된다. 동시에, 반응생성물이 처리실 내부벽에 부착하는 것을 방지하기 위하여, 처리실의 내부벽이 50 ℃ 내지 200 ℃, 보다 바람직하게는 80 ℃ 내지 150℃로 가열된다.
본 발명의 플라즈마 처리장치에 따른 플라즈마 처리에 있어서는, 처리실내의 분위기를 감시하는 센서(36, 38)로부터 피이드백 신호 또는 설정치의 근거하에 매칭회로(8) 또는 고주파 전원(7)으로 콘트롤러로부터 제어신호가 보내진다. 그리고, 고주파 안테나(6)의 중간단자 전/후의 전류치 또는 고주파 안테나(6)의 전위가 가변적으로 제어되고, 처리실(2)내의 플라즈마가 균일한 상태로 고밀도로 고정되며, 그에 의하여 최적의 처리조건을 유지한다. 이러한 방식으로, 콘트롤러(37)는 소정의 에칭이 종료하였는 가를 결정하며, 고주파에너지의 인가 및 처리가스의 공급이 정지되고, 플라즈마 처리의 작용이 종료한다.
처리실(2)내의 처리가스와 반응생성물을 전환하기 위하여, 예를 들면 질소와 같은 불활성가스가 처리실(2)내로 공급되고, 처리실(2)의 내부는 진공펌프(44)에 의하여 진공된다. 처리실(2)내부의 잔여 처리가스 및 반응생성물이 충분히 배기된 후, 처리실(2)의 측면에 마련된 게이트 밸브(39)가 개방된다. 반송아암(41)은 로우드-록 실(40)로부터 처리실(2)내의 피처리물(W)로 이동하고, 푸셔핀에 의하여 얹어놓는대(4)로부터 피처리물(W) 을 수납하고 로우드-록 실(40)내로 반송된다. 그 후에, 게이트 밸브(39)가 폐쇄된다. 로우드-록 실(40)내의 히이터에 의하여 피처피물이 실온, 예를 들면 18 ℃로 가열된다. 그후, 피처리물(W) 이 로우드-록 실(40)로부터 카세트실(46)을 통하여 대기로 반송되고, 일련의 작용을 마감한다.
고주파 안테나(6)를 통하여 처리실(2)내에서 여기된 플라즈마를 최적의 상태로 제어하기 위한 다양한 기구적 구성에 관한 실시예를 제5도 내지 제14도를 참조하여 설명한다. 본 명세서에 첨부된 각 도면에서 동일한 기능을 가지는 구성부에 대하여는 동일 부호를 부여하였으며, 그의 상세한 설명은 생략한다.
절연부재(5)의 외부벽면상에 장착된 고주파 안테나(6)의 다른 실시예를 제5도에 나타내었다. 본 실시예에 있어서, 스파이어럴 코일로 구성되는 고주파 안테나(6)의 일부는 2층으로 감겨있으며(D부분), 강한 전자장이 중첩부(D)에 의하여 발생될 수 있다. 이 스파이어럴 코일의 권선수가 중간부(6c)에 부가되어 이러한 방식으로 부분적으로 변화할 때, 처리실(2)내에서 여기된 플라즈마의 밀도분포가 높은 정밀도로 조정될 수 있다. 제5도에 나타낸 실시예에 있어서, 고주파 안테나(6)의 중첩부는 그의 외부 둘레부에 설정된다. 그러나, 중첩부는 필요한 플라즈마 밀도분포에 따라서 고주파 안테나(6)의 임의의 부분에 설정될 수 있다. 제5도에 나타낸 실시예에 있어서, 고주파 안테나(6)의 중첩부는 단 2 층으로 구성된다. 그러나, 중첩부는 필요한 플라즈마 밀도분포에 따라서 임의의 층을 가질 수 있다.
제6도에서 나타낸 실시예의 플라즈마 처리장치에 있어서, 예를 들면 알루미늄인 제 2 전극(53a, 53b)은 동일한 원호간격으로 얹어놓는대(4)를 둘러싸도록 처리실(2)내에 방사형으로 배치된다. 전극(53a, 53b)은 각각 매칭회로(54a, 54b)를 통하여 고주파 전원(55a, 55b)에 접속된다. 이러한 구성에 있어서, 얹어놓는대(4)에 인가된 고주파 바이어스 에너지에 부가적으로, 동일한 원호간격으로 외부둘레로부터 피처리물(W) 의 처리면을 방사상으로 둘러싸는 제 2 의전극(53a, 53b)에도 고주파 바이어스 에너지가 인가될 수 있다. 따라서, 처리실(2)내에서 여기된 플라즈마가 각 고주파 에너지의 강도, 진폭, 위상, 주파수등을 조정함으로써 최적의 상태로 제어될 수 있다.
제 7 도에 나타낸 실시예에 있어서, 예를 들면 실리콘 또는 알루미늄으로 구성되는 격자형 전극(56)이 처리실(2)내에서 얹어놓는대(4)위 및 가스공급수단(20)의 가스 송풍면의 아래에 배치된다. 전극(56)은 가변 전원(57)에 접속된다. 적절한 전류가 전극(56)에 인가될 때, 고주파 안테나(6)의 작용에 의하여 처리실(2)내에서 발생된 전기장의 분포가 제어될 수 있으며, 그에 의하여 챔버내의 원하는 밀도분포를 가지는 플라즈마를 여기한다.
제 1 도에 나타낸 실시예에 있어서는, 고주파 안테나(6)가 예를 들면 석영글래스인 절연부재(5)를 통하여 처리실(2)의 상부면에 배치된다. 그러나, 본 발명은 그 실시예에 한정되지 않는다. 예를 들면, 제8도에 나타낸 바와 같이 처리실(2)의 측벽의 일부가 예를 들면 석영글래스 또는 세라믹으로 만들어진 부재(58) 또는 절연부재로 구성되며, 제 2 의 고주파 안테나(59)의 각각이 절연부재(58)의 외벽면상에 장착된다. 고주파 안테나(59)는 바람직하게는 상호간에 동일한 원호간격을 두고 배치되며, 매칭회로(60)를 통하여 접속된 고주파 전원(61)으로부터 제 2 의 고주파 안테나(59)로 고주파 에너지가 인가될 수 있다. 이러한 구성으로, 플라즈마는 처리실(2)의 측벽부로부터도 여기될 수 있다. 따라서, 고밀도의, 균일한 플라즈마가 처리실(2)내에서 발생될 수 있으며, 각 안테나에 인가된 고주파 에너지를 조절함으로써 원하는 밀도분포를 가진다. 결과적으로, 높은 정밀도로 플라즈마 처리를 할 수 있다.
제 9 도에서 나타낸 바와 같이, 얹어놓는대(4)의 일부가 예를 들면 석영글래스로 만들어진 절연부재(62)로 구성되며, 고주파 안테나(63)가 절연부재(62)의 하부면상에 배치된다. 고주파 에너지는 매칭회로(67)를 통하여 접속된 고주파 전원68)에 의하여 고주파 안테나(63)로 인가된다. 이러한 구성으로, 플라즈마는 처리실(2)의 얹어놓는대(4)의 하부면으로부터도 여기될 수 있다. 따라서, 고밀도의 균일한 플라즈마가 처리실(2)내에서 발생될 수 있으며 안테나로 인가된 고주파 에너지를 조절함으로써 원하는 밀도분포를 가진다. 결과적으로, 높은 정밀도의 플라즈마 처리가 가능하다.
제 10 도에서 나타낸 바와 같이, 얹어놓는대(4)의 상부면의 둘레에 배치된 포커스링은 예를 들면 석영 글래스 또는 세라믹으로 만들어진 절연부재(69)로 구성된다. 고주파 안테나(70)는 포커스링의 주위에 배치된다. 고주파 에너지가 매칭회로(71)를 통하여 접속된 고주파 전원(72)으로부터 고주파 안테나(70)로 인가된다. 이러한 구성으로, 플라즈마는 처리실(2)의 얹어놓는대(4) 둘레의 부분으로부터도 여기될 수 있다. 따라서, 따라서, 고밀도의 균일한 플라즈마가 처리실(2)내에서 발생될 수 있으며 안테나로 인가된 고주파 에너지를 조절함으로써 원하는 밀도분포를 가진다. 결과적으로, 높은 정밀도의 플라즈마 처리가 가능하다.
비교적 넓은 크기를 가지는 예를 들면 LCD 인 피처리물이 제11도에서 나타낸 바와 같이 플라즈마로 처리될 때, 다수개의 고주파 안테나(73a, 73b, 73c, 73d)가 처리실(2)의 상부면상에 배치된 절연부재(5)의 외부벽 부분상에 장착될 수 있으며, 고주파 에너지가 매칭회로(74a, 74b, 74c, 74d)를 통하여 접속된 고주파 전원(75a, 75b, 75c, 75d)으로부터 각 고주파 안테나로 인가될 수 있다. 이러한 구성으로, 처리실(2)이 비교적 넓은 영역을 가지는 처리물용으로 큰 크기를 가지더라도, 고밀도의 균일한 고주파 프라즈마가 여기될 수 있다.
상술한 실시예에 있어서, 피처리물(W) 은 얹어놓는대(4)의 상부면상에 놓여지며, 플라즈마는 처리실(2)의 상부면상에 놓인 고주파 안테나(6)에 의하여 여기된다. 그러나, 본 발명은 이러한 구성에 한정되는 것은 아니다. 예를 들면, 제 12 도에서 나타낸 바와 같이 역전법도 채택될 수 있다. 이러한 장치에 있어서는, 제1도에 나타낸 처리장치의 구성요소에 상당하는 구성요소들이 거의 뒤집힌 상태로 배치된다.
이러한 역전형 장치에 있어서는, 아래쪽으로부터 피처리물(W) 을 지지하기 위하여 수직으로 이동가능한 지지기구(75) 및 정전척(12)으로부터 피처리물(W) 을 제거하기 위한 수직으로 이동가능한 푸셔핀 기구(77)를 마련하는 것이 바람직하다. 이러한 구성이 채택된 경우에는, 피처리물(W) 의 목표면이 미세한 입자에 의하여 오염되는 것이 방지될 수 있다. 결과적으로, 수율이 더욱 개선된다.
선택적으로, 제 13 도에 나타낸 바와 같이, 거의 원통형인 처리실(2)이 수직으로 배치되고, 절연부재(5)는 그의 양쪽에 배치되며, 고주파 안테나(6)가 각 절연부재(5)의 외부 벽면상에 각각 장착된다. 피처리물(W) 은 정전척(12)을 통하여 처리실(2)의 중앙에서 거의 수직으로 배치된 얹어놓는대(4)의 2 면상에 흡착 및 유지된다. 이러한 구성이 채택될 수 있다.
이러한 구성으로, 다수개의 피처리물(W) 이 동시에 처리될 수 있다. 부가적으로, 피처리물(W) 의 피처리면이 수직으로 배치되므로, 목표면이 미세한 입자로 야기되는 오염으로부터 보호되며, 따라서 수율을 더욱 개선한다.
제 14 도에 나타낸 다른 실시예에 있어서는, 서셉터(4)가 처리실(2)의 벽면상에 고정되지 않고, 수직으로 이동가능한 승강기구(78)상에 위치된다. 냉 또는 열원 및 서셉터(4)로의 열전달 가스를 위한 전기회로의 다양한 형식 및 파이프들이 승강기구(78)내에 배치된다. 이러한 구성이 채택되었을 때, 서셉터(4)상의 피처리물은 플라즈마 발생원으로서 기능하는 고주파 안테나(6)와 관련하여 수직으로 이동하도록 조정될 수 있다. 따라서, 피처리면은 최적의 플라즈마 밀도분포를 가지는 영역으로 이동할 수 있으며 처리된다.
상술한 실시예의 어느 장치에 있어서, 고주파 안테나의 중간단자는 독립적으로 이용되며 단자 사이의 전류를 가변적으로 제어한다. 그러나, 외부 내부 단자사이를 흐르는 전류 또는 단자 사이의 전압은 플라즈마 처리를 통하여 간헐적으로 온/오프 제어될 수 있다.
보다 상세하게는, 고주파 전압 또는 전류는 플라즈마를 간헐적으로 발생하기 위하여 설정치 또는 방출 스펙트럼 신호에 따라서 온/오프 제어 및 공급된다. 이러한 방식으로 플라즈마를 간헐적으로 발생함으로써, 플라즈마의 활성종이 확산되는 것이 방지되고 플라즈마의 흐름이 피처리물의 목표면에 집중된다. 이러한 이유로, 에칭효율이 증가될 수 있다. 반응생성물의 방출이 플라즈마의 중단시에 증진되므로, 에칭률이 더욱 증가될 수 있다. 필요하다면, 방출양은 플라즈마 발생의 중지시에 증가/감소 하도록 조절될 수 있다.
예를 들면 석영으로 만들어진 관찰창(34)이 사용될 때, 방출 스펙트럼을 관찰하기 위하여, 플라즈마의 흐름의 일부가 마찬가지로 관찰창(34)에 대하여 충돌한다. 이러한 이유로, 관찰창 자체는 에칭될 수 있으며, 또는 가스 성분이 그에 부착될 수 있고, 따라서 관찰창의 투명도가 낮아지게 된다. 그러한 문제가 처리실(2)에서 발생하며, 또한 방출 스펙트럼이 관찰창(34)을 통과할 수 없으며, 신호가 정확히 수납될 수 없다. 그러한 왜곡된 신호의 근거하에 장치가 피이트백 제어되면, 정확한 처리가 수행될 수 없으며, 다시 말해서 부정확한 작용이 수행된다.
그러한 경우에, 다음의 방법이 사용될 수 있다.
충돌 방출 스펙트럼에 상당하는 신호를 출력하는, 광학센서로서의 제 1 센서와, 예를 들면 100 nm 내지 1,000 nm, 보다 바람직하게는 200 nm의 성분인 관찰창(34)을 통한 처리실로부터의 광의 단파성분을 감지하고, 그에 상당하는 신호를 출력하는 제 2 센서를 조합함으로써 얻어지는 복합센서가 사용된다. 그러한 단파 성분은 관찰창의 투명도에 있어서의 열화에 높은 감도를 가진다. 따라서, 제 2 센서는 관찰창(34)의 투명도에 있어서의 열화를 감지하기 위하여 관찰창을 통하여 보내진 단파성분에 있어서의 변화를 감시한다. 제 1 센서에 의하여 검출된 방출스펙트럼에 관한 신호는 열화의 정도에 따라서 정정되고, 그에 의하여 정확한 피이드백 제어를 수행한다. 만약 단파 성분의 관찰결과가 독립적으로 알 수 있다면, 관찰창이 크게 열화되었을 때, 이 열화를 알수 있다. 결과적으로, 이는 예를 들면 관찰창 자체를 새로운 것으로 교체하는 보수유지의 기준을 제공한다.
제 15 도 및 제 16 도에서 각각 나타낸 실시예들은 기본적으로 절연부재(5)와 고주파 안테나(6)의 사이에 정전 시일드 수단(91)이 추가되었다는 점이 상술한 실시예와 상이한 것이다.
만약 정전 시일드 수단(91)이 마련되지 않았다면, 고주파 안테나(6)와 처리실(2)의 측벽의 사이에서 전위차가 발생된다. 처리실(2)내에서 발생된 플라즈마의 흐름의 일부는 피처리물(W) 의 목표면을 통해서 뿐만이 아니라, 처리실(2)의 측벽을통하여도 흐르므로, 처리실(2)의 측벽 및 절연부재(5)가 손상을 입을 수 있으며, 부가적으로 플라즈마 처리, 예를 들면 에칭의 효율이 감소될 수 있다. 그러나, 정전 시일드 수단(91)은 본 실시예에서와 같이 마련됨으로써, 처리실(2)에 고주파 안테나(6)의 정전력이 작용하는 것이 방지된다. 이러한 이유에서, 고주파 안테나(6)와 처리실(2) 사이의 전위차가 방지된다. 결과적으로, 처리실 내에서 발생된 플라즈마의 흐름이 바이어 전위가 인가된 얹어놓는대(4)의 방향, 즉 피처리물(W) 의 목표면을 향하여 탈출하고, 그에 의하여 목표면을 효과적으로 에칭한다.
만약 정전시일드 수단(91)이 마련되지 않으면, 고주파 전원이 고주파 안테나(6)로 인가될 때는, 플라즈마가 천천히 발생한다. 플라즈마를 신속하게 발생하기 위하여는, 특정한 발화 유니트가 마련될 필요가 있다. 그러나, 플라즈마의 발화는 정전 시일드 수단(91)을 겹쳐놓음으로써 증진되는 것이 알려져 있으며, 플라즈마 처리가 발화 유니트를 사용하지 않고도 신속하게 시작된다.
제 15 도에서 나타낸 정전 시일드 수단(91)은 절연부재(5)와 고주파 안테나(6)의 사이의 거의 전체 영역에 걸져서 연장되며, 접지된다. 정전 시일드 수단(91)은 예를 들면 구리와, 예를 들면 폴리이미드 수지로 구성되며 도전판(92)을 수직으로 잡는 2개의 절연막 또는 층(93a, 93b)으로 구성되는 도전판(92)에 의하여 구성된다.
제 16 도에 나타낸 정전 시일드 수단(91)은 절연부재(5)와 고주파 안테나(6)의 사이의 거의 전체 영역에 걸져서 연장되며, 접지된다. 정전 시일드 수단(91)은 금속과 같은 도전성 부재로 구성되는 망(94)에 의하여 형성된다. 이 경우에, 고주파 안테나(6)는 도전성 망(94)과 접촉하지 않도록 배치된다.
제 17 도에 나타낸 실시예는 제1도 및 제14도에서 나타낸 실시예를 결합함으로써 얻어지며, 고주파 인가수단을 더욱 개선한다. 고주파 인가수단으로서의 고주파 안테나(6)는 큰 직경을 가지는 스파이어럴 제 1 안테나부(81)와, 제 1 안테나부(81)내에 동축적으로 배치되고 작은 직경을 가지는 부분적으로 절결된 링형상의 제 2 안테나부(82)에 의하여 구성된다. 안테나부(81, 82)는 동일한 평면을 형성하도록 절연부재(5)상에 마련된다. 플라즈마 발생 제 1 고주파전원(7A)은 매칭박스(8A)를 통하여 제 1 안테나부(81)의 외부단자(81B) 및 내부단자(81A)의 사이에 접속된다. 13.56 MHz 고주파 전자파가 이 전원으로부터 제 1 안테나부(81)로 인가된다. 플라즈마발생 제 2 고주파 전원(7B)은 매칭박스(8B)를 통하여 제 2 안테나부(82)의 절결된 홈 사이의 소정의 간격을 가지는 2개의 끝단(82A와 82B) 사이에 접속된다. 13.56 MHz 고주파 전자파는 이 전원으로부터 제 2 안테나부(82)로 인가된다. 안테나(6)로 인가된 전체전력은 바람직하게는 500 내지 3,000 W 이다. 각 안테나부로 인가된 전자파의 주파수는 13.56 MHz로 한정되는 것은 아니며, 예를 들면, 38 kHz, 6.36 MHz, 27.12 MHz, 40.68 MHz 등의 고주파가 될 수 있다. 제 1 및 제 2 전원(7A, 7B)은 콘트롤러(37)에 접속되며, 독립적으로 구동되도록 제어될 수 있다.
제 17 도에서 나타낸 플라즈마 처리장치를 사용하여 실리콘 산화막이 에칭되는 경우를 제 19 도 내지 21 도를 사용하여 기술한다.
500 내지 3,000 W의 13.56 MHz 고주파 전자파가 제 1 전원(7A)고 제 2 고주파 전원(7B)로부터 각각 제 1 매칭박스(8A)와 제 2 매칭막스(8B)를 통하여 고주파 안테나의 제 1 안테나부(81) 및 제 2 안테나부(82)로 인가된다. 동시에, 100 내지 500 W 의 고주파 전력이 고주파 바이어스 전원(15)으로부터 얹어놓는대(4)로 인가된다. CHF3 의 유도 플라즈마가 처리실(2)내에 발생되며, 반도체웨이퍼(W)상에 형성된 실리콘 산화막이 10 내지 100 mTorr 의 가스압력에서 에칭된다.
승강기구(78)에 의하여 얹어놓는대(4)가 수직으로 소정의 설정된 위치로 설정된 후, 웨이퍼(W)는 미리 전압이 인가되어 있는 정전척(12)상에 놓인다. 결과적으로 정전력에 의하여 정전척(12)상에 웨이퍼(W)가 고정된다. 처리실(2)은 배기 파이프(30)를 통하여 진공펌프(도시않됨)에 의하여 배기된다. 그후, 웨이퍼(W)의 아래면과 얹어놓는대(4)의 각 결합부에 열전달용 백쿨링 가스가 공급되는 동안, 웨이퍼(W)는 예를 들면 15 ℃ 의 미리 설정된 온도로 냉각된다. 이 냉각과 동시에 또는 바로 후에 순수한 CHF3 가스등이 가스 공급부(20)를 통하여 처리가스 공급원(27a)으로부터 처리실(2)로 공급된다.
그리고, 13.56 MHz로 500 내지 3,500 W 의 동상의 고주파가 처리실(2)에 전자파를 인가하기 위하여 매칭박(8A, 8B)를 통하여 고주파 전원(7A, 7B)으로부터 고주파 안테나(6)의 안테나부(81, 82)로 인가된다. 부가적으로, 13.56 MHz로 10 내지 300 W의 고주파가 DC 성분 차단 캐피시터(18)를 통하여 고주파 전원(19)으로부터 얹어놓는대(4)로 인가된다. 동시에, 제 1 안테나부(81)로의 전력이 제 2 안테나부(82)로의 전력보다 높게 설정되었으면, 제 2 안테나부(82)를 통하는 것보다 큰 전류가 제 1 안테나부(81)를 통하여 흐른다. 그의 중앙부에서의 분포보다는 처리실(2)의 둘레부에서 더 큰 분포를 가지는 전자파가 처리실(2)내로 인가된다. 중앙부에서 보다는 둘레부에서 더 높은 밀도를 가지는 플라즈마가 발생된다. 결과적으로, 둘레부에서의 높은 밀도를 가지는 플라즈마가 방사방향으로 처리실(2)내의 플라즈마의 밀도를 균일하게 하도록 방사방향으로 안쪽으로 확산된다. 따라서, 플라즈마는 산화실리콘을 균일하게 에칭하도록 웨이퍼(W)의 산화실리콘상에 균일하게 확산된다.
이 때, 매스플로우 콘트롤러(28)는 처리가스의 유량을 조정하도록 콘트롤러(37)에 의하여 제어된다. 동시에, 자동 압력조정 유니트(도시않됨)를 사용하여 가스압력이 다양하게 변화된다. 가스압력이 변화할 때마다, 처리실(2)내의 전자온도가 처리실(2)내에 배치된 랭뮈어(Langmuir)프로우브로 측정되고, 그에 의하여 전자온도의 가스의존도를 측정한다. 이 결과를 제19도에 나타내었다. 제 19 도에서는 전자온도가 세포 좌표축을 따라서 그려졌으며, 처리실내의 압력이 가로 좌표축을 따라서 그려졌다. 제19도에서 나타낸 바와 같이, 전자온도는 10 mTorr 이하의 가스압력에서의 감소와 함께 급격하게 증가된다. 전자온도는 10 내지 100 mTorr 내에서의 가스압력에 있어서의 증가와 함께 완만하게 증가된다(제19도는 50 mTorr 까지의 가스압력을 나타낸다). 전자온도는 이 범위내에서 4 eV 내지 2 eV로서 안정되어 있다. 만약 전자온도가 2 eV 내지 4 eV의 범위내로 떨어지면, 플라즈마를 발생하기 위하여 CHF3 분자의 결합에너지보다 높은 전자에너지가 충분히 얻어질 수 있는데, 분자의 결합에너지는 통상 1 내지 수 eV 의 범위내로 떨어지기 때문이다.
이러한 결과로부터 명백한 바와 같이, 만약 가스 압력이 10 mTorr 이하의 낮은 압력이면, 전자온도는 압력에 있어서의 작은 변화로도 크게 변화하게 되어 플라즈마에 영향을 크게 미친다. 이러한 이유로, 가스 압력은 에칭시에 제어하기 어려우며, 안정된 에칭이 수행될 수 없다. 한편, 만약 가스 압력이 10 내지 100 mTorr 내로 떨어지면, 전자온도는 2 내지 4.3 eV 의 범위내에서 완만하게 변화하며, 안정된 플라즈마가 얻어질 수 있다. 따라서, 만약 가스압력이 에칭시에 용이하게 제어되며, 에칭이 안정되게 계속될 수 있다. 만약 가스압력이 100 mTorr 를 초과하면, 고주파 유도결합계의 특성이 효과적으로 이용될 수 없고, 불편하다.
방출강도의 가스압력이 측정되어 제 20 도에 결과를 나타내었다. 제 20 도에서 방출강도는 세로좌표축을 따라서 그려졌으며, 처리실내의 압력은 가로좌표축을 따라서 그려졌다. 제 20 도에서 나타낸 바와 같이, CF2 래디컬의 방출강도는 압력에 있어서의 감소와 함께 균일하게 감소되며, 반면에 CF 의 방출강도는 압력의 감소와 함께 증가한다. CF 의 방출강도는 10 mTorr 의 압력부근에서 피이크치를 가진다. F, C 및 H 래디컬의 방출강도는 압력의 감소와 함께 급격히 증가한다. 이는, CHF3 가 F, C 및 H로 분해됨을 나타낸다. 보다 상세하게는, 압력이 10 mTorr 이하로 감소될 때, 에칭에 필요한 이온을 얻기가 어렵다. F, C 및 H 래디컬의 발생은 10 내지 100 mTorr 의 압력범위내에서 억제된다. 10 내지 100 mTorr 의 압력범위에 있어서, CF2 래디컬들은 점진적으로 증가하고 래디컬폴리머라이제이션을 유발하는 경향이 있다. 이는 높은 선택도로 하층을 에칭하는데 매우 중요하다.
제 21 도는 방출강도의 전자온도 의존도를 측정함으로써 얻어진 결과를 나타낸다. 제 21 도에서 알 수 있는 바와 같이, F, C 및 H 래디컬의 방출강도는 전자온도의 증가와 함께 증가되며, 5 eV 를 초과하는 전자온도로 급격히 증가한다. 이는 F, C 및 H 래디컬들이 2 내지 5 eV 의 범위내에서 전자온도의 증가와 함께 완만하게 증가되고, F, C 및 H 래디컬이 5 eV 를 초과하는 전자온도에서 급격히 증가하여 불편함을 나타내는 것이다.
상기 결과를 고려에 넣고, 반도체웨이퍼(W)의 대략 1 μm두께의 실리콘 산화막이 에칭되고, 에칭은 약 2 시간 후에 종료되었다. 40 또는 이상의 선택도는 하층부재로서 실리콘에 관하여 얻어질 수 있음이 발견되었으며, 결과적인 구조는 양호한 에칭된 형상을 가지며, 산화막의 측면은 실질적으로 수직으로 에칭된다. 이는 미래에 빈번하게 원하는 자기-정렬된 콘택트 홀의 제조를 위한 중요한 해결책을 준다. 이러한 형상의 이용은 산화막만을 에칭하는 것을 가능하게하며, 질화막 및 산화막을 남긴다.
처리장치내에서 방사방향을 따라 균일한 플라즈마를 유도하도록 개선된 고주파 인가수단을 포함하여 구성되는 다른 실시예의 플라즈마 처리장치는 제22도 내지 제 25 도를 참조하여 이하에서 기술된다.
제 22 도에 있어서, 참조부호(110)는 플라즈마 처리장치의 처리실을 나타낸다. 처리실(110)의 바닥 및 측면은 예를 들면 알루미늄인 도전성 부재로 구성되며, 그의 상부면은 석영글래스로 구성된 원통형으로 폐쇄된 용기 또는 절연부재(111)에 의하여 구성된다. 원주형 절연재, 예를 들면 세라믹 또는 석영인 원주형상의 절연체로 구성된 지지부재(112)가 처리실(110)의 바닥면의 중앙부에 배치된다.
예를 들면 알루미늄으로 구성된 디스크형 전극 베이스(113)는 지지부재(112)의 상부 끝단상에 설정된다. 예를 들면 석영 또는 세라믹인 절연부재로 구성된 웨이퍼 얹어놓는대(114)는 베이스(113)의 상부면상에 설정된다. 반응성 이온을 끌어당기지 않는 예를 들면 세라믹 또는 석영이며 높은 저항을 가지는 저항으로 구성된 고리형 포커스링(17)이 제 23 도에 나타낸 바와 같이 얹어놓는대의 상부면의 둘레 가장자리부상에 마련된다. 예를 들면 알루미늄으로 구성되고 정전 시일드를 형성하기 위한 원반형 파라마그네틱 금속 또는 마그네틱 시일드판(115)이 처리실(110)의 상부면상에 석영글래스(111)의 외부벽면상에 설정된다. 제 1 의 일권회 고주파 코일(116) 및 제 1 의 일권회 고주파 코일의 직경보다 큰 직경을 가지는 제 2 의 일권회 고주파 코일(117)이 파라마그네틱 금속(115)상에 마련되어, 알루미늄 또는 동으로 구성된 원통형 전자 시일드 실린더(150)가 그의 사이에 끼워진다. 고주파 안테나(6)는 제 1 및 제 2 코일에 의하여 구성된다. 파라마그네틱 메탈(115)이 접지된 것에 주의한다. 마그네틱 시일드(115)는 제 25 도에서 나타낸 바와 같이 얇은 원판에 의하여 구성되며, 다수의 슬릿(115A)들이 소정의 분포로 판(115)내에 형성된다. 이 실시예에 있어서, 슬릿(115A)들은 외부둘레로부터 원반의 중심을 향하여 연장되는 외부 슬릿군과 중심으로부터 바깥으로 방사방향으로 연장된 내부 슬릿군으로 구성된다. 이들 외부 및 내부 슬릿들은 상호간에 교호로 위치된다. 이러한 구성으로, 마그네틱 시일드 판(115)은 디스크를 따라서 흐르는 것을 잉여전류를 방지한다.
마그네틱 금속(115)은 제 1 및 제 2 일권회 고주파 코일(116, 117)로 인가된 전압에 의하여 플라즈마와 처리실(110)사이에 기생적으로 존재하는 용량 결합이 회피되도록 설정된다.
제 1 의 일권회 고주파 코일(116) 및 제 2 의 일권회 고주파 코일(117)들이 제 24 도의 평면도에서 나타낸 바와 같이 소정의 간격으로 동일 평면상에 동축적으로 배치된다. 제 1 의 일권회 고주파 코일(116)은 제 2 의 일권회 고주파(117)의 지름보다 작은 지름을 가진다. 고주파 코일(116)의 단자(116a, 116b)와 고주파 코일(117)의 단자(117a, 117b)는 방사방향으로 바깥쪽으로 연장되며, 그의 먼쪽 끝단들은 거의 직각으로 위쪽으로 굽어진다.
제 22 도에서 나타낸 처리실(110)은 제 23 도에서의 처리실에 비교하여 단순하게 예시되며, 처리실(110)의 상세한 구성 및 그의 주변부는 제 23 도를 참조하여 후에 기술된다.
제 22 도로 돌아가서, 참조부호(121)는 13.56 MHz 고주파 신호를 출력하는 발진회로(121a)와 결합된 제어회로를 나타낸다. 발진회로(121a)로부터의 고주파 신호출력은 제어회로(121)의 출력측에 각각 접속된 한 쌍의 위상검출기(122a, 122b)로 출력된다. 위상 검출기(122a, 122b)는 각각 입력 고주파신호의 위상을 검출한다. 위상 검출기(122a, 122b)의 입력측은 매칭회로(후술함)의 출력측에 접속되며, 위상을 검출하기 위하여 매칭회로로부터 전압이 인가된다.
위상 검출기(122a, 122b)로부터의 출력전압은 각각 루프 필터(123a, 123b)에 인가되며, 각 루프 필터(123a, 123b)중의 한개는 위상검출기(122a, 122b)중의 대응하는 한개에 접속된다. 제어회로(121)는 각 루프 필터(123a, 123b)의 각각의 다른 입력에 접속된다. 제어회로내에 설정된 위상차 신호(122c, 122d)는 루프 필터(123a, 123b)로 각각 입력된다. 루프 필터(123a, 123b)는 위상검출기(122a, 122b)로부터의 출력을 제어하여 이들의 위상은 위상차 신호에 의하여 설정된 동일 위상이 된다. 루프 필터(123a, 123b)로부터의 출력은 전압제어 발진기(VCO)(124a, 124b)로 각각 출력되고, 입력전압에 의하여 발진주파수를 가변적으로 변화하기 위하여 루프 안테나(123a, 123b)의 출력에 접속된다. 전압제어 발진기(124a, 124b)는 출력전압에 따라서 그들의 출력전압의 발진주파수를 제어한다.
전압제어 발진기(124a, 124b)는 전력 증폭기(125a, 125b)를 통하여 매칭회로(126a, 126b)에 접속된다. 매칭회로(126a, 126b)의 출력단자는 50 Ω 의 임피던스를 가지는 동축케이블을 통하여 제 1 및 제 2 일권회 고주파 코일(116, 117)의 단자(116a, 117a)에 접속된다. 제 1 및 제 2 고주파 코일(116, 117)의 남아있는 단자(116b, 117b)는 접지된다. 전력증폭기(125a, 125b)는 저압제어 발진기(124a, 124b)로부터의 출력전력을 증폭한다. 증폭된 출력전력은 매칭회로(126a, 126b)를 통하여 제 1 의 일권회 고주파 코일(116) 및 제 2 의 일권회 고주파 코일(117)로 인가된다.
캐패시터(127a, 127b)는 매칭회로(126a, 126b)의 단자와 위상검출기(122a, 122b)의 단자 사이에 놓여지며, 매칭회로(126a, 126b)로부터의 출력신호가 캐패시터를 통하여 위상검출기(122a, 122b)로 입력된다.
처리실(110)의 둘레부의 상세한 구성을 제23도를 참조하여 이하에서 기술된다.
4개의 다리(그들중 2 개의 다리는 참조부호(131a, 131b)에 의하여 나타냄)가 처리실(110)의 바닥부의 4 구석에 장착된다. 저전력 전원(132)의 비접지 단자는 캐패시터(133)를 통하여 베이스(113)에 전기적으로 접속된다. 정전척이 웨이퍼 얹어놓는대(114)상에 마련되며, 웨이퍼(W)는 정적척에 의하여 흡착 및 유지된다. DC 전원(143)의 비접지 단자는 정전척의 전극층에 접속된다. 양의 전압이 이러한 방식으로 전극층에 인가될 때, 웨이퍼 얹어놓는대(114)의 정전척상에 놓인 웨이퍼(W)는 정전기적으로 흡착된다.
가스 도입 파이프(145)는 처리실(110)의 측벽의 상부를 통하여 기밀하게 연장하며, 샤워 헤드(146)는 가스 도입 파이프(145)의 안쪽 끝단에 접속된다. 샤워 헤드(146)는 안쪽 끝단이 가스 도입파이프와 연이어 통하는 평평한 원통형 부재에 의하여 구성되며, 샤워헤드(146)의 하부벽에는 다수개의 가스 공급구멍이 소정의 분포로 구성되어 있다. 가스 배출파이프(147)는 처리실(110)의 측벽의 하부에 마련된다.
위쪽으로 세워져 있는 원통형 케이싱(148)의 하부 끝단부는 처리실(110)의 측벽의 상부에 고정되며, 매칭박스(149)는 케이싱(148)의 상부끝단부에 설정된다. 매칭박스(149)는 제22도의 매칭회로(126a, 126b)를 수납한다.
한쌍의 고주파 코일(116, 117)과, 전자 시일드 실린더(150)는 케이싱(148)내의 파라마그네틱 금속(115)상에 놓인다. 전자 시일드 실린더(150)는 접지되며, 제 1 의 일권회 고주파 코일(116) 및 제 2 의 일권회 고주파 코일(117)의 전장 사이의 상호간섭을 제거하도록 마련된다.
제어 유니트(151)는 매칭박스(149)에 접속된다. 제어 유니트(151)는 제어회로(121)와, 위상검출기(122a, 122b)와, 루프 필터(123a, 123b)와, 전압제어 발진기(124a, 124b), 및 전력증폭기(125a, 125b)를 포함한다.
제 1 의 일권회 고주파 코일(116) 및 제 2 의 일권회 고주파 코일(117)은 제 24 도에서 나타낸 바와 같이 동판을 펀칭함으로써 거의 전체 둘레에 걸쳐서 1 내지 3mm 의 두께와 5 내지 15mm의 폭을 가지도록 형성된다. 이들 코일은 본 실시예에서는 사각형 또는 원형의 단면 형상을 가지도록 되어 있으나, 다른 단면형상을 가질 수도 있다.
상기 구성을 가지는 플라즈마 처리장치의 작용을 설명한다.
처리될 반도체웨이퍼(W)는 웨이퍼 얹어놓는대(114) 위에 놓이며, 처리실(110)의 내부는 소정의 처리가스(C4F8 및 H2 의 혼합가스)가 소정의 압력 및 유량으로 처리실(110)내로 공급되는 상태를 형성하도록 가스 배기 파이프(147)를 통하여 소정의 진공도로 배기된다.
그러한 상태에서, 발진회로(121a)로부터의 13.56 MHz 의 고주파 신호가 위상 검출기(122a, 122b)로 출력되고, 각 고주파 신호의 위상이 검출된다. 매칭회로(126a, 126b)로부터의 출력신호가 위상검출기(122a, 122b)로 입력되고, 매칭회로(126a, 126b)로부터의 위상이 검출된다. 위상검출기(122a, 122b)로부터의 고주파 신호출력이 루프 필터(123a, 123b)로 공급된다. 루프 필터(123a, 123b)는 제어회로(121)로부터의 위상차 신호출력에 의하여 설정된 위상차를 얻도록 입력신호를 제어한다. 루프 필터(123a, 123b)로부터의 출력은 전압제어 발진기(124a, 124b)에 출력된다. 전압제어 발진기(124a, 124b)는 루프 필터(123a, 123b)로부터의 출력에 따른 발진주파수를 가지는 신호를 출력한다. 전압제어 발진기(124a, 124b)로부터의 신호출력은 전력을 증폭하기 위하여 전력증폭기(125a, 125b)로 각각 출력된다. 전력증폭기(125a, 125b)로부터의 출력은 매칭회로(126a, 126b)로 출력된다 매칭회로(126a, 126b)는 고주파 코일(116, 117)로 공급되는 고주파 전압의 위상을 제어한다. 예를 들면, 매칭회로(126a, 126b)는 출력 동 위상 신호로 제어된다. 동 위상 고주파 전압은 매칭회로(126a, 126b)로부터 고주파 코일(116, 117)로 출력된다. 이온, 전자, 기타 플라즈마에 담긴 활성종들이 반도체웨이퍼(W)의 전체 표면상에 균일하게 공급 및 방사되고, 소정의 플라즈마 처리가 반도체웨이퍼(W)의 전체 표면상에 균일하게 수행된다.
예를 들면, 플라즈마 에칭에 있어서, 활성상태에서 플라즈마에 의하여 여기된 기체분자는 처리될 재료를 에칭하도록 반도체웨이퍼(W)의 표면상에서 피처리물과 화학적으로 반응한다. 어떠한 플라즈마 처리에 있어서도, 본 플라즈마처리장치에서는 균일한 밀도로 반도체웨이퍼의 전체 표면상에 플라즈마가 작용하므로, 반도체웨이퍼(W)의 표면상에 균일한 처리가 수행된다.
상기 실시예의 플라즈마 처리장치에 의하면, 제 1 의 일권회 고주파 코일(116) 및 제 2 의 일권회 고주파 코일(117)에 공급되는 고주파 신호의 위상은 동일하게 제어된다. 따라서, 부하매칭이 개선될 수 있으며, 플라즈마는 처리실(110)내에서 효과적으로 발생될 수 있다.
처리가스로서의 C4F8 및 H2 의 가스 혼합물이 처리실(110)내로 공급되고, 적절한 에칭률, 즉 5,500 Å/min 의 에칭률이 얻어진다.
본 발명의 다른 실시예를 제26도를 참조하여 기술한다.
제 22 도에 나타낸 실시예에 있어서, 매칭회로(126a, 126b)로부터의 출력의 위상이 검출되고 동일하게 제어되며, 따라서 플라즈마를 균일하게 발생하게 된다. 본 실시예에서는, 매칭회로(126a, 126b)로부터의 반전전력이 검출되고, 고주파 전압신호의 위상은 반전전력을 감소하도록 제어된다.
제 26 도에서, 제어회로(121)로 반전전력을 출력하기 위한 방향성 커플러(161a, 161b)가 매칭회로(1262a, 126b)와 전력증폭기(125a, 125b)의 사이에 각각 접속된다. 제어회로(121)는 입력될 반사전력을 최소화하도록 루프 필터(123a, 123b)로 위상차 신호를 출력한다.
제 26 도에서 나타낸 구성을 가지는 실시예의 작용을 설명한다. 피처리체인 반도체웨이퍼(W)는 웨이퍼 얹어놓는대(114)상에 놓이고, 처리실(110)의 내부는 소정의 처리가스가 소정의 압력 및 유량으로 처리실(110)내로 공급되는 상태를 형성하도록 가스 배기 파이프(147)를 통하여 소정의 진공도로 배기된다.
그러한 상태에서, 발진회로(121a)로부터의 13.56 MHz 의 고주파 신호출력이 위상검출기(122a, 122b)로 출력되고, 각 고주파 신호의 위상이 검출된다. 매칭회로(126a, 126b)로부터의 출력신호는 위상검출기(122a, 122b)로 입력되며, 매칭회로(126a, 126b)로부터의 출력신호의 위상이 검출된다. 위상검출기(122a, 122b)로부터의 고주파 신호출력이 루프 필터(123a, 123b)로 공급된다. 루프 필터(123a, 123b)는 입력신호를 제어함으로써 제어회로(121)로부터의 위상차 신호출력에 의하여 설정된 위상차를 얻도록 한다. 위상차 신호는 반전전력을 최소화하도록 설정된다. 루프 필터(123a, 123b)로부터의 출력은 전압제어 발진기(124a, 124.b)로 출력된다. 전압제어 발진기(124a, 124b)는 루프필터(123a, 123b)로부터의 출력에 따른 발진주파수를 가지는 신호를 출력한다. 전압제어 발진기(124a, 124b)로부터의 신호출력은 전력증폭기(125a, 125b)로 출력되어 전력을 증폭한다. 증폭된 출력전력은 매칭회로(126a, 126b)로 출력된다. 매칭회로(126a, 126b)는 고주파 코일(116, 117)로 공급되는 고주파 전압의 위상을 제어한다. 예를 들면, 매칭회로(126a, 126b)는 동위상 신호를 출력하도록 제어된다. 동위상 고주파 전압은 매칭회로(126a, 126b) 및 고주파 코일(116, 117)의 양쪽에서 출력된다.
이온, 전자, 기타 플라즈마에 담긴 활성종들이 반도체웨이퍼(W)의 전체 표면상에 균일하게 공급 및 방사되고, 소정의 플라즈마 처리가 반도체웨이퍼(W)의 전체 표면상에 균일하게 수행된다.
예를 들면, 플라즈마 에칭에 있어서, 활성상태에서 플라즈마에 의하여 여기된 기체분자는 처리될 재료를 에칭하도록 반도체웨이퍼(W)의 표면상에서 피처리물과 화학적으로 반응한다. 어떠한 플라즈마 처리에 있어서도, 본 플라즈마처리장치에서는 균일한 밀도로 반도체웨이퍼의 전체 표면상에 플라즈마가 작용하므로, 반도체웨이퍼(W)의 표면상에 균일한 처리가 수행된다.
본 실시예에 따르면, 제 1 의 일권회 고주파 코일(116) 및 제 2 의 일권회 고주파 코일(117)로 공급되는 고주파 신호의 위상이 제 1 의 일권회 고주파 코일(116) 및 제 2 의 일권회 고주파 코일(117)로부터의 반전전력을 최소화하도록 제어된다. 따라서, 부하매칭이 개선되고, 처리실(110)내에서 플라즈마가 효과적으로 발생될 수 있다.
제 27 도를 참조하여 본 발명의 다른 실시예를 기술한다.
제 27 도에 있어서는, 제어회로(171)가 처리레시피에 따라 전원(172)로 부하에 대한 전체 전기에너지를 대표하는 신호(a)를 출력한다. 동시에, 제어회로(171)는 분할기(173)로 전력 분할율(b)을 출력한다. 이 분할율(b)은 10% 내지 90% 의 범위에서 가변된다. 전원(172)은 입력 전기에너지 신호(a)에 상당하는 13.56 MHz의 고주파 전력을 분할기(173)로 출력한다. 이 분할기(173)는 상기 전력 분할율로 전원(172)로부터의 고주파 전력을 분할한다. 이 분할된 전력은 분할기(173)로부터 이상기(174)로 공급된다. 이상기(174)는 매칭회로(175)의 2개의 출력단계(후술함)로부터의 신호를 받아서 이들 입력신호의 위상을 검출한다. 이상기(174)는 분할기(174)로부터의 고주파 출력을 조절하여, 매칭회로(175)의 2개의 출력단계로부터의 신호가 각각 소정의 위상을 가지도록 한다. 위상 조정된 고주파 전력은 매칭회로(175)로 출력된다. 매칭회로(175)를 통한 2개의 고주파 전력은 제 1 의 일권회 고주파 코일(116) 및 제 2 의 일권회 고주파 코일(117)로 출력된다.
상술한 구성을 가지는 실시예의 작용을 기술한다. 제어회로(171)는 전체 전기에너지와 처리레시피에 따라 부하로 전력분할율을 지령하기 위하여 신호(a 및 b)를 출력한다.
전원(172)은 입력전기 에너지 신호(a)에 상당하는 13.56 MHz 의 고주파 전력을 분할기(173)로 인가한다. 분할기(173)는 입력 전력 분할율(b)로 입력고주파 전력을 분할하고 매칭회로(175)의 출력단계로부터의 신호의 위상이 각각 소정 위상으로 설정되도록 한다. 이상기(174)는 매칭회로(175)로 위상조정된 고주파 전력을 출력한다. 이러한 방식으로 매칭회로로부터의 2 개의 출력이 각각 고주파 코일(116, 117)로 공급된다.
이온, 전자, 그리고 플라즈마 내에 포함된 다른 활성종들이 반도체 웨이퍼의 전 표면 상에 균일하게 공급되고 방사되며, 소정의 플라즈마 처리가 웨이퍼의 전 표면 상에서 수행된다.
상술한 바와 같이, 본 실시예에 따라서, 고주파 전원으로부터 나온 고주파 전력은 분할되고, 그 분할된 전력들이 두개의 고주파 코일들로 공급된다. 그 고주파 코일에 공급되는 고주파 전압 신호들의 위상들은 소정의 위상차를 가지도록 조정될 수 있다. 따라서, 부하 매칭은 개선될 수 있고, 플라즈마는 효율적으로 처리실(110)내에서 생성될 수 있다.
또 다른 실시예를 제 28 도를 참조하여 설명하겠다.
제 27 도에 나타난 장치의 작동에 부가하여, 매칭회로(175)는 두개의 반전된 전력을 측정하고 반전된 전력 신호들(c 와 d)을 이상기 (174)로 출력한다. 이상기(174)는 이 두 반전된 전력들의 위상들을 조정하여 입력된 반전 전력 신호들(c 와 d)이 최소화 되도록 한다.
상기 구조를 가지는 실시예의 작동이 이하에서 설명된다. 제어 회로(171)는 처리 레시피에 따라 전체 전기 에너지와 부하로의 분할비를 지시하기 위하여 신호(a 와 b)를 출력한다. 전원부(172)는 13.56 MHz 의 고주파 전력을 입력 전기에너지 신호(a)에 대응하여 분할기(173)로 출력한다. 출력기(173)는 입력 고주파 전력을 입력 전력 분할비(b)로 분할하고, 그 분할된 전력들은 이상기(174)로 출력된다. 이상기(174)는 매칭회로(175)로부터 출력된 두개의 반전된 전력 신호들(c 와 d)의 위상을 조정하여 반전된 전력 신호들이 최소화되도록 한다. 매칭회로(175)를 통하여 출력된 두개의 고주파 전력들은 제 1 의 일권회 고주파 코일(116) 과 제 2 의 일권회 고주파 코일(117)로 출력된다.
상기와 같은 구조를 가지는 실시예의 작동을 이하에서 설명한다. 제어 회로(171)는 전체 전기 에너지와 처리 방법에 따른 부하로의 분할비를 지시하기 위하여 신호(a 와 b)를 출력한다. 전원(172)은 13.56 MHz 의 고주파 전력을 입력 전기 에너지 신호(a)에 대응하여 분할기(173)로 출력한다. 분할기(173)는 입력 고주파 전력을 입력 전력 분할비(b)에서 분할하고, 분할된 전력들은 이상기(174)로 출력된다. 이상기(174)는 매칭회로(175)로부터 출력된 두개의 반전된 전력 신호들(c 와 d)의 위상을 조정하여 반전된 전력 신호들이 최소화되도록 한다. 매칭회로(175)를 통하여 출력된 두개의 고주파 전력들은 제 1 의 일 권회(one-turn) 고주파 코일(116) 제 2 의 일권회 고주파 코일(117)로 출력된다.
이온, 전자, 그리고 플라즈마 내에 포함된 다른 활성종들이 반도체 웨이퍼의 전 표면 상에 균일하게 공급되고 방사되며, 소정의 플라즈마 처리가 웨이퍼의 전 표면 상에서 수행된다.
상술한 바와 같이, 본 실시예에 의하여, 고주파 전원으로부터 출력된 고주파 전력은 분할되고, 분할된 전력들은 두개의 고주파 코일들에 공급된다. 고주파 코일로부터 나온 고주파 전압 신호들의 위상들은 반전된 전력 에너지가 최소화 될 수 있도록 조정될 수 있다. 따라서, 부하 매칭이 개선될 수 있고, 플라즈마가 처리실(110) 내에서 효율적으로 생성될 수 있다.
또 하나의 실시예를 제 29 도를 참조하여 설명하겠다.
매칭회로(175)의 두 출력 상태로부터 나온 고주파 전압 신호들이 제어 회로(171)에 다시 공급되고, 제어 회로(171)는 이 고주파 전압 신호들의 위상을 검출한다. 제어 신호(171)들은 위상차가 있는 신호들(e 와 f)을 이상기 (174)에 출력하여 입력 고주파 전압신호들이 소정의 위상들을 각각 가지도록 한다. 이상기(174)는 위상차가 있는 신호들(e 와 f)에 대응하는 위상들로 분할기(174)로부터 출력된 두개의 고주파 전력들의 위상을 조정한다. 위상이 조정된 신호들은 매칭회로(175)로 출력된다.
상기 구조를 가지는 실시예의 작동을 이하에서 설명하겠다. 제어 회로(171)가 처리 방법에 따라 부하로의 분할비와 전체 전기 에너지를 지시하기 위하여 신호(a 와 b)를 출력한다. 전원(172)은 입력 전기 에너지 신호(a)에 대응하여 13.56 MHz 의 고주파 전력을 분할기(173)로 출력한다. 분할기(173)는 입력 고주파 전력을 입력 분할비(b)에서 분할하고, 분할된 전력들은 이상기(174)로 출력된다. 매칭회로(175)의 두 출력 상태로부터 나온 고주파 전압 신호들이 제어 회로(171)에 다시 공급되고, 제어 회로(171)는 이 고주파 전압 신호들의 위상을 검출한다. 제어 신호(171)들은 위상차가 있는 신호들(e 와 f)를 이상기(174)에 출력하여 입력 고주파 전압 신호들이 소정의 위상들을 각각 가지도록 한다. 이상기(174)는 위상차가 있는 신호들 e 와 f 에 대응하는 위상들로 분할기(174)로부터 출력된 두개의 고주파 전력들의 위상을 조정한다. 위상이 조정된 신호들은 매칭회로(175)로 출력된다. 이러한 방식으로 매칭회로 (175)로부터 나온 두개의 출력들은 제 1 일권회 고주파 코일(116) 및 제 2 일권회 고주파 코일(117)로 공급된다.
이온, 전자, 그리고 플라즈마 내에 포함된 다른 활성종들이 반도체 웨이퍼의 전 표면 상에 균일하게 공급되고 방사되며, 소정의 플라즈마 처리가 웨이퍼의 전 표면 상에서 수행된다.
상술한 바와 같이, 제 29 도에 나타난 실시예에 따라, 고주파 전원으로부터 출력된 고주파 전력은 분할되고, 분할된 전력들은 두개의 고주파 코일들로 공급된다. 고주파 코일로 공급된 고주파 전압 신호들의 위상은 제어 회로에서 검출되고, 그 위상차는 소정의 위상차로 조정된다. 따라서, 부하 매칭은 개선될 수 있고, 플라즈마는 처리실(110) 내에서 효율적으로 생성될 수 있다.
또 하나의 실시예를 제30도를 참조하여 설명하겠다.
제 29 도에 나타난 장치의 작동에 부가하여, 두개의 반전된 전력들은 매칭회로(175)를 통하여 제어 회로(171)로 입력된다. 제어 회로(171)는 위상차를 결정하여 각각의 반전된 전력들이 최소화되도록 하고, 위상차를 가지는 신호들(g 와 h)을 이상기(174)로 출력한다.
상기 구조를 가지는 실시예의 작동을 이하에서 설명하겠다. 제어 회로(171)는 처리 방법에 따라 부하로의 분할비와 전체 전기 에너지를 지시하기 위하여 신호(a 와 b)를 출력한다. 전원(172)은 입력 전기 에너지 신호(a)에 대응하여 13.56 MHz 의 고주파 전력을 분할기(173)로 출력한다. 분할기(173)는 입력 고주파 전력을 입력 분할비(b)로 분할하고, 분할된 전력들은 이상기(174)로 출력된다. 매칭회로(175)로부터 나온 두개의 반전된 전력들은 제어 회로 (171)로 출력된다. 제어 회로(171)는 위상차를 결정하여 각각의 반전된 전력들이 최소화되도록 하고, 위상차를 가지는 신호들(g 와 h)을 이상기(174)로 출력한다.
이상기(174)는 위상차가 있는 신호들(g 와 h)에 대응하는 위상들로 분할기(174)로부터 출력된 두개의 고주파 전력들의 위상을 조정한다. 위상이 조정된 신호들은 매칭회로(175)로 출력된다. 이러한 방식으로 매칭회로(175)로부터 나온 두개의 출력들은 고주파 코일들(116, 117)로 공급된다.
이온, 전자, 그리고 플라즈마 내에 포함된 다른 활성종들이 반도체 웨이퍼의 전 표면 상에 균일하게 공급되고 방사되며, 소정의 플라즈마 처리가 웨이퍼의 전 표면 상에서 수행된다.
상술한 바와 같이, 제 30 도에 나타난 실시예에 따라, 고주파 전원으로부터 출력된 고주파 전력은 분할되고, 분할된 전력들은 두개의 고주파 코일들로 공급된다. 고주파 코일로부터 나온 반전된 전력들이 검출되고, 그 고주파 코일들에 공급될 고주파 전압의 위상차는 반전된 전력이 최소화 되도록 조정된다. 따라서, 부하 매칭은 개선될 수 있고, 플라즈마는 처리실(110) 내에서 효율적으로 생성될 수 있다.
또 하나의 실시예를 제 31 도 내지 36도를 참조하여 설명하겠다. 제31도에 나타난 처리실(110)의 구조를 이하에서 설명하겠다.
C4F8 와 H2 의 혼합 가스가 처리 가스로서 처리실(110) 내로 공급된다. 가스 혼합물은 제 23 도에 나타난 가스 도입 파이프(147)를 통하여 공급된다. 제 23 도에 나타난 바와 같이, 낮은 전원(132)은 10 kHz 내지 100 MHz 의 고주파 전력을 공급한다. 처리실(110) 내의 압력을 검출하기 위한 압력 센서 (181)가 처리실(110)에 마련되어 있다. 제어 회로(171)는 고주파 전원(182)과 합체되어 있고, 처리 방법에 따른 고주파 전원(182)에 대한 부하로 전체 전기 에너지를 제어한다. 동시에, 제어 회로(171)는 전력 분할비(b)를 분할기(173)로 출력한다. 고주파 전원부(182)는 분할기(173)로 13.56 MHz 의 고주파 전력을 출력한다. 제어 회로(171)는 고주파 전력부(182)로 부터 나온 고주파 전력을 두개의 전력으로 분할하는 분할기(173)로 분할비(b)를 출력한다. 이 전력 분할비(b)는 10 % 내지 90 %의 범위 내에서 가변적이다. 분할기(173)는 전력 분할비(b)에서 전원부(182)로부터 나온 고주파 전력을 분할한다. 분할된 전력들은 이상기(174)로 출력된다. 이상기(174)는 매칭회로(175a, 175b)(후술하기로 함)의 출력 상태로부터의 신호들을 수용하며 이 입력 신호들의 위상을 검출한다. 이상기(174)는 분할기(173)로부터 나온 고주파 전력 출력들의 위상을 조정하여 매칭회로(175a, 175b)의 각 출력상태로부터 나온 신호들이 각각, 소정의 위상을 가지도록 한다. 위상이 조정된 고주파 전력들은 각각 매칭회로(175a, 175b)로 출력된다. 매칭회로(175a, 175b)를 통하여 출력된 고주파 전력들은 제 1 고주파 회로(116) 및 제 2 고주파 회로(117)로 출력된다.
제어 회로(171)는 처리 과정 동안 압력 센서(181)에 의하여 감지된 처리실(110) 내에서의 압력에 따라 분할비(b)를 연속적으로 결정한다. 이 때, 분할비(b)는, 처리실(110) 내의 압력에 상관없이 웨이퍼 얹어 놓는 대(114) 상에 놓여 있는 웨이퍼의 직경 방향으로 거의 균일하게 처리실(110) 내에서 플라즈마가 발생되도록, 지속적으로 조정된다.
상기 구조를 가지는 제 31 도에 나타난 실시예의 작동에 대하여 이하에서 설명하겠다.
제어 회로(171)는 처리 방법에 따른 부하에의 전체 에너지 및 처리실(110) 내에서 압력 검출기(181)에 의하여 검출되는 지속적으로 변화하는 압력에 대응하여 분할비(b)를 연속적으로 조정한다. 분할기(173)는 두 전원으로 들어가는 입력 고주파 전력을 입력 전력 분할비(b)에서 분할하고, 그 분할된 전력들은 이상기(174)로 출력된다. 이상기(174)는 분할기(173)로부터 나온 두 고주파 전력들의 위상을 매칭회로(175a, 175b)의 출력 상태의 위상들이 각각 소정의 위상들로 세트되도록 조정한다. 이상기(174)는 위상조정된 고주파 전력들을 매칭회로(175a, 175b)로 출력한다. 이러한 방식으로, 매칭회로(175a, 175b)로 부터의 출력은 각각 고주파 코일들(116, 117)로 공급된다.
이온, 전자, 그리고 플라즈마 내에 포함된 다른 어떤 활동적인 입자들도 반도체 웨이퍼 전체 표면 상에 균일하게 공급되고 방사되며, 소정의 플라즈마 처리는 웨이퍼의 전 표면 상에서 수행된다.
제 32 도부터 제 35 도는 내부 코일(116)과 외부 코일 (117)에 공급되는 전력의 역률을 변화시킴으로써 웨이퍼 직경 방향에서 이온이 포화된 전류의 분산이 변화될 수 있음을 나타내는, 실험으로 얻어진 그래프이다. 이 실험 장치에서는, 코일들(116, 117)은 모두 외경이 6.35 mm 인 강철 파이프를 구부려서 제작된 것이다. 내부 코일(116)의 중심 직경은 115 mm 이고, 외부 코일 (117)의 중심 직경은 265 mm 이다. 절연 부재(111)는 30 mm 의 두께를 가지며, 그 절연 부재와 웨이퍼 사이의 간격은 약 20 내지 25 mm 이다. 그 코일들에 공급되는 전체 고주파 전력은 모두 1 kw 이다. 제32도 내지 35도를 참조하면, 직경 위치 "0"은 웨이퍼의 중심을 나타내고, 직경 위치 "-7.5 cm" 는 6" 웨이퍼의 외경 상의 위치를 나타내며, 직경 위치 "-10 cm" 는 10" 웨이퍼의 둘레 상의 위치를 나타낸다.
제 32 도는 처리실 내의 압력이 5 mTorr 인 경우를 나타내며, 제 33 도는 처리실 내의 압력이 10 mTorr 인 경우, 그리고 제34도는 처리실 내의 압력이 30 mTorr 인 경우를 나타낸다. 제 32 도를 참조하면, 부호 (200)으로 나타난 곡선은 100W 및 900W의 전력이 내부 코일(116)과 외부 코일(117)에 각각 공급될 때 얻어진 것이다. 부호 (201)로 나타난 곡선은 200W 및 800W 의 전력이 내부 코일(116)과 외부 코일(117)에 각각 공급될 때 얻어진 것이다. 부호 (202)로 나타난 곡선은 500W 및 500W의 전력이 내부 코일(116)과 외부 코일 (117)에 각각 공급될 때 얻어진 것이다. 부호 (204)로 나타난 곡선은 90W 및 100W 의 전력이 내부 코일(116)과 외부 코일(117)에 각각 공급될 때 얻어진 것이다. 제 33 도를 참조하면, 부호 (210)으로 나타난 곡선은 100W 및 900W 의 전력이 내부 코일(116)과 외부 코일(117)에 각각 공급될 때 얻어진 것이다. 부호 (211)로 나타난 곡선은 200W 및 800W 의 전력이 내부 코일(116)과 외부 코일(117)에 각각 공급될 때 얻어진 것이다. 부호 (212)로 나타난 곡선은 300W 및 700W 의 전력이 내부 코일(116)과 외부 코일(117)에 각각 공급될 때 얻어진 것이다. 부호 (214)로 나타난 곡선은 700W 및 300W 의 전력이 내부 코일(116)과 외부 코일(117)에 각각 공급될 때 얻어진 것이다. 제 34 도를 참조하면, 부호 (220)으로 나타난 곡선은 100W 및 900W 의 전력이 내부 코일(116)과 외부 코일(117)에 각각 공급될 때 얻어진 것이다. 부호 (221)로 나타난 곡선은 250W 및 750W 의 전력이 내부 코일(116)과 외부 코일(117)에 각각 공급될 때 얻어진 것이다. 부호 (222)로 나타난 곡선은 400W 및 600W 의 전력이 내부 코일(116)과 외부 코일(117)에 각각 공급될 때 얻어진 것이다. 부호(224)로 나타난 곡선은 700W 및 300W 의 전력이 내부 코일(116)과 외부 코일(117)에 각각 공급될 때 얻어진 것이다.
이러한 계측 결과로부터 판단해 보면, 다음 사실들을 알 수 있다. 내부코일에 공급되는 전력의 역률이 처리실의 압력에 관계없이 증가할 때, 웨이퍼의 중심부 (즉, 직경 위치 "0")에서의 이온 포화 전류는 바람직하게 증가될 수 있다. 이온 포화 전류의 직경 균일성은 극단적으로 낮은 또는 높은 역률에서는 저하된다. 좋은 균일성을 얻기 위하여, 200 내지 500 W의 전력이 내부 코일(116)에 바람직하게 공급된다. 즉, 내부 코일(116)과 외부 코일(117)의 공급 역률은 바람직하게는 2:8 내지 5:5 로 세트된다.
제 35 도는 웨이퍼의 직경 방향에서 이온 포화 전류의 분산이 내부 코일(116)과 외부 코일(117)에 공급되는 전력의 역률을 변화시킴으로써, 또는 처리실(110)내에서의 압력을 변화시킴으로써 변화될 수 있다는 것을 나타내는 그래프로서, 실험에 의하여 얻어진 것이다.
제 35 도를 참조하면, 부호 (230)으로 나타나는 곡선은 5 mTorr 의 압력에서 내부 코일(116)과 외부 코일(117)에 각각 200W 및 800W 의 전력이 공급될 때 얻어진 것이다. 부호 (231)으로 나타나는 곡선은 10 mTorr 의 압력에서 내부 코일(116)과 외부 코일(117)에 각각 200W 및 800W 의 전력이 공급될 때 얻어진 것이다. 부호 (232)으로 나타나는 곡선은 30 mTorr 의 압력에서 내부 코일(116)과 외부 코일(117)에 각각 250W 및 750W 의 전력이 공급될 때 얻어진 것이다. 부호 (234)로 나타나는 곡선은 50 mTorr 의 압력에서 내부 코일(116)과 외부 코일(117)에 각각 200W 및 800W 의 전력이 공급될 때 얻어진 것이다. 부호(235)로 나타나는 곡선은 50 mTorr 의 압력에서 내부 코일(116)과 외부 코일(117)에 각각 300W 및 700W 의 전력이 공급될 때 얻어진 것이다.
이러한 계측 결과로부터 판단해 보면, 다음 사실들을 알 수 있다. 압력이 증가하고, 이온 포화 전류가 증가하면, 이온 포화 전류의 직경 분산 또한 증가한다.
역률은 웨이퍼 상의 이온 포화 전류를 균일하게 하도록 조정된다. 처리가스로서 C4F8 와 H2 가스의 혼합물이 처리실(110) 내로 공급된다. 낮은 전원이 10 kHz 내지 100 MHz (바람직하게는 400 kHz 2 MHz)의 고주파 전력을 공급한다.
제 36 도에 나타난 바와 같이, 웨이퍼(W) 상에 형성된 SiO2 막 I는 저항 R을 통하여 에칭되고, 그 에칭 비는 500 Å/min 또는 그 이상이고, 저항 R 과는 약 20의 높은 선택성을 얻을 수 있다. 그러한 수치는 일렉트로포토그래프(electrophtograph)에서 알 수 있다.
상술한 바와 같이, 제 22 도 내지 제 31 도에 나타난 플라즈마 처리 장치의 코일 분할 방식에 따라, 고주파 전원으로부터 나오는 라디오 주파수 전력 출력은 두 전력으로 분할되고, 그 분할비(b)는 처리실(110) 내의 압력에 관계없이 얹어 놓는 대(114) 상에 놓여있는 웨이퍼의 직경 방향으로 처리실(110) 내에서 발생되는 플라즈마를 실질적으로 균일하게 할 수 있도록 처리실(110) 내의 압력에 따라 조정된다. 따라서, 처리실(110) 내에서 웨이퍼의 직경 방향으로 발생되는 플라즈마의 밀도의 압력 의존성은 제거될 수 있다.
C4F8 와 H2 가스의 혼합물이 처리실 내로 공급된다. 낮은 전원이 10 kHz 내지 100 MHz (바람직하게는 400 kHz 2 MHz)의 고주파 전력을 공급한다. SiO2 막 I가 저항을 이용하여 에칭될 때 사용되는 에칭 속도는 적절한 값(에칭 속도는 거의 5,500 Å/min )으로 세트되고, 높은 선택성이 얻어질 수 있다.
코일 분할 방식의 플라즈마 처리 장치의 어떤 것에 있어서도, 두개의 고주파 코일들이 마련된다. 그러나, 셋 또는 그 이상의 고주파 코일들이 마련될 수도 있다. 각 코일은 일권회 코일에 한정되지 않고, 나선형 코일이 될 수도 있다. 각 라디오 코일들에 공급될 고주파 전압은 서로 같은 위상에 있는 것만이 아니라, 역상(위상차가 180˚)으로 있을 수도 있다. 여기에 부가하여 소정의 위상차가 세트될 수도 있다.
상세히 설명되는 바와 같이, 본 발명의 코일 분할 방식 플라즈마 처리 장치에 의하여, 고주파 안테나를 사용하는 고주파 유도 시스템에 있어서, 다음과 같은 플라즈마 발생 장치가 제공된다. 이 플라즈마 발생 장치에서는, 피처리체의 피처리면 근처에서의 플라즈마의 밀도는 균일성과 플라즈마 처리의 우수한 재생성을 실현할 수 있도록 균일화된다. 또한, 처리실 내에서의 압력에 의하여 발생되는 플라즈마 밀도의 직경 분산에 미칠 영향이 방지된다. 또한, 적절한 에칭 속도와 높은 선택성이 얻어질 수 있다.
개선된 고주파 전원 장치를 포함하여 구성되는 플라즈마 장치가 제37, 38a, 38b도를 참조하여 설명될 것이다.
제 37 도를 참조하면, 부호 (251)은 작동부(250)에서 정격비 세트를 지시하기 위한 신호(a1)과 낮은 수준에서의 피크 값을 지시하기 위한 신호 b1을 전원부(252)로 출력하기 위한 제어 회로를 나타낸다. 전원부(252)는, c1 및 c2 의 두개의 라인 시스템 및 동시에 매칭회로(253)를 통하여 내부 코일 (116) 및 외부 코일(117)로 제 38a도에 나타난 라디오 주파수 전압을 공급한다. 제38a도를 참고하면, 정격비는 y/(x+y)로 나타나고, 피크 값 b1은 피크 값 a1의 50% 또는 그 이하에서 세트된다.
고주파 전류들은 고주파 전압을 공급하므로써 고주파 코일들 모두를 통하여 흐르고, 플라즈마는처리 처리실 내에서 발생된다. 그러한 작동 중에, 제 38b도에 나타난 간헐적 전압 또는 약 2 MHz의 라디오 주파수 전압이 에칭 처리 또는 CVD 처리와 같은 플라즈마 처리를 수행하기 위하여 전극 베이스(113)에 공급된다.
상술한 바와 같이, 그 정격비가 제어되는 전압이 내부 코일(116)과 외부 코일(117)에 공급된다. 플라즈마 내에서의 가스 분자들의 해리 반응은 억제되고, 전자 온도는 감소된다. 따라서, 플라즈마 처리 내에서 우수한 처리 특성이 적절하게 유지될 수 있다.
플라즈마를 제어하는 다음과 같은 방법들이 평코일과 같은 유도 부재에 고주파 전압이 공급되어 진공 처리 처리실에서 플라즈마를 생성하게 되는 플라즈마 처리 장치에 있어서 효과적인 것으로 생각되고 있다.
a. 고주파 전압의 값을 변화시키는 것.
b. 고주파 전압의 주파수를 1 MHz 에서 100 MHz 범위 내에서 변화시키는 것.
c. 정격비를 변화시키면서, 고주파 전압을 간헐적으로 공급하는 것.
d. 별도로 제어될 수 있는 적어도 두개의 고주파 전압들을 공급하는 것으로서, 그 중 하나는 계속하여 공급되고, 다른 하나는 방법 a, b 또는 c 에 의하여 제어되도록 하는 것.
e. 다수개의 별도로 제어될 수 있는 (전기적으로 절연된) 코일들을 포함하여 구성되는 도전성 부재들을 사용하는 것과, 별도로 제어될 수 있는 고주파들을 상기 코일들에 공급하는 것과, 그로 인해 상기 고주파 전압을 방법 a, b 또는 c 에 의하여 제어 하는 것.
f. 각 고주파의 위상을 방법 b 또는 c 에서 변화시키는 것.
g. 어떤 가능한 조합으로 a 내지 f 의 방법을 채용하는 것.
상술한 a 내지 g 의 플라즈마 제어 방법을 사용하는 것을 설명하겠다.
제24도에 나타난, 이중 코일로 구성된 코일들 (116, 117)은 서로 전기적으로절연되어 있다. 별도로 제어될 수 있는 두개의 고주파 전압들이 방법 e를 사용하여 코일(116, 117)들에 공급된다. 방법 e는 방법 f와 조합될 수 있는데 여기서 각 고주파의 위상이 변화된다. 조합된 방법은 제 26 도에 나타난 플라즈마 처리 장치에서 사용될 수 있다.
제 26 도의 장치에서는, 플라즈마는 다음과 같은 방법으로 제어된다. 첫째, 내부 코일들(116a, 116b)과 외부 코일들(117a, 117b)에 공급되는 고주파 전압들이 제어되고, 그로 인해 기판(114) 바로 위 공간에서의 처리를 위해 플라즈마를 균일하게 분산하게 된다. 플라즈마는 그리하여 같은 밀도로 기판 (114)의 중심부와 둘레부에 공급된다. 이것은 기판(114)의 플라즈마 에칭 속도를 제어할 수 있게 하고, 그 기판(114)의 플라즈마 에칭에 의하여 형성된 구멍의 바닥과 내부 표면 사이의 각을 조정할 수 있게 하여, 즉 CVD 막의 두께 분포 또는 막 형성 속도를 조절하므로써 기판(114)의 중심부 및 둘레부 상에서 막 질을 개선할 수 있게 한다.
제 26 도에 나타난 플라즈마 처리 장치에서는, 코일에 공급되는 고주파 전압의 위상을 변화시킬 수 있는 것만이 아니다. 뿐만 아니라 어느 코일에 공급되는 고주파 전압의 값을 변화시키거나, 고주파 전압을 그로 인해 간헐적으로 정격비로 공급하거나, 및/또는 일정한 라디오 주파수를 가지는 전압과 변화하는 고주파를 가지는 적어도 하나의 전압을 어느 한 쪽 코일에 공급할 수 있다. 기판(114)의 표면은 따라서 매우 균일하게 플라즈마 처리 될 수 있다. 따라서, 기판(114)의 중앙과 둘레부의 플라즈마 처리된 상태는 높은 정밀도로서 제어될 수 있다.
상술한 플라즈마 제어 방법은 여러 형태의 피처리체에 적용될 수 있다. 그들은 반도체 웨이퍼와 같은 실질적으로 원형의 피처리체와 LCD 패널을 생산할 때 사용되는 글래스 기판과 같은 직사각형 피처리체 등을 플라즈마 처리할 때 단지 유도 부재들(116a, 116b, 및 117a, 117b)의 형태를 변화시키므로써 사용될 수 있다. 최근 사용되는 글래스 기판들은 650 × 550 mm 로서 크다. 그러한 큰 글래스 기판들을 사용하여 많은 수의 LCD 패널을 제조하기 위하여, 유도 부재로서 평코일을 사용하여 플라즈마를 발생하는 것으로 충분하고, 그것은 생산될 LCD 패널에 대응하여 하나씩 바로 옆에 놓여지며 서로 절연되어 있다.
제 39 도에 나타난 또 하나의 실시예에 의한 플라즈마 처리 장치에 있어서, 석영글래스 등으로 구성된, 처리실(110) 내에서 생성된 플라즈마를 외부로 전달하기 위한 창(260)이 처리실의 한 쪽 벽의 일부분에 형성되어 있다. 창(260)근처에 배치된 렌즈(261)가 이 창을 통해 통과된 빛을 집광한다. 이 렌즈에 의하여 집광된 빛은 광섬유(262)를 통하여 전달되어 두개의 광선으로 디멀티플렉스(demultiplexed) 된다. 이 두개의 광선들은 한 쌍의 분광기(263, 264)로 입사되어 소정의 범위에서 분광학적으로 분광 분석된다. 이 분광기들로부터의 소정의 파장을 가지는 광선들은 광전 변환기(265, 266)에 의하여 전기적 신호로 변환된다. 이 광전 변환기(265, 266)들로부터 나온 출력 신호들은 증폭기(267, 268)에 의하여 증폭된다. 증폭된 신호들은 전체 플라즈마 처리 장치를 제어하기 위한 회로로서 작용하는 제어 회로 (169)에 입력된다. 반도체 제조 공정을 위한 다양한 제어 변수들을 세팅하는 레시피(270)가 제어 회로(269)에 연결된다. 이 제어 회로는 정격비와 낮은 수준에서의 피크 값을 증폭기(267, 268)를 통하여 입력된 신호에 근거하여 결정하고, 정격비를 지시하는 신호(a1)와 낮은 수준에서의 피크 값을 지시하는 신호(b1)를 전원부(252)로 출력한다. 전원부(252)는 제 38a 도에 나타난 고주파 전압을 입력 신호(a1 및 b1)에 따라 c1 및 d1 두개의 라인 시스템을 통하여 내부 코일(116)과 외부 코일(117)에 공급한다. 따라서, 플라즈마 처리를 수행하기 위하여 처리실 내에서 플라즈마가 생성된다.
플라즈마 처리로서 에칭이 수행되면, 플라즈마에 의하여 활성 상태에서 여기된 가스 분자들이 웨이퍼 표면 상에서 피처리체들과 화학적으로 반응하고, 에칭을 완성하기 위하여 웨이퍼 표면으로부터 고체 반응 생성물들이 분리되어 나온다.
이 경우에, 처리실로 유입된 CF를 기초로 하는 가스, 예를 들어 CHF3는 플라즈마 내에서 해리되어 CF2 와 다른 활성종들을 생성한다. 결과적인 산물들은 실리콘 옥시드 막과 반응하고, 그로 인해 에칭을 수행한다. 결과적으로, SiFx, 일산화탄소 등과 같은 반응 생성물들이 생성된다. 이러한 반응 생성물들의 에칭 가스로서의 일산화탄소 또는 CHF3는 그 자신의 스펙트럼으로서 빛을 발광한다. 이 산물들로부터의 광선들은 처리실(110)의 창(260), 렌즈(261), 그리고 광섬유(262)를 통하여 두개의 광선으로 디멀티플렉스 된다. 이 광선들은 분광기(263, 264)로 입사되고, 제 40 도에 나타난 바와 같이 소정의 범위에서 분광학적으로 분광 분석된다. 소정의 파장을 가지는 디멀티플렉스된 광선들은 광전 변환기(265, 266)에 의하여 전기적 신호로 변환된다. 제 40도 에 나타난 스펙트라에서, 부호(290)으로 나타난 분광선은 오직 하나의 결정 실리콘이 에칭된 경우를 나타내고, 부호(291)로 나타난 분광선은 하나의 결정 실리콘 상에 형성된 실리콘 산화막이 에칭된 것을 나타낸다.
광전 변환기(265, 266)로부터 나온 전기적 신호들은 증폭된다. 증폭된 신호들은 제어 회로(269)에 입력된다. 이 제어 회로는 플라즈마의 해리된 상태를 나타내는 신호로서 입력 신호를 수용하고, 낮은 수준에서의 피크 값과 정격비를 결정하며, 정격비를 지시하는 신호(a1)와 피크 값을 지시하는 신호(b1)를 전원부(252)로 출력한다. 전원부(252)는 제38a도에 나타난 것과 같은 고주파 전압을 입력 신호(a1 및 b1)에 따른 두개의 라인 시스템 c1 및 d1을 통하여 내부 코일(116)과 외부 코일(117)로 공급한다.
상술한 바와 같이, 플라즈마의 해리된 상태가 감지되기 때문에, 그리고 정격비와 낮은 수준에서의 피크 값이 그 해리된 상태에 따라서 결정되기 때문에, 플라즈마 내에서의 가스 분자의 해리 반응은 억제된다. 따라서, 플라즈마 처리 중의 우수한 처리 특성이 적절하게 유지될 수 있다.
제 37 및 39 도에 나타난 플라즈마 처리 장치에 있어서, 두개의 별도 일권회 고주파 코일들은 고주파 안테나로서 사용된다. 그러나, 그 고주파 안테나는 그러한 형태에 제한되는 것이 아니며, 상술한 다른 실시예에 사용되는 고주파 안테나도 사용될 수 있다. 고주파 코일에 공급되는 고주파 전압의 정격비와 낮은 수준에서의 피크 값은 모두 가변적이다. 그러나, 오직 정격비만이 변화될 수 있다.
코일 분할 방식 플라즈마 처리 장치들을 나타내는 상기 도면들에서, 도면상의 편의를 위하여, 자기 시일드 실린더(150)는 제 22 도 및 제 23 도를 제외하고는 나타내지 않았다. 자기 보호(150)는 실질적으로 내부 코일(116)과 외부 코일(117) 사이에 마련되어 제 23 도에 나타난 바와 같이 그들을 자기적으로 보호하도록 하는 것이 분명하다.
자기 보호 실린더(150)의 바람직한 예는 이하에서 설명된다.
제 41 도에 나타난 자기 보호 실린더(150)는 도전성 부재, 즉 알루미늄 또는 구리와 같은 것으로 형성되고, 그 상단과 하단 끝단이 열려 있는 원통형 부재에 의하여 구성되며, 이 원통형 부재의 그 상단 끝단으로부터 하단 끝단으로 연장되도록 슬릿(150a)이 형성된다. 자기 보호 실린더(150)는 접지된다.
자기 보호 실린더(150)는 내부 코일(116)과 외부 코일(117) 사이에 배설되어 이들 두 코일의 전기장 사이에서의 상호 간섭을 방지하도록 되어 있고, 그로 인해 부하의 매칭을 개선한다. 이들 두 코일들로부터의 반전되는 파장들은 감소될 수 있고, 플라즈마는 처리실 내에서 쉽게 생성될 수 있다. 또한, 자기 보호 실린더(150)의 둘레 방향으로 흐르는 에디 전류는 슬릿(150a)에 의하여 방지될 수 있고, 공급된 전력은 효과적으로 플라즈마 생성에 기여할 수 있다.
자기 보호 실린더(150)는 금속 망을 둥근, 원통형으로 형성하므로써 제 42 도에 나타난 바와 같이 얻어질 수 있다. 그러한 구조로서, 제41도에 나타난 것과 같은 효과가 얻어질 수 있다.
본 발명의 바람직한 실시예에 관하여 플라즈마 에칭 장치를 설명하였다. 그러나, 본 발명은, 상기 실시예에만 한정되지 않는다. 본 발명은, 플라즈마 CVD 장치, 플라즈마 애슁 장치, 플라즈마 스퍼터링 장치 등과 같은 다른 플라즈마 처리 장치에도 적용될 수 있다. 피처리체는 반도체 웨이퍼에 한정되지 않고 LCD 기판이 될 수도 있다.
부가적인 장점과 변형들은 그 기술 분야의 당업자에게는 즉시 생각될 수 있을 것이다. 따라서, 본 발명은 그것의 보다 넓은 측면에서 특정한 세부적인 것이나 여기서 나타낸 각각의 장치들에 한정되지 않는다. 따라서, 첨부된 청구 범위 및 그 균등물에 의하여 정의되는 바와 같이 일반적인 발명의 개념의 범위 또는 정신으로부터 이탈되지 않고 다양한 변형이 만들어질 수 있다.

Claims (18)

  1. 외벽을 가지며, 플라즈마 처리될 면을 가진 피처리물을 그 벽내에 포함하는 플라즈마 처리용 처리실과; 상기 처리실내에서 플라즈마를 발생하기 위하여 상기 처리실내에 고주파 유도계를 제공하는 유도수단과; 상기 유도수단에 고주파 전압을 인가하기 위한 전압인가수단과; 플라즈마의 발생시에 상기 처리실내의 플라즈마로부터의 압력변화 또는 광강도 변화를 측정하고, 그 변화에 상당하는 신호를 출력하는 측정수단; 및 상기 측정수단으로부터의 신호에 근거하여 고주파 전압인가수단을 제어하고, 상기 처리실내의 플라즈마를 확인하기 위한 전압을 제어하는 제어수단을 포함고, 상기 유도수단은 상기 처리실의 외부에 배치된 고주파 안테나와, 상기 고주파 안테나와 상기 처리실의 사이에 위치하는 절연부재를 가지며, 상기 처리실은 피처리물과 대면하고, 상기 절연부재로 구성되는 벽부분을 가지며, 상기 고주파 안테나는 상기 벽 부분에 놓인 스파이어럴 안테나를 가지고, 상기 안테나는 상기 안테나의 내부 끝단에 형성된 내부 단자와, 상기 안테나의 외부 끝단에 형성된 외부 단자, 및 상기 단자들 사이에 형성된 적어도 한개의 중간단자를 가지며, 상기 단자들은 각각 상기 고주파 전압인가수단에 접속되어, 상기 내부단자와 상기 중간단자의 사이와, 상기 중간단자와 상기 외부단자의 사이, 및 상기 중간단자와 상기 고주파 전압인가 수단의 사이에 전류가 흐르도록 하고, 상기 제어수단은 독립적으로 전류를 제어하는 것을 특징으로 하는 플라즈마 처리장치.
  2. 제1항에 있어서, 상기 고주파 안테나로부터 상기 처리실로 정전계가 인가되는 것을 방지하기 위하여 상기 고주파 안테나와 상기 절연부재의 사이에 배치되는 정전 시일드 수단을 더욱 포함하여 구성되는 것을 특징으로 하는 플라즈마 처리장치.
  3. 제1항에 있어서, 상기 고주파 전압 인가수단을 제어하기 위한 제어수단은, 인가될 전압이 피처리물에 관한 처리시에 간헐적으로 인가되고, 플라즈마가 간헐적으로 발생하도록 상기 고주파 전압인가수단을 제어하는 것을 특징으로 하는 플라즈마 처리장치.
  4. 제3항에 있어서, 상기 측정수단은 상기 처리실내에 존재하는 가스의 방출 스펙트럼과 상기 처리실로부터 방출된 광의 단파 성분을 측정하고, 측정된 방출스펙트럼에 대응하는 제 1 신호 및 단파성분에 대응하는 제 2 신호를 출력하는 광검출 수단을 가지며, 상기 제어수단은 제 1 신호에 근거하여 플라즈마의 상태를 검출하고, 상기 고주파 전압 인가수단을 제어하기 위하여 제 2 신호를 사용한 검출결과를 정정하는 것을 특징으로 하는 플라즈마 처리장치.
  5. 제1항에 있어서, 상기 측정수단은 상기 처리실내에 존재하는 양이 피처리물에 관한 에칭처리시에 상대적으로 또한 대량으로 변화하는 제 1 가스성분의 방출강도와, 상기 처리실내에 존재하는 양이 에칭처리시에 상대적으로 변화하지 않는 제 2 가스 성분의 방출강도를 측정하며, 상기 제어수단은 방출강도율에 있어서의 변화 따라서 상기 고주파 전압인가수단을 제어하는 것을 특징으로 하는 플라즈마 처리장치.
  6. 제1항에 있어서, 상기 측정수단은 상기 처리실내의 압력을 측정하며, 그 압력에 해당하는 신호를 출력하기 위한 압력측정수단을 포함하여 구성되며, 상기 제어수단은 에칭처리가 소정의 에칭분위기에 놓여진 피처리물에 관하여 수행될 때 얻어진 에칭률과 상기 처리실내의 압력사이의 상관관계로부터 얻어진 에칭률이 소정의 범위내로 떨어지는 압력범위로 그 신호에 의하여 나타낸 압력이 떨어지도록 상기 고주파 전압 인가수단을 제어하는 것을 특징으로 하는 플라즈마 처리장치.
  7. 제1항에 있어서, 상기 처리실은 피처리물에 관한 처리시에 10 내지 100 mTorr 의 압력에서 유지되는 것을 특징으로 하는 플라즈마 처리장치.
  8. 제1항에 있어서, 피처리물은 2 내지 5 eV 의 전자온도에서 처리되는 것을 특징으로 하는 플라즈마 처리장치.
  9. 외벽을 가지며, 플라즈마로 처리될 면을 가진 피처리물을 상기 벽내에 포함하는 플라즈마 처리용 처리실과; 상기 처리실내에서 플라즈마를 발생하기 위하여 상기 처리실내에 고주파 유도계를 마련하며, 피처리면에 평행한 가상적인 면상에서 동축적으로 배치되고 상호간에 절연된 적어도 제 1 내부코일 및 제 2 외부코일을 가지는 유도수단과, 상기 처리실내에서 상기 플라즈마를 제어하기 위하여 상기 제1 내부코일 및 제 2 외부코일에 각각 고주파 전류를 공급하는 공급수단과, 상기 제 1 내부코일 및 제 2 외부코일에 공급된 고주파 전류의 위상을 제어하기 위한 위상제어수단과, 상기 처리실내의 압력을 검출하고 검출된 압력에 해당하는 신호를 출력하기 위한 압력검출수단과, 상기 제 1 고주파 코일에 공급된 전력 및 상기 제 2 고주파 코일에 공급된 전력이 상기 압력검출수단으로부터의 신호에 근거한 소정의 분할율을 가지도록 제어하기 위한 수단을 포함하여 구성되는 것을 특징으로 하는 플라즈마 처리장치.
  10. 제9항에 있어서, 상기 위상제어수단은 상기 제 1 고주파코일에 공급된 고주파전류와 상기 제 2 고주파코일로 공급된 고주파 전류의 위상이 상호간에 동일한 위상으로 되거나 약 180˚이동된 위상으로 되도록 제어하는 것을 특징으로 하는 플라즈마 처리장치.
  11. 제10항에 있어서, 상기 각 고주파 코일로부터 반전된 전력을 검출하기 위한 반전전력 검출수단을 더욱 포함하여 구성되며, 상기 위상 제어수단은 상기 각 고주파 코일에 공급된 각 고주파 전류의 위상을 상기 반전 전력검출수단에 의하여 검출된 반전전력을 최소화하기 위하여 소정의 위상차를 가지도록 조절하기 위한 이상기를 더욱 포함하여 구성되는 것을 특징으로 하는 플라즈마 처리장치.
  12. 제11항에 있어서, 상기 제 1 고주파 코일은 소정의 간격으로 상호간에 떨어져 있는 한쌍의 끝단부와, 상기 끝단부에 마련되는 전극을 가지는 부분적으로 절결된 일권회된 평평한 고리형 코일을 가지며, 상기 제 2 고주파 코일은 상기 제 1 코일로부터 전기적으로 절연되며 소정의 간격을 두고 제 1 코일 둘러싸고 있으며, 소정의 간격으로 상호간에 떨어져 있는 한쌍의 끝단부와, 상기 끝단부에 마련되는 전극을 가지는 부분적으로 절결된 일권회된 평평한 고리형 코일을 가지는 것을 특징으로 하는 플라즈마 처리장치.
  13. 제12항에 있어서, 상기 제 1 코일과 상기 제 2 코일 사이에 동축적으로 배치되며 2 개의 개방된 끝단을 가지는 전자 시일드 원통형부를 더욱 포함하여 구성되며, 상기 원통형부는 한쪽 끝단으로부터 다른 쪽 끝단으로 연장되는 슬릿을 가지는 것을 특징으로 하는 플라즈마 처리장치.
  14. 제13항에 있어서, 상기 제 1 코일과 상기 제 2 코일 사이에 동축적으로 배치되며 2 개의 개방된 끝단을 가지는 원통형 전자 시일드 망을 더욱 포함하여 구성되는 것을 특징으로 하는 플라즈마 처리장치.
  15. 외벽을 가지며, 플라즈마 처리될 면을 가진 피처리물을 그 벽내에 포함하는 플라즈마 처리용 처리실과; 상기 처리실내에서 플라즈마를 발생하기 위하여 상기 처리실내에 고주파 유도계를 제공하는 유도수단과; 상기 유도수단에 고주파 전압을 간헐적으로 인가하기 위한 전압인가수단과; 플라즈마의 발생시에 상기 처리실내의 플라즈마로부터의 압력변화 또는 광강도 변화를 측정하고, 그 변화에 상당하는 신호를 출력하는 출력수단; 및 상기 처리실내의 상기 플라즈마를 제어하도록 인가된 전압을 변화시키기 위하여 상기 측정수단으로부터의 신호에 근거하여 상기 고주파 전압인가수단을 제어하기 위한 제어수단을 포함하고, 상기 유도수단은 상기 처리실의 외부에 배치된 고주파 안테나와, 상기 고주파 안테나와 상기 처리실의 사이에 위치하는 절연부재를 가지며, 상기 처리실은 피처리물과 대면하고, 상기 절연부재로 구성되는 벽부분을 가지며, 상기 고주파 안테나는 상기 벽 부분에 놓인 스파이어럴 안테나를 가지고, 상기 안테나는 상기 안테나의 내부 끝단에 형성된 내부 단자와, 상기 안테나의 외부 끝단에 형성된 외부 단자, 및 상기 단자들 사이에 형성된 적어도 한개의 중간단자를 가지며, 상기 단자들은 각각 상기 고주파 전압인가수단에 접속되어 상기 내부단자와 상기 중간단자의 사이와, 상기 중간단자와 상기 외부단자의 사이, 및 상기 중간단자와 상기 고주파 전압인가 수단의 사이에 전류가 흐르도록 하고, 상기 제어수단은 독립적으로 전류를 제어하는 것을 특징으로 하는 플라즈마 처리장치.
  16. 제15항에 있어서, 상기 전압인가수단은 적어도 2 개의 전압인가수단을 가지며, 상기 2 개의 전압인가수단은 상기 유도수단에 제 1 고주파 전압을 인가하기 위한 제 1 전압인가수단 및 상기 유도수단에 제 2 고주파 전압을 간헐적으로 인가하기 위 한 제 2 전압 인가수단을 포함하여 구성되는 것을 특징으로 하는 플라즈마 처리장치.
  17. 외벽을 가지며, 플라즈마로 처리될 면을 가진 피처리물을 그 벽내에 포함하는 플라즈마 처리용 처리실과; 상기 처리실내에서 플라즈마를 발생하기 위하여 상기 처리실내에 고주파 유도계를 제공하는 유도수단과; 상기 유도수단에 고주파 전압을 인가하기 위한 전압인가수단과; 상기 유도수단에 의하여 플라즈마의 해리를 측정하고, 해리에 해당하는 신호를 출력하기 위한 측정수단; 및 상기 측정수단으로부터의 신호에 근거하여 상기 전압인가수단을 제어하고, 상기 처리실내의 상기 플라즈마를 제어하도록 인가된 상기 고주파 전압을 제어하기 위한 제어수단을 포함하여 구성되고, 상기 유도수단은 상기 처리실의 외부에 배치된 고주파 안테나와, 상기 고주파 안테나와 상기 처리실의 사이에 위치하는 절연부재를 가지며, 상기 처리실은 피처리물과 대면하고, 상기 절연부재로 구성되는 벽부분을 가지며, 상기 고주파 안테나는 상기 벽 부분에 놓인 스파이어럴 안테나를 가지고, 상기 안테나는 상기 안테나의 내부 끝단에 형성된 내부 단자와, 상기 안테나의 외부 끝단에 형성된 외부 단자, 및 상기 단자들 사이에 형성된 적어도 한개의 중간단자를 가지며, 상기 단자들은 각각 상기 고주파 전압인가수단에 접속되어, 상기 내부단자와 상기 중간단자의 사이와, 상기 중간단자와 상기 외부단자의 사이, 및 상기 중간단자와 상기 고주파 전압인가 수단의 사이에 전류가 흐르도록 하고, 상기 제어수단은 독립적으로 전류를 제어하는 것을 특징으로 하는 플라즈마 처리장치.
  18. 제17항에 있어서, 상기 측정수단은 플라즈마로부터 상이한 파장의 강도를 각각 측정하고 2개의 강도에 근거한 신호를 출력하는 적어도 2 개의 스펙트로스코프를 포함하여 구성되는 것을 특징으로 하는 플라즈마 처리장치.
KR1019940026833A 1993-10-20 1994-10-20 플라즈마 처리장치 KR100276736B1 (ko)

Applications Claiming Priority (18)

Application Number Priority Date Filing Date Title
JP93-284210 1993-10-20
JP5284210A JP3045444B2 (ja) 1993-10-20 1993-10-20 プラズマ処理装置およびその制御方法
JP28420893A JP3337288B2 (ja) 1993-10-20 1993-10-20 プラズマ処理装置
JP28420693A JP3294690B2 (ja) 1993-10-20 1993-10-20 プラズマエッチング装置の制御方法
JP5284209A JP3045443B2 (ja) 1993-10-20 1993-10-20 プラズマ処理装置
JP93-284206 1993-10-20
JP93-284208 1993-10-20
JP93-284209 1993-10-20
JP33876493A JP3172759B2 (ja) 1993-12-02 1993-12-02 プラズマ処理方法及びプラズマ処理装置
JP93-338764 1993-12-02
JP6023992A JP3043215B2 (ja) 1994-02-22 1994-02-22 プラズマ発生装置
JP2399394 1994-02-22
JP06023994A JP3112610B2 (ja) 1994-02-22 1994-02-22 プラズマ発生装置
JP94-23992 1994-02-22
JP94-23993 1994-02-22
JP94-23994 1994-02-22
JP6056237A JP3043217B2 (ja) 1994-02-22 1994-03-25 プラズマ発生装置
JP94-56237 1994-03-25

Publications (2)

Publication Number Publication Date
KR950012608A KR950012608A (ko) 1995-05-16
KR100276736B1 true KR100276736B1 (ko) 2001-03-02

Family

ID=27576768

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940026833A KR100276736B1 (ko) 1993-10-20 1994-10-20 플라즈마 처리장치

Country Status (2)

Country Link
US (1) US5571366A (ko)
KR (1) KR100276736B1 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100415226B1 (ko) * 1996-08-23 2004-04-14 동경 엘렉트론 주식회사 플라즈마처리장치
KR101265231B1 (ko) * 2010-09-15 2013-05-23 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 처리 장치, 플라즈마 에칭 처리 방법 및 반도체 소자 제조 방법
KR101391006B1 (ko) * 2006-02-15 2014-04-30 램 리써치 코포레이션 다수의 용량 및 유도 전원을 갖는 플라즈마 처리 반응기
KR20190015657A (ko) * 2017-08-03 2019-02-14 삼성전자주식회사 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조방법

Families Citing this family (286)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5824158A (en) * 1993-06-30 1998-10-20 Kabushiki Kaisha Kobe Seiko Sho Chemical vapor deposition using inductively coupled plasma and system therefor
US5468296A (en) * 1993-12-17 1995-11-21 Lsi Logic Corporation Apparatus for igniting low pressure inductively coupled plasma
US5685942A (en) * 1994-12-05 1997-11-11 Tokyo Electron Limited Plasma processing apparatus and method
US5643639A (en) * 1994-12-22 1997-07-01 Research Triangle Institute Plasma treatment method for treatment of a large-area work surface apparatus and methods
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US5653811A (en) * 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
US6089182A (en) * 1995-08-17 2000-07-18 Tokyo Electron Limited Plasma processing apparatus
US5847918A (en) * 1995-09-29 1998-12-08 Lam Research Corporation Electrostatic clamping method and apparatus for dielectric workpieces in vacuum processors
US6181555B1 (en) 1995-09-29 2001-01-30 Intel Corporation Cooling system for integrated circuit chips in a portable computer
US5983828A (en) * 1995-10-13 1999-11-16 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US6794301B2 (en) 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
US5810932A (en) * 1995-11-22 1998-09-22 Nec Corporation Plasma generating apparatus used for fabrication of semiconductor device
US6231776B1 (en) 1995-12-04 2001-05-15 Daniel L. Flamm Multi-temperature processing
US5965034A (en) * 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
US6095084A (en) * 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US6200412B1 (en) 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
KR970064327A (ko) * 1996-02-27 1997-09-12 모리시다 요이치 고주파 전력 인가장치, 플라즈마 발생장치, 플라즈마 처리장치, 고주파 전력 인가방법, 플라즈마 발생방법 및 플라즈마 처리방법
US5964949A (en) * 1996-03-06 1999-10-12 Mattson Technology, Inc. ICP reactor having a conically-shaped plasma-generating section
JP3437376B2 (ja) 1996-05-21 2003-08-18 キヤノン株式会社 プラズマ処理装置及び処理方法
US6056848A (en) 1996-09-11 2000-05-02 Ctp, Inc. Thin film electrostatic shield for inductive plasma processing
EP0938596B1 (en) 1996-09-30 2003-11-19 Lam Research Corporation Apparatus for reducing polymer deposition on substrate support
US6112695A (en) * 1996-10-08 2000-09-05 Nano Scale Surface Systems, Inc. Apparatus for plasma deposition of a thin film onto the interior surface of a container
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US5981899A (en) * 1997-01-17 1999-11-09 Balzers Aktiengesellschaft Capacitively coupled RF-plasma reactor
US5800621A (en) * 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6132517A (en) * 1997-02-21 2000-10-17 Applied Materials, Inc. Multiple substrate processing apparatus for enhanced throughput
US5854135A (en) * 1997-04-09 1998-12-29 Vanguard International Semiconductor Corporation Optimized dry etching procedure, using an oxygen containing ambient, for small diameter contact holes
US6174450B1 (en) * 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
JPH10303288A (ja) * 1997-04-26 1998-11-13 Anelva Corp プラズマ処理装置用基板ホルダー
US6579426B1 (en) 1997-05-16 2003-06-17 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6652717B1 (en) 1997-05-16 2003-11-25 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6027601A (en) * 1997-07-01 2000-02-22 Applied Materials, Inc Automatic frequency tuning of an RF plasma source of an inductively coupled plasma reactor
US6235169B1 (en) 1997-08-07 2001-05-22 Applied Materials, Inc. Modulated power for ionized metal plasma deposition
US6345588B1 (en) 1997-08-07 2002-02-12 Applied Materials, Inc. Use of variable RF generator to control coil voltage distribution
JP2001516963A (ja) 1997-09-17 2001-10-02 東京エレクトロン株式会社 ガスプラズマ処理を監視しかつ管理するためのシステムおよび方法
US6129807A (en) * 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
US6379576B2 (en) 1997-11-17 2002-04-30 Mattson Technology, Inc. Systems and methods for variable mode plasma enhanced processing of semiconductor wafers
US6028285A (en) * 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing
US6136165A (en) * 1997-11-26 2000-10-24 Cvc Products, Inc. Apparatus for inductively-coupled-plasma-enhanced ionized physical-vapor deposition
US6041735A (en) 1998-03-02 2000-03-28 Ball Semiconductor, Inc. Inductively coupled plasma powder vaporization for fabricating integrated circuits
US6274459B1 (en) 1998-02-17 2001-08-14 Silicon Genesis Corporation Method for non mass selected ion implant profile control
JP2972707B1 (ja) * 1998-02-26 1999-11-08 松下電子工業株式会社 プラズマエッチング装置及びプラズマエッチング方法
US6055928A (en) 1998-03-02 2000-05-02 Ball Semiconductor, Inc. Plasma immersion ion processor for fabricating semiconductor integrated circuits
US6535779B1 (en) * 1998-03-06 2003-03-18 Applied Materials, Inc. Apparatus and method for endpoint control and plasma monitoring
US6273022B1 (en) * 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
US6254738B1 (en) 1998-03-31 2001-07-03 Applied Materials, Inc. Use of variable impedance having rotating core to control coil sputter distribution
US6081414A (en) * 1998-05-01 2000-06-27 Applied Materials, Inc. Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
US6328804B1 (en) 1998-07-10 2001-12-11 Ball Semiconductor, Inc. Chemical vapor deposition of metals on a spherical shaped semiconductor substrate
US6077388A (en) 1998-07-10 2000-06-20 Ball Semiconductor, Inc. System and method for plasma etch on a spherical shaped device
TW434636B (en) 1998-07-13 2001-05-16 Applied Komatsu Technology Inc RF matching network with distributed outputs
JP3497091B2 (ja) * 1998-07-23 2004-02-16 名古屋大学長 プラズマ生成用高周波パワーの制御方法、およびプラズマ発生装置
US6021672A (en) * 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
AU2092100A (en) * 1999-01-20 2000-08-07 Nkt Research Center A/S Method for the excitation of a plasma and a use of the method
US6344420B1 (en) * 1999-03-15 2002-02-05 Kabushiki Kaisha Toshiba Plasma processing method and plasma processing apparatus
US6265831B1 (en) 1999-03-31 2001-07-24 Lam Research Corporation Plasma processing method and apparatus with control of rf bias
JP3725430B2 (ja) * 1999-04-06 2005-12-14 東京エレクトロン株式会社 電極およびプラズマ処理装置
US6553277B1 (en) * 1999-05-07 2003-04-22 Tokyo Electron Limited Method and apparatus for vacuum treatment
US6916399B1 (en) 1999-06-03 2005-07-12 Applied Materials Inc Temperature controlled window with a fluid supply system
JP3276346B2 (ja) 1999-06-17 2002-04-22 三菱重工業株式会社 放電電極、高周波プラズマ発生装置、給電方法および半導体製造方法
US6458723B1 (en) 1999-06-24 2002-10-01 Silicon Genesis Corporation High temperature implant apparatus
US6447637B1 (en) * 1999-07-12 2002-09-10 Applied Materials Inc. Process chamber having a voltage distribution electrode
US6303517B1 (en) 1999-07-27 2001-10-16 Ball Semiconductor, Inc. Fast deposition on spherical-shaped integrated circuits in non-contact CVD process
US6399507B1 (en) * 1999-09-22 2002-06-04 Applied Materials, Inc. Stable plasma process for etching of films
US6244210B1 (en) * 1999-10-29 2001-06-12 Advanced Micro Devices, Inc. Strength coil for ionized copper plasma deposition
JP2003514388A (ja) * 1999-11-15 2003-04-15 ラム リサーチ コーポレーション 処理システム用の材料およびガス化学剤
US6486069B1 (en) 1999-12-03 2002-11-26 Tegal Corporation Cobalt silicide etch process and apparatus
US6277763B1 (en) * 1999-12-16 2001-08-21 Applied Materials, Inc. Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen
US6426280B2 (en) 2000-01-26 2002-07-30 Ball Semiconductor, Inc. Method for doping spherical semiconductors
TW578448B (en) * 2000-02-15 2004-03-01 Tokyo Electron Ltd Active control of electron temperature in an electrostatically shielded radio frequency plasma source
TW580735B (en) * 2000-02-21 2004-03-21 Hitachi Ltd Plasma treatment apparatus and treating method of sample material
US6478924B1 (en) 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US6514378B1 (en) 2000-03-31 2003-02-04 Lam Research Corporation Method for improving uniformity and reducing etch rate variation of etching polysilicon
US6558564B1 (en) 2000-04-05 2003-05-06 Applied Materials Inc. Plasma energy control by inducing plasma instability
US6254398B1 (en) * 2000-04-24 2001-07-03 Taiwan Semiconductor Manufacturing Company Method for initiating a helium alarm particle detector in a dry etching system prior to initiation of the etching process
KR100797423B1 (ko) * 2000-05-17 2008-01-23 가부시키가이샤 아이에이치아이 플라즈마 cvd 장치 및 방법
US6391790B1 (en) 2000-05-22 2002-05-21 Applied Materials, Inc. Method and apparatus for etching photomasks
US7115523B2 (en) * 2000-05-22 2006-10-03 Applied Materials, Inc. Method and apparatus for etching photomasks
JP2001354492A (ja) * 2000-06-07 2001-12-25 Sumitomo Electric Ind Ltd ダイヤモンド膜の形成方法および成膜装置
JP2002008996A (ja) * 2000-06-23 2002-01-11 Mitsubishi Heavy Ind Ltd 給電アンテナ及び給電方法
US6632322B1 (en) 2000-06-30 2003-10-14 Lam Research Corporation Switched uniformity control
US7223676B2 (en) 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7303982B2 (en) * 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7320734B2 (en) * 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US7037813B2 (en) 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
KR100378037B1 (ko) * 2000-08-31 2003-03-29 조남지 장관면역활성증진 효과가 있는 밀가루 발효 조성물
US6383287B1 (en) 2000-09-28 2002-05-07 Ball Semiconductor, Inc. System and method for performing diffusion on a three-dimensional substrate
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
WO2002061179A1 (en) * 2001-01-19 2002-08-08 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
JP4770029B2 (ja) * 2001-01-22 2011-09-07 株式会社Ihi プラズマcvd装置及び太陽電池の製造方法
JP3626933B2 (ja) * 2001-02-08 2005-03-09 東京エレクトロン株式会社 基板載置台の製造方法
WO2002070759A1 (en) * 2001-02-28 2002-09-12 Commonwealth Scientific And Industrial Research Organisation Method and apparatus for the production of titanium
US7096819B2 (en) * 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US20020163632A1 (en) * 2001-05-02 2002-11-07 Ya-Chan Cheng Measuring system of a gas stream environment
US6783626B2 (en) * 2001-05-14 2004-08-31 Nam-Hun Kim Treatment and evaluation of a substrate processing chamber
JP3708031B2 (ja) * 2001-06-29 2005-10-19 株式会社日立製作所 プラズマ処理装置および処理方法
US7337019B2 (en) * 2001-07-16 2008-02-26 Applied Materials, Inc. Integration of fault detection with run-to-run control
US6893971B2 (en) * 2001-07-19 2005-05-17 Matsushita Electric Industrial Co., Ltd. Dry etching method and apparatus
US7183201B2 (en) 2001-07-23 2007-02-27 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
US20030024900A1 (en) * 2001-07-24 2003-02-06 Tokyo Electron Limited Variable aspect ratio plasma source
US6756318B2 (en) * 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US9708707B2 (en) * 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
KR100428813B1 (ko) * 2001-09-18 2004-04-29 주성엔지니어링(주) 플라즈마 발생장치 및 이를 이용한 SiO₂박막 식각방법
JP4209774B2 (ja) * 2001-09-28 2009-01-14 住友精密工業株式会社 シリコン基板のエッチング方法およびエッチング装置
KR100425467B1 (ko) * 2001-09-29 2004-03-30 삼성전자주식회사 반도체소자를 위한 건식 식각방법
US20030082920A1 (en) * 2001-11-01 2003-05-01 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber-reversed dry etching
US20030106646A1 (en) * 2001-12-11 2003-06-12 Applied Materials, Inc. Plasma chamber insert ring
US20030145790A1 (en) * 2002-02-05 2003-08-07 Hitoshi Sakamoto Metal film production apparatus and metal film production method
US20030168012A1 (en) * 2002-03-07 2003-09-11 Hitoshi Tamura Plasma processing device and plasma processing method
EP1512769B1 (en) * 2002-03-08 2009-09-16 Canon Anelva Corporation Method and apparatus for production of metal film
JP3847184B2 (ja) * 2002-03-14 2006-11-15 東京エレクトロン株式会社 プラズマ処理装置
US7481904B2 (en) * 2002-03-18 2009-01-27 Tokyo Electron Limited Plasma device
US20030180971A1 (en) * 2002-03-25 2003-09-25 Adaptive Plasma Technology Corporation Plasma etching method and apparatus for manufacturing a semiconductor device
JP2003323997A (ja) * 2002-04-30 2003-11-14 Lam Research Kk プラズマ安定化方法およびプラズマ装置
KR20040012451A (ko) * 2002-05-14 2004-02-11 어플라이드 머티어리얼스, 인코포레이티드 포토리소그래픽 레티클을 에칭하는 방법
KR101075046B1 (ko) 2002-05-23 2011-10-19 램 리써치 코포레이션 반도체 공정용 플라즈마 반응기를 위한 다중부재 전극 및다중부재 전극의 일부를 교체하는 방법
JP3823069B2 (ja) * 2002-06-12 2006-09-20 株式会社アルバック 磁気中性線放電プラズマ処理装置
JP3820188B2 (ja) * 2002-06-19 2006-09-13 三菱重工業株式会社 プラズマ処理装置及びプラズマ処理方法
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
JP2004047696A (ja) * 2002-07-11 2004-02-12 Matsushita Electric Ind Co Ltd プラズマドーピング方法及び装置、整合回路
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7255774B2 (en) * 2002-09-26 2007-08-14 Tokyo Electron Limited Process apparatus and method for improving plasma production of an inductively coupled plasma
US7088046B2 (en) * 2002-09-30 2006-08-08 Tokyo Electron Limited Integrated process tube and electrostatic shield, assembly thereof and manufacture thereof
JP2004128159A (ja) * 2002-10-01 2004-04-22 Mitsubishi Heavy Ind Ltd 高周波プラズマ発生装置および高周波プラズマ発生方法
JP4141234B2 (ja) * 2002-11-13 2008-08-27 キヤノンアネルバ株式会社 プラズマ処理装置
KR100488348B1 (ko) * 2002-11-14 2005-05-10 최대규 플라즈마 프로세스 챔버 및 시스템
US7713592B2 (en) * 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US9121098B2 (en) 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
US20040163595A1 (en) * 2003-02-26 2004-08-26 Manabu Edamura Plasma processing apparatus
US20040182319A1 (en) * 2003-03-18 2004-09-23 Harqkyun Kim Inductively coupled plasma generation system with a parallel antenna array having evenly distributed power input and ground nodes
US7871490B2 (en) * 2003-03-18 2011-01-18 Top Engineering Co., Ltd. Inductively coupled plasma generation system with a parallel antenna array having evenly distributed power input and ground nodes and improved field distribution
JP2004319574A (ja) * 2003-04-11 2004-11-11 Trecenti Technologies Inc 半導体装置の製造方法、半導体製造装置の自動運転方法および自動運転システム、並びにcmp装置の自動運転方法
JP3868925B2 (ja) * 2003-05-29 2007-01-17 株式会社日立製作所 プラズマ処理装置
KR100561848B1 (ko) * 2003-11-04 2006-03-16 삼성전자주식회사 헬리컬 공진기형 플라즈마 처리 장치
US20060000552A1 (en) * 2004-07-05 2006-01-05 Tokyo Electron Limited Plasma processing apparatus and cleaning method thereof
US7250373B2 (en) * 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US8293430B2 (en) * 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7842159B2 (en) * 2005-07-14 2010-11-30 Sungkyunkwan University Foundation For Corporate Collaboration Inductively coupled plasma processing apparatus for very large area using dual frequency
KR100897176B1 (ko) * 2005-07-20 2009-05-14 삼성모바일디스플레이주식회사 유도 결합형 플라즈마 처리 장치
US7811411B2 (en) * 2005-08-09 2010-10-12 Applied Materials, Inc. Thermal management of inductively coupled plasma reactors
US20090130436A1 (en) * 2005-08-22 2009-05-21 Yoshio Harada Spray coating member having excellent heat emmision property and so on and method for producing the same
KR100728164B1 (ko) * 2005-09-26 2007-06-13 삼성에스디아이 주식회사 대면적 기판의 식각 장치 및 식각 방법
KR100653073B1 (ko) * 2005-09-28 2006-12-01 삼성전자주식회사 기판처리장치와 기판처리방법
KR100748871B1 (ko) * 2005-10-21 2007-08-13 에이피티씨 주식회사 균일한 자계분포를 갖도록 하는 적응형 플라즈마 소스 및이를 포함하는 플라즈마 챔버
US20080011426A1 (en) * 2006-01-30 2008-01-17 Applied Materials, Inc. Plasma reactor with inductively coupled source power applicator and a high temperature heated workpiece support
US7794546B2 (en) * 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
US7670432B2 (en) * 2006-03-08 2010-03-02 Tokyo Electron Limited Exhaust system for a vacuum processing system
US7850864B2 (en) * 2006-03-20 2010-12-14 Tokyo Electron Limited Plasma treating apparatus and plasma treating method
US8034176B2 (en) * 2006-03-28 2011-10-11 Tokyo Electron Limited Gas distribution system for a post-etch treatment system
US8043471B2 (en) * 2006-03-31 2011-10-25 Tokyo Electron Limited Plasma processing apparatus
US9184043B2 (en) * 2006-05-24 2015-11-10 Lam Research Corporation Edge electrodes with dielectric covers
US7799237B2 (en) * 2006-05-25 2010-09-21 Sony Corporation Method and apparatus for etching a structure in a plasma chamber
US7777152B2 (en) * 2006-06-13 2010-08-17 Applied Materials, Inc. High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
US20080003702A1 (en) * 2006-06-28 2008-01-03 Cruse James P Low Power RF Tuning Using Optical and Non-Reflected Power Methods
KR100808862B1 (ko) * 2006-07-24 2008-03-03 삼성전자주식회사 기판처리장치
US20080025823A1 (en) * 2006-07-31 2008-01-31 Masahiko Harumoto Load lock device, and substrate processing apparatus and substrate processing system including the same
WO2008024392A2 (en) * 2006-08-22 2008-02-28 Valery Godyak Inductive plasma source with high coupling efficiency
US8992725B2 (en) 2006-08-28 2015-03-31 Mattson Technology, Inc. Plasma reactor with inductie excitation of plasma and efficient removal of heat from the excitation coil
US20080078745A1 (en) * 2006-09-29 2008-04-03 Zyvex Corporation RF Coil Plasma Generation
US20080078506A1 (en) * 2006-09-29 2008-04-03 Zyvex Corporation RF Coil Plasma Generation
US20080236490A1 (en) * 2007-03-29 2008-10-02 Alexander Paterson Plasma reactor with an overhead inductive antenna and an overhead gas distribution showerhead
JP2010524225A (ja) * 2007-04-02 2010-07-15 ソースル シーオー エルティディー 基板支持装置及びこれを備えるプラズマエッチング装置
US8956500B2 (en) * 2007-04-24 2015-02-17 Applied Materials, Inc. Methods to eliminate “M-shape” etch rate profile in inductively coupled plasma reactor
US7972471B2 (en) * 2007-06-29 2011-07-05 Lam Research Corporation Inductively coupled dual zone processing chamber with single planar antenna
US20090095714A1 (en) * 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing
KR101286240B1 (ko) * 2007-10-23 2013-07-15 삼성전자주식회사 반도체 구조물의 형상을 예정하는 공정 파라 메타의 예측시스템, 상기 공정 파라 메타의 예측 시스템을 가지는반도체 제조 장비 및 그 장비의 사용방법
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
JP5297048B2 (ja) * 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP5203758B2 (ja) * 2008-03-17 2013-06-05 東京エレクトロン株式会社 プラズマ処理装置
US20090286397A1 (en) * 2008-05-15 2009-11-19 Lam Research Corporation Selective inductive double patterning
JP4603099B2 (ja) 2008-06-20 2010-12-22 キヤノンアネルバ株式会社 真空処理装置、真空処理方法及び電子デバイスの製造方法
JP5410950B2 (ja) * 2009-01-15 2014-02-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP5572329B2 (ja) * 2009-01-15 2014-08-13 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ生成装置
JP5203986B2 (ja) * 2009-01-19 2013-06-05 東京エレクトロン株式会社 フォーカスリングの加熱方法、プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
CN102341902A (zh) * 2009-03-03 2012-02-01 东京毅力科创株式会社 载置台结构、成膜装置和原料回收方法
JP5227245B2 (ja) * 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
US7985188B2 (en) 2009-05-13 2011-07-26 Cv Holdings Llc Vessel, coating, inspection and processing apparatus
DK2251453T3 (da) 2009-05-13 2014-07-07 Sio2 Medical Products Inc Beholderholder
US8502455B2 (en) * 2009-05-29 2013-08-06 Agilent Technologies, Inc. Atmospheric inductively coupled plasma generator
TWI556309B (zh) 2009-06-19 2016-11-01 半導體能源研究所股份有限公司 電漿處理裝置,形成膜的方法,和薄膜電晶體的製造方法
US9458536B2 (en) 2009-07-02 2016-10-04 Sio2 Medical Products, Inc. PECVD coating methods for capped syringes, cartridges and other articles
US8258025B2 (en) * 2009-08-07 2012-09-04 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing microcrystalline semiconductor film and thin film transistor
US20110094994A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Inductively coupled plasma apparatus
JP5451324B2 (ja) * 2009-11-10 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US8642974B2 (en) * 2009-12-30 2014-02-04 Fei Company Encapsulation of electrodes in solid media for use in conjunction with fluid high voltage isolation
US8343371B2 (en) * 2010-01-15 2013-01-01 Tokyo Electron Limited Apparatus and method for improving photoresist properties using a quasi-neutral beam
US20110177694A1 (en) * 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US20110204023A1 (en) * 2010-02-22 2011-08-25 No-Hyun Huh Multi inductively coupled plasma reactor and method thereof
US11624115B2 (en) 2010-05-12 2023-04-11 Sio2 Medical Products, Inc. Syringe with PECVD lubrication
WO2012047819A2 (en) * 2010-10-05 2012-04-12 Skyworks Solutions, Inc. Apparatus and methods for plasma etching
US8357263B2 (en) 2010-10-05 2013-01-22 Skyworks Solutions, Inc. Apparatus and methods for electrical measurements in a plasma etcher
US20120083129A1 (en) 2010-10-05 2012-04-05 Skyworks Solutions, Inc. Apparatus and methods for focusing plasma
US9478428B2 (en) 2010-10-05 2016-10-25 Skyworks Solutions, Inc. Apparatus and methods for shielding a plasma etcher electrode
TWM413957U (en) * 2010-10-27 2011-10-11 Tangteck Equipment Inc Diffusion furnace apparatus
US9878101B2 (en) 2010-11-12 2018-01-30 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
WO2012082854A2 (en) 2010-12-17 2012-06-21 Mattson Technology, Inc. Inductively coupled plasma source for plasma processing
US9336996B2 (en) 2011-02-24 2016-05-10 Lam Research Corporation Plasma processing systems including side coils and methods related to the plasma processing systems
US9070760B2 (en) * 2011-03-14 2015-06-30 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9272095B2 (en) 2011-04-01 2016-03-01 Sio2 Medical Products, Inc. Vessels, contact surfaces, and coating and inspection apparatus and methods
US9293353B2 (en) * 2011-04-28 2016-03-22 Lam Research Corporation Faraday shield having plasma density decoupling structure between TCP coil zones
US10056231B2 (en) * 2011-04-28 2018-08-21 Lam Research Corporation TCCT match circuit for plasma etch chambers
US20130017315A1 (en) * 2011-07-15 2013-01-17 Applied Materials, Inc. Methods and apparatus for controlling power distribution in substrate processing systems
US20130048082A1 (en) * 2011-08-22 2013-02-28 Mirzafer Abatchev System, method and apparatus for real time control of rapid alternating processes (rap)
KR101297264B1 (ko) * 2011-08-31 2013-08-16 (주)젠 이중 유도 결합 플라즈마 소스를 갖는 플라즈마 반응기
US9396955B2 (en) 2011-09-30 2016-07-19 Tokyo Electron Limited Plasma tuning rods in microwave resonator processing systems
US8808496B2 (en) 2011-09-30 2014-08-19 Tokyo Electron Limited Plasma tuning rods in microwave processing systems
US9111727B2 (en) * 2011-09-30 2015-08-18 Tokyo Electron Limited Plasma tuning rods in microwave resonator plasma sources
US9728416B2 (en) 2011-09-30 2017-08-08 Tokyo Electron Limited Plasma tuning rods in microwave resonator plasma sources
EP2776603B1 (en) 2011-11-11 2019-03-06 SiO2 Medical Products, Inc. PASSIVATION, pH PROTECTIVE OR LUBRICITY COATING FOR PHARMACEUTICAL PACKAGE, COATING PROCESS AND APPARATUS
US11116695B2 (en) 2011-11-11 2021-09-14 Sio2 Medical Products, Inc. Blood sample collection tube
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US20130256271A1 (en) * 2012-04-03 2013-10-03 Theodoros Panagopoulos Methods and apparatuses for controlling plasma in a plasma processing chamber
CA2887352A1 (en) 2012-05-09 2013-11-14 Sio2 Medical Products, Inc. Saccharide protective coating for pharmaceutical package
US9449794B2 (en) 2012-07-20 2016-09-20 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and spiral coil antenna
US10249470B2 (en) 2012-07-20 2019-04-02 Applied Materials, Inc. Symmetrical inductively coupled plasma source with coaxial RF feed and coaxial shielding
US10170279B2 (en) 2012-07-20 2019-01-01 Applied Materials, Inc. Multiple coil inductively coupled plasma source with offset frequencies and double-walled shielding
US9082590B2 (en) 2012-07-20 2015-07-14 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and RF distribution plates
US10131994B2 (en) * 2012-07-20 2018-11-20 Applied Materials, Inc. Inductively coupled plasma source with top coil over a ceiling and an independent side coil and independent air flow
US9928987B2 (en) 2012-07-20 2018-03-27 Applied Materials, Inc. Inductively coupled plasma source with symmetrical RF feed
JP6509734B2 (ja) 2012-11-01 2019-05-08 エスアイオーツー・メディカル・プロダクツ・インコーポレイテッド 皮膜検査方法
EP2920567B1 (en) 2012-11-16 2020-08-19 SiO2 Medical Products, Inc. Method and apparatus for detecting rapid barrier coating integrity characteristics
WO2014085346A1 (en) 2012-11-30 2014-06-05 Sio2 Medical Products, Inc. Hollow body with inside coating
US9764093B2 (en) 2012-11-30 2017-09-19 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
WO2014134577A1 (en) 2013-03-01 2014-09-04 Sio2 Medical Products, Inc. Plasma or cvd pre-treatment for lubricated pharmaceutical package, coating process and apparatus
JP6388886B2 (ja) * 2013-03-06 2018-09-12 プラズマ − サーム、エルエルシー 半導体ウエハをプラズマ・ダイシングするための方法
KR102211788B1 (ko) 2013-03-11 2021-02-04 에스아이오2 메디컬 프로덕츠, 인크. 코팅된 패키징
US9937099B2 (en) 2013-03-11 2018-04-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging with low oxygen transmission rate
US9960776B2 (en) * 2013-03-14 2018-05-01 Applied Materials, Inc. Method and apparatus for generating a variable clock used to control a component of a substrate processing system
US9119283B2 (en) * 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US10163606B2 (en) 2013-03-15 2018-12-25 Applied Materials, Inc. Plasma reactor with highly symmetrical four-fold gas injection
WO2014144926A1 (en) 2013-03-15 2014-09-18 Sio2 Medical Products, Inc. Coating method
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
JP6240441B2 (ja) * 2013-09-06 2017-11-29 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20150187615A1 (en) * 2013-12-31 2015-07-02 Lam Research Corporation Component of a plasma processing apparatus including an electrically conductive and nonmagnetic cold sprayed coating
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
WO2015148471A1 (en) 2014-03-28 2015-10-01 Sio2 Medical Products, Inc. Antistatic coatings for plastic vessels
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US9872341B2 (en) 2014-11-26 2018-01-16 Applied Materials, Inc. Consolidated filter arrangement for devices in an RF environment
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
JP6602887B2 (ja) * 2015-03-19 2019-11-06 マットソン テクノロジー インコーポレイテッド プラズマ処理チャンバ内のエッチングプロセスのアジマス方向の均質性の制御
US10017857B2 (en) * 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
CN116982977A (zh) 2015-08-18 2023-11-03 Sio2医药产品公司 具有低氧气传输速率的药物和其他包装
CN107301941B (zh) * 2016-04-14 2019-04-23 北京北方华创微电子装备有限公司 等离子体处理设备及其操作方法
KR101874802B1 (ko) * 2016-04-19 2018-07-05 피에스케이 주식회사 플라스마 소스 및 이를 포함하는 기판 처리 장치
US10971333B2 (en) * 2016-10-24 2021-04-06 Samsung Electronics Co., Ltd. Antennas, circuits for generating plasma, plasma processing apparatus, and methods of manufacturing semiconductor devices using the same
JP6763750B2 (ja) * 2016-11-07 2020-09-30 東京エレクトロン株式会社 被処理体を処理する方法
US10365212B2 (en) 2016-11-14 2019-07-30 Verity Instruments, Inc. System and method for calibration of optical signals in semiconductor process systems
KR20180072917A (ko) * 2016-12-21 2018-07-02 삼성전자주식회사 유전체 윈도우, 그를 포함하는 플라즈마 장치, 및 그의 제조 방법
US10395894B2 (en) * 2017-08-31 2019-08-27 Lam Research Corporation Systems and methods for achieving peak ion energy enhancement with a low angular spread
JP6934060B2 (ja) * 2017-09-20 2021-09-08 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
US20190157048A1 (en) * 2017-11-17 2019-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma processing apparatus and method for forming semiconductor device structure
US10217626B1 (en) * 2017-12-15 2019-02-26 Mattson Technology, Inc. Surface treatment of substrates using passivation layers
KR101914902B1 (ko) * 2018-02-14 2019-01-14 성균관대학교산학협력단 플라즈마 발생장치 및 이를 포함하는 기판 처리 장치
US20200013591A1 (en) * 2018-02-15 2020-01-09 Yield Engineering Systems, Inc. Plasma Spreading Apparatus And System, And Method Of Spreading Plasma In Process Ovens
US11081317B2 (en) * 2018-04-20 2021-08-03 Applied Materials, Inc. Modular high-frequency source
KR102524258B1 (ko) * 2018-06-18 2023-04-21 삼성전자주식회사 온도 조절 유닛, 온도 측정 유닛 및 이들을 포함하는 플라즈마 처리 장치
US11037765B2 (en) * 2018-07-03 2021-06-15 Tokyo Electron Limited Resonant structure for electron cyclotron resonant (ECR) plasma ionization
US11901159B2 (en) * 2018-09-13 2024-02-13 Hitachi Kokusai Electric Inc. RF generator device and substrate processing apparatus
US10354838B1 (en) * 2018-10-10 2019-07-16 Lam Research Corporation RF antenna producing a uniform near-field Poynting vector
JP7169885B2 (ja) * 2019-01-10 2022-11-11 東京エレクトロン株式会社 誘導結合プラズマ処理装置
US20200234920A1 (en) * 2019-01-22 2020-07-23 Lam Research Corporation Coil and window for plasma processing system
JP2022520797A (ja) * 2019-02-13 2022-04-01 ラム リサーチ コーポレーション 半導体処理における異常プラズマ事象の検出および軽減
US20210183622A1 (en) * 2019-12-17 2021-06-17 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US11087959B2 (en) * 2020-01-09 2021-08-10 Nano-Master, Inc. Techniques for a hybrid design for efficient and economical plasma enhanced atomic layer deposition (PEALD) and plasma enhanced chemical vapor deposition (PECVD)
WO2022047227A2 (en) * 2020-08-28 2022-03-03 Plasma Surgical Investments Limited Systems, methods, and devices for generating predominantly radially expanded plasma flow
KR20220094272A (ko) * 2020-12-28 2022-07-06 세메스 주식회사 기판 처리 장치
CN114724911A (zh) * 2021-01-04 2022-07-08 江苏鲁汶仪器有限公司 一种等离子密度可调的离子源装置
IL281747B2 (en) * 2021-03-22 2024-04-01 N T Tao Ltd System and method for creating plasma with high efficiency

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5559726A (en) * 1978-10-30 1980-05-06 Chiyou Lsi Gijutsu Kenkyu Kumiai Plasma monitor unit
JPS55157233A (en) * 1979-05-28 1980-12-06 Hitachi Ltd Method and apparatus for monitoring etching
JPS58218121A (ja) * 1982-06-11 1983-12-19 Anelva Corp シリコンのドライエツチングモニタリング方法
US4491499A (en) * 1984-03-29 1985-01-01 At&T Technologies, Inc. Optical emission end point detector
JPS6393881A (ja) * 1986-10-08 1988-04-25 Anelva Corp プラズマ処理装置
US5014217A (en) * 1989-02-09 1991-05-07 S C Technology, Inc. Apparatus and method for automatically identifying chemical species within a plasma reactor environment
US5068002A (en) * 1989-08-03 1991-11-26 Quintron, Inc. Ultrasonic glow discharge surface cleaning
US5304279A (en) * 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
US5273609A (en) * 1990-09-12 1993-12-28 Texas Instruments Incorporated Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment
JPH04362091A (ja) * 1991-06-05 1992-12-15 Mitsubishi Heavy Ind Ltd プラズマ化学気相成長装置
US5234529A (en) * 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
US5280154A (en) * 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US5277751A (en) * 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
US5318806A (en) * 1992-10-02 1994-06-07 Becton, Dickinson And Company Tube having regions of different surface chemistry and method therefor
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
US5308414A (en) * 1992-12-23 1994-05-03 International Business Machines Corporation Method and apparatus for optical emission end point detection in plasma etching processes
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100415226B1 (ko) * 1996-08-23 2004-04-14 동경 엘렉트론 주식회사 플라즈마처리장치
KR101391006B1 (ko) * 2006-02-15 2014-04-30 램 리써치 코포레이션 다수의 용량 및 유도 전원을 갖는 플라즈마 처리 반응기
KR101455954B1 (ko) * 2006-02-15 2014-10-31 램 리써치 코포레이션 다수의 용량 및 유도 전원을 갖는 플라즈마 처리 반응기
KR101265231B1 (ko) * 2010-09-15 2013-05-23 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 처리 장치, 플라즈마 에칭 처리 방법 및 반도체 소자 제조 방법
US8969210B2 (en) 2010-09-15 2015-03-03 Tokyo Electron Limited Plasma etching apparatus, plasma etching method, and semiconductor device manufacturing method
KR20190015657A (ko) * 2017-08-03 2019-02-14 삼성전자주식회사 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조방법
KR102630343B1 (ko) 2017-08-03 2024-01-30 삼성전자주식회사 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조방법

Also Published As

Publication number Publication date
US5571366A (en) 1996-11-05
KR950012608A (ko) 1995-05-16

Similar Documents

Publication Publication Date Title
KR100276736B1 (ko) 플라즈마 처리장치
US5529657A (en) Plasma processing apparatus
TWI768395B (zh) 電漿處理裝置及電漿處理方法
JP3482904B2 (ja) プラズマ処理方法及び装置
KR100274757B1 (ko) 플라즈마 처리장치 및 플라즈마 처리방법
US6497783B1 (en) Plasma processing apparatus provided with microwave applicator having annular waveguide and processing method
JP3378248B2 (ja) プラズマチャンバに一様な電場を誘起するための誘電性ウインドウを有するプラズマ装置及び物体をそのプラズマ装置で取り扱う方法
US6265031B1 (en) Method for plasma processing by shaping an induced electric field
US6870123B2 (en) Microwave applicator, plasma processing apparatus having same, and plasma processing method
US6172321B1 (en) Method and apparatus for plasma processing apparatus
JP3150058B2 (ja) プラズマ処理装置及びプラズマ処理方法
US20010022293A1 (en) Plasma processing equipment and plasma processing method using the same
WO2010004997A1 (ja) プラズマ処理装置
US20070037367A1 (en) Apparatus for plasma doping
US20050051273A1 (en) Plasma processing apparatus
JPH09106900A (ja) プラズマ処理方法及びプラズマ処理装置
WO2000031787A1 (fr) Dispositif de gravure a sec et procede de gravure a sec
JP3531511B2 (ja) プラズマ処理装置
JP2004533096A (ja) 誘導結合高密度プラズマ源
JP2000164583A (ja) プラズマ処理装置およびプラズマ処理方法
JP3294690B2 (ja) プラズマエッチング装置の制御方法
JP3840821B2 (ja) プラズマ処理装置
KR102498944B1 (ko) 유기 재료들의 자가 제한 에칭을 수행하기 위한 프로세스
US6967622B2 (en) Plasma device and plasma generating method
JP3662212B2 (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120924

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20130924

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20141001

Year of fee payment: 15

EXPY Expiration of term