TWI768395B - 電漿處理裝置及電漿處理方法 - Google Patents

電漿處理裝置及電漿處理方法 Download PDF

Info

Publication number
TWI768395B
TWI768395B TW109123076A TW109123076A TWI768395B TW I768395 B TWI768395 B TW I768395B TW 109123076 A TW109123076 A TW 109123076A TW 109123076 A TW109123076 A TW 109123076A TW I768395 B TWI768395 B TW I768395B
Authority
TW
Taiwan
Prior art keywords
wafer
frequency power
electrode
power supply
plasma
Prior art date
Application number
TW109123076A
Other languages
English (en)
Other versions
TW202042279A (zh
Inventor
荒卷徹
橫川賢悅
伊澤勝
Original Assignee
日商日立全球先端科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商日立全球先端科技股份有限公司 filed Critical 日商日立全球先端科技股份有限公司
Publication of TW202042279A publication Critical patent/TW202042279A/zh
Application granted granted Critical
Publication of TWI768395B publication Critical patent/TWI768395B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32018Glow discharge
    • H01J37/32045Circuits specially adapted for controlling the glow discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Abstract

本發明之課題在於提供一種可以使產出率提升的電漿處理裝置及電漿處理方法。

本發明之解決手段為一種將被配置在真空容器內部的處理室內的試料台面所載置之處理對象的晶圓使用在該處理室內被形成的電漿加以處理之電漿處理裝置及處理方法,在前述處理中,將對被配置在前述試料台內部的第一電極被供給之第一高頻電力、與對被配置在前述試料台載置前述晶圓的面的外周側所配置的介電質製環狀構件的內側的第二電極中介著共振電路被供給的第二高頻電力,加以調節並處理前述晶圓。

Description

電漿處理裝置及電漿處理方法
本發明係關於將配置在真空容器內部的處理室內之試料台上所載置並保持之半導體晶圓等的基板狀試料採用處理室的電漿加以處理之電漿處理裝置及電漿處理方法,特別是,有關在處理中對試料台供給高頻電力而在試料上面上方形成偏壓電位來處理試料之電漿處理裝置及電漿處理方法。
在製造半導體裝置的步驟之中形成該裝置的電路或配線的構造方面,一般上是實行將在半導體晶圓等試料上面包含事先被形成的遮罩的具有複數膜層的膜構造之處理對象的膜層,採用電漿予以蝕刻。近年,隨著半導體裝置集積度的提升,採用這樣的電漿之加工的精確度也被要求進一步提高,而且,以減低到晶圓的偏外周側部分根據處理的加工結果對中央側的結果之差量、並更為提高每一枚晶圓所能製造的裝置數、能提升處理效率之方式, 要求將晶圓外周側部分之處理的差量成為容許範圍外之區域減低。
這樣的電漿處理裝置,一般而言,具備:被配置在真空容器與其內部、配置試料而且在被減壓的內側空間形成電漿之處理室,與將處理室內的空氣排出以形成適於處理的指定真空度的壓力之真空排氣裝置。再者,被構成具備:被接續在真空容器或真空處理室、對處理室內供給用以處理試料的氣體之氣體供給裝置、被處理材之晶圓被載置、保持在其上面之試料台、將用以在處理室內使電漿發生的電場或磁場供給到處理室內之電漿發生裝置等。
為了將晶圓外周側的部分與中央側的部分之處理速度或其結果之加工後的形狀等的處理特性不同了、例如蝕刻處理的速度(rate)改變了的區域縮小,一直在考慮使從晶圓中央側部分起到外周側部分在晶圓上面上方被形成的電場的強度或其分佈更接近均一性。亦即,因為上述晶圓外周側部分的蝕刻速度的變化為在該晶圓外周側區域發生電場集中而使電漿的電位或荷電粒子的分佈不平衡之結果,致使該速度上升,所以,藉由抑制這樣的電場集中,能夠使到晶圓外周側部分為止實現更均一的處理。因此,將在包圍晶圓外周側部分周圍的區域被形成的電場的強度與其分佈予以調節,並使晶圓上面上方被形成的鞘的厚度針對晶圓面內的方向、特別是針對半徑的方向到其外周側部分為止更接近均一性是有效的。
作為這樣的電漿處理裝置之從前的技術,習知如日本專利特開2007-258417號公報(專利文獻1)所揭示之方式,對在晶圓外周側被配置包圍此的具有導電性的構件之聚焦環(focus ring)施加直流電壓,進行蝕刻中的在晶圓外周緣與其附近的區域的電場之控制。本從前的技術方面,係因應上面面對電漿的聚焦環由於與電漿的相互作用而被削刮所消耗的量,欲保持初期的性能而使直流的電壓值改變。
此外,如日本專利特開2012-227278號公報(專利文獻2)所示方式,揭示一種具備被配置在試料台的晶圓載置面的外周側並包圍晶圓的導體製的環、與在其上方覆蓋環上面的介電質製的環套,對導體製的環做成不與在處理室內的環套上方被形成的電漿電性地結合來供給高頻電力之構成。再者,本從前技術係揭示將導體製環的高度做成比晶圓或載置晶圓的試料台上面還高,並將晶圓及導體製環的上方被形成的偏壓等電位面的高度與由此形成的電漿中的荷電粒子射入晶圓的角度之差量在從晶圓中央側到外周側的範圍予以減低,而減低加工的結果之處理後的形狀的差量。
此外,日本專利特開2011-9351號公報(專利文獻3)方面,係揭示將對在試料台外周側包圍晶圓來配置的導體製的聚焦環(focus ring)被施加的偏壓電位形成用高頻電力的量,因應聚焦環的消耗量來調節者。
〔先前技術文獻〕
〔專利文獻〕
[專利文獻1]日本特開2007-258417號公報
[專利文獻2]日本特開2012-227278號公報
[專利文獻3]日本特開2011-9351號公報
上述的從前技術方面由於針對以下幾點並未充分考慮到而產生一些問題。
亦即,發明人等的檢討結果可知,該等係在任何晶圓外周部的均一性改善可見到某種程度的效果,但是,僅可在被限定的用途使用或對於性能改善是有限度的。
專利文獻1方面,被配置在試料台的晶圓被載置的面的外周側、被施加直流電力的導體製環,為了使在其上面上方被形成的電漿與直流電力結合而讓該上面被暴露在上方的處理室內的空間。這樣的構成方面,往導體的上面藉由電漿內部的荷電粒子會衝突等與電漿之間的相互作用而造成或導體製環被削刮等消耗進行或材料變質。在為了抑制此而做成將導體製環的上面以專利文獻2記載之方式用介電質或絕緣體製的構件覆蓋之構成之場合下,直流電力會使與電漿結合變得困難、在該環所形成的晶圓外周側部分或環的上方的電場的強度與其分佈之調節變得困難。
此外,專利文獻2方面,被配置在試料台的晶圓載置面外周側之導體製的環,係具備在載置面下方的試料台內部配置的金屬製的電極之基材的上述載置面的外周側配置的階差(凹部)上被載置,並被供給、分配被供給到基材的偏壓電位形成用高頻電力之構成。但是,這樣的構成方面,由於並不具備使環上面上方被形成的電壓數值適切地改變之構成,所以,即使在因載置面下方的電極造成被形成的偏壓電位過大之場合,也會導致變大到必要程度以上而無法使被配置在晶圓外周側的導體環上方的偏壓電位調節到適切的範圍,會有在晶圓外周側部分的電場的集中程度增大並造成蝕刻速度傾向局部地增大等使處理特性的均一性受損、處理的產出率降低之疑慮。
此外,專利文獻3,係一種在被供給到試料台內部的電極之基材的偏壓電位形成用高頻電力的供給路徑上具備分配器,把利用該分配器而以指定比例被調節、分配的高頻電力供給到配置在基材的晶圓載置面外周側、被絕緣體製套子(cover)包圍的導體環之構成。然而,該構成方面,由於利用包圍導體製的環的套子的絕緣體會把高頻電力的大部分電力切斷,所以可知,就這樣並無法有效率地進行外周部的控制。
上述的從前技術方面,針對無法對配置在晶圓外周側的導體製的環以得到所期望的電場分佈之方式來調節、供給高頻電力,造成晶圓外周側部分的處理特性對 中央部分之差量變大、處理的產出率受損之問題並未考慮到。本發明之目的就在於提供一種使產出率提升的電漿處理裝置及電漿處理方法。
上述目的係利用一種電漿處理裝置,將被配置在真空容器內部的處理室內的位於試料台上部的載置面上所載置之處理對象的晶圓使用被形成在該處理室內的電漿進行處理;具備:被配置在前述試料台上部、且被配置在前述晶圓被載置的前述載置面的外周側的環狀構件且係包圍該載置面而配置的介電質製環狀構件,及被配置於前述載置面下方的前述試料台內部的第1電極且係與第1高頻電源電性接續而於前述晶圓處理中供於該晶圓上形成偏壓電位之用的高頻電力被供給之第1電極,及在前述環狀構件的內部環狀地包圍前述載置面而配置的與前述第1電極絕緣而與第2高頻電源電性接續的第2電極,以及電性接續此第2電極與前述第2高頻電源之間而在前述晶圓處理中往前述第2電極供給來自該第2高頻電源的電力而被配置在供電路徑上在由前述第2高頻電源朝向前述第2電極的方向上電容器及線圈依序被串聯配置的電路;前述線圈被構成為可調整電感,伴隨著該電感的增大,由在前述供電路徑上的前述線圈,在前述第2電極側之處的電壓單調地增減的範圍內,前述電感被預先調整過而被達成。
此外,利用一種電漿處理方法,在配置在真空容器內部的處理室內之被載置於試料台面的處理對象之晶圓,使用被形成於該處理室內的電漿進行處理;具備:在前述晶圓的處理中,由高頻電源對被配置在前述試料台 的內部的第1電極供給來自第1高頻電源的電力,對前述試料台之被載置前述晶圓之面的外周側配置的介電質製環狀構件的內側所配置而與前述第1電極絕緣的第2電極供給來自第2高頻電源的電力而處理前述晶圓之步驟;來自前述第2高頻電源的電力通過依序被串聯接續的電容器及線圈之電路供給至前述第2電極;前述線圈的電感,伴隨著該電感的增大,由在前述供電路徑上的前述線圈,在前述第2電極側之處的電壓單調地增減的範圍內被預先調整過而被達成。
根據本發明,藉由在被施加高頻的導電性環的前段設置線圈可以與成為電容性電容器的絕緣性承受器一起製作出串聯共振構造、使阻抗銳減,藉此能夠使高頻有效率地幫助到晶圓邊緣。
101:真空容器
102:噴淋板
103:介電質窗
104:處理室
105:導波管
106:晶圓
107:磁場發生線圈
108:試料台
109:晶圓
110:真空排氣口
111:導電體膜
112:接地
113:承受器
116:電漿
120:電場發生用電源
124:高頻電源(第一高頻電源)
125:高頻濾波器
126:直流電源
127:高頻電源(第二高頻電源)
128:整合器
129:整合器
130:可變負載阻抗盒
131:基材
132:導體環
133:可變線圈
134:可變電容器
135:可變電阻器
136:電壓監視器
137:電壓監視器
150:絕緣體
151:上部承受器
152:晶圓
153:絕緣體環
155:間隙
160:鞘
161:軌道
170:靜電電容
200:可變線圈
210:間隙
220:時脈產生器
331:第二電漿
332:整合器
333:高頻電源(第三高頻電源)
圖1係模式地顯示關於本發明實施例之電漿處理裝置的構成概略之縱剖面圖。
圖2係模式地顯示關於圖1所示實施例之電漿處理裝置的變形例的構成概略之縱剖面圖。
圖3係放大並模式地顯示關於圖1所示實施例的試料台外周側部分的構成的縱剖面圖。
圖4係放大並模式地顯示關於圖1所示實施例的試料台外周側部分構成的變形例的縱剖面圖。
圖5係放大並模式地顯示關於圖1所示實施例的承受 器上部的構成的縱剖面圖。
圖6係模式地顯示圖5所示實施例的作用的縱剖面圖。
圖7係模式地顯示圖5所示實施例的承受器上部的變形例的構成的縱剖面圖。
圖8係模式地顯示圖5所示實施例與從前技術在晶圓上面的半徑方向的蝕刻速率的分佈例之圖。
圖9係放大並模式地顯示關於圖1所示實施例的試料台外周側部分的別的變形例構成的縱剖面圖。
圖10係顯示關於圖5或6所示實施例的電漿處理裝置檢測出在被處理的晶圓半徑方向的蝕刻速率分佈的結果之圖。
圖11係模式地顯示關於圖1所示實施例的電漿處理裝置的承受器構成概略的縱剖面圖以及模式地顯示該電漿處理裝置所採用的資料表之例之圖。
圖12係模式地顯示關於圖1所示實施例的電漿處理裝置所採用的資料表的別例之圖。
圖13係圖示關於圖1所示實施例的電漿處理裝置具備的顯示器所顯示的畫面之一例。
圖14係模式地顯示關於圖1所示實施例之電漿處理裝置的承受器附近的別的變形例的構成概略之縱剖面圖。
圖15係模式地顯示藉由增減圖3所示的可變負載阻抗盒130內的可變電阻器的電阻值而得到的結果之圖。
圖16係模式地顯示圖5所示實施例的構成所發揮的作用之縱剖面圖。
以下,參照圖面說明本發明之實施型態。
〔實施例1〕
以下,用圖1至圖4說明本發明之實施例。圖1係模式地顯示關於本發明實施例之電漿處理裝置的構成概略之縱剖面圖。本例方面,在處理室內作為供形成電漿用的電場採用微波帶的特定頻率者,再者,顯示在處理室內供給具有該電場頻率所對應的強度的磁場、利用該等的相互作用而發生電子迴旋共振(Electron Cyclotron Resonance,ECR)、在處理室內激發被供給的氣體原子或分子後形成電漿而蝕刻半導體晶圓上面的處理對象的膜之微波ECR電漿蝕刻裝置。
本實施例的電漿處理裝置,係具備:內部被配置具有圓筒形狀處理室104之真空容器101,在其上方及其外周被配置、在該真空容器101內處理室104的內部供給用以形成電漿的電場及磁場之電漿形成手段,與被連結到真空容器101下方來排出處理室104內部空氣之具有渦輪分子泵及旋轉泵等粗略抽氣用的真空泵之真空排氣手段。處理室104的上部係配置圓板形狀的例如石英製的介電質窗103而將處理室104內外氣密地區劃開來,且覆蓋處理室104的上方而構成其天井面。
在介電質窗103下方的處理室104內配置著被配置供導入蝕刻用氣體用的複數貫通孔之介電質製(例如石英製)的噴淋板102。在噴淋板102與介電質窗103之間,配置讓被供給的蝕刻用氣體擴散而被充填的高度較低的略圓筒形的空間,該空間係與供給蝕刻用氣體的氣體供給裝置(未圖示)利用氣體導入管路而被連結起來。此外,在真空容器101下方配置與處理室104下部連通的真空排氣口110,在真空排氣口110的下方則接續著未圖示的包含渦輪分子泵的真空排氣手段之真空排氣裝置。
作為電漿形成手段,在介電質窗103的上方配置傳搬被導入處理室104內的電場之導波管105。本實施例之導波管105係大致分成2個部分,具有於處理室104的上方其軸在鉛直上方延伸的剖面為圓形的圓筒管部分,以及被接續在此的上端部、其軸的趨向是從圓筒部分起彎曲而在水平方向延伸的剖面為矩形的角柱管部分。在角柱管部分的端部,配置將微波的電場發訊而形成之磁控管等電場發生用電源120,用該電場發生用電源120被發振而形成的電場,在傳播過導波管105、進入接續在圓筒管部分下端部的下方的共振用的圓筒形狀空間並被設定成指定電場的模式之後,會透過介電質窗103而被供給到處理室104內。
電磁波的頻率並未特別限定,而本實施例係使用2.45GHz的微波。再者,在真空容器101的處理室104的外周側,配置著用以形成供給到處理室104內的磁 場之電磁線圈(solenoid coil)的磁場發生線圈107包圍處理室104的上方及側方。傳播而被導入處理室104內的電場,則與利用磁場發生線圈107而被形成、被導入處理室104內的磁場發生相互作用,激起同樣被供給到處理室104內的蝕刻用氣體的粒子而在處理室104內生成電漿。
此外,在處理室104內的下部配置試料台108。試料台108的上面係利用藉由熔射而被形成的包含介電質的材料的膜的介電質膜而被覆著,在此介電質膜的上面載置保持處理對象的基板狀試料的晶圓109。載置晶圓109的載置面係對向於介電質窗103或噴淋板102。
介電質膜的內部係配置著由導電體材料構成的導電體膜111、介著高頻濾波器125而接續直流電源126,作為膜狀的電極被構成。再者,試料台111係具有與處理室104併軸配置的略圓筒形狀,在其內部則配置介著整合器129電性地接續第一高頻電源124的電極的具有圓板形狀的金屬製的基材131。
在被配置在基材131上面、配合晶圓109的形狀實質地具有圓形的介電質製的皮膜(介電質膜)的外周側,配置石英等介電質製的環狀構件的承受器113。因此,試料台108的載置面之介電質膜的外周側的地方係讓基材131其高度凹下降低,與介電質膜上面構成階差(高低差),在構成該階差(高低差)的環狀的凹部載置承受器113,而試料台108的上面及側面則由電漿覆蓋保護。
這樣的電漿處理裝置100方面,真空容器101 係在其側面與為圖示的搬送用真空容器介著閘門而被連結,在搬送用真空容器(真空搬送容器)內被配置的搬送機器人手臂上被載置、保持的未處理的晶圓109便通過閘門被搬入處理室104內。被搬送到處理室104內的晶圓109,從手臂被遞送到試料台108而被載置在構成其上面的介電質膜上。之後,利用從直流電源126以直流電壓被供給到導電體膜111、在與晶圓109之間被形成的靜電力而將晶圓109吸附、保持在介電質膜上。
又,處理室104,在處理時利用將未圖示的閘門予以開閉的閘閥而對真空搬送容器氣密地閉塞、密封內部。之後,從噴淋板102將蝕刻用氣體導入處理室104內,同時,驅動真空排氣裝置而讓處理室104內部的壓力藉由氣體的供給量速度與排氣量速度的平衡以維持在指定的壓力。在該狀態下利用從電漿形成手段被供給的電場及磁場的相互作用而在處理室104內形成電漿116。
在電漿116在試料台108上方的處理室104內被形成時,從接續在試料台108內的基材131之第一高頻電源124將高頻電力供給到基材131,在試料台108上面的介電質膜上及晶圓109上形成偏壓電位。利用該偏壓電位與電漿116的電位之間的電位差讓電漿116內的離子等荷電粒子被引誘朝向晶圓109上面、與在晶圓109上面事先被形成的膜構造的表面衝突,藉此,對被配置在晶圓109上面的供形成半導體裝置的電路用的膜構造的處理對象的膜層進行蝕刻處理。
又,雖未圖示,但在蝕刻處理進行間係藉由在晶圓109的裏面與試料台108的介電質膜上面之間導入氦氣(He)等供促進熱傳達用的氣體、被配置在試料台108的基材131內部、促進與冷卻用冷媒所通流的冷媒流路之間的熱交換,來進行將晶圓109的溫度數值調節到適於處理的範圍。此外,因蝕刻氣體或蝕刻而發生的反應生成物係從被配置在真空容器101底部、與處理室104的下部及真空排氣裝置的真空泵入口連通的真空排氣口110排出氣體。
在指定的晶圓109上面的膜構造的蝕刻處理結束時,停止來自第一高頻電源124的高頻電力的供給,停止來自直流電源126的吸附用電力的供給而去除靜電之後,晶圓109會朝試料台108上方被舉起,被遞送到通過閘閥開放的閘門而進入處理室104內的搬送機器人的手臂之後,未處理的晶圓109再度被搬入到試料台108上方為止。之後,未處理的晶圓109被載置在試料台108上方並開始該晶圓109的處理。在沒有應該被處理的未處理的晶圓109之場合下,電漿處理裝置100的供晶圓處理用的動作便結束而休停止或者進行維護的動作。
此外,也可以在試料台108之具有圓筒形狀的基材131或圓板或圓形的介電質膜的內側配置加熱器(圖示省略),構成可以將試料台108或介電質膜上面上方所載置的晶圓109加熱到適於處理的溫度。此外,為了將利用加熱器或藉由在處理中被暴露在電漿116而被加熱 的晶圓109的溫度的升高減低或抑制,而在基材131的內部,配置讓利用未圖示的溫調裝置把該溫度數值設定在指定數值的範圍內之熱傳達媒體(冷媒)流動、在基材131的中心周圍同心狀或螺旋狀地被配置之冷媒流路。
在這樣的試料台108的基材131內部,為了調節上述溫度而配置供檢知基材131或試料台的溫度用的未圖示的溫度感測裝置或、為了讓晶圓109在介電質膜的上方離開或者在膜上面載置晶圓而配置使之降下的複數支銷子(pin)與其位置感測裝置、配置往導電體膜111或基材131的給電路徑上的連接件(connector)等,而該等在電性雜訊多的環境下是有誤動作之疑慮。此外,在冷媒或電性雜訊的環境下也是有帶靜電之疑慮。本實施例方面,如圖示之方式讓基材131電性地接續在接地112。
在本實施例的承受器113內部,配置將晶圓109或基材131上面的介電質膜的晶圓載置面包圍配置的金屬製的導體環132,第二高頻電源127與整合器128介著可變負載阻抗盒130被電性地接續。從第二高頻電源127發生的指定頻率的高頻電力被導入導體環132,在其上面上方於與電漿116之間形成電位。
又,圖1之例方面,第二高頻電源127與導體環132之間的給電用路徑,係配置在與第一高頻電源124與介電質膜內的導電體膜111之間的給電用路徑不同之處。替換這樣的構成,可以如圖2所示方式,具備在介著整合器129將導電體膜111與第一高頻電源124之間電性地接續 之給電用路徑上配置在整合器129與導電體膜111之間做成分歧並介著可變負載阻抗盒130而將與導體環131之間電性地接續之給電用路徑,將高頻電力導入導體環132之構成。
圖2係模式地顯示關於圖1所示實施例之電漿處理裝置的變形例的構成概略之縱剖面圖。本圖的變形例方面,係相對於導體環132的高頻電力給電路徑的構成與圖1實施例在構成上的差異,針對其他構成則做成相同,因而省略該等的說明。
在圖1及圖2所示之例,利用可變負載阻抗盒130與配置在介電質製的承受器113上部的高阻抗部分之組合,藉由使從第二高頻電源127起到晶圓109外周部的阻抗的大小降低,可以在對晶圓109外周側的區域施加高頻後,將電漿116中的離子等荷電粒子引誘朝向晶圓109的外周側。藉此,抑制在晶圓109外周緣部分的電場的集中。第二高頻電源127的頻率最好是與第一高頻電源124相同或定數倍。
採用圖3來說明可變負載阻抗盒130的構成。圖3係放大並模式地顯示關於圖1所示實施例的試料台外周側部分的構成的縱剖面圖。本圖方面,顯示在接續在承受器113內配置的導體環132的給電路徑上所配置之可變負載阻抗盒130的構成。
在電漿116被形成的狀態下,在承受器113內部的導體環132與晶圓109之間存在電容性的鞘 (sheath)部。在考察包含導體環132的高頻電力的電路上,將導體環132與晶圓109外周緣之間的該電容權宜地以電容器300表示。
本實施例方面,藉由將配置在可變負載阻抗盒130內部的可變線圈133的電感調節成適切的電感,並藉由在包含電容成分的電容器300的等價電路上使串聯共振發生來減低等價電路上的阻抗。利用該構成,可以對晶圓109有效率地供給來自第二高頻電源127的高頻電力而使偏壓電位形成。
此外,在可變負載阻抗盒130,藉由進而在可變線圈133與第二高頻電源127之間配置可變電阻器135、調節可變電阻器135的電阻值,可以讓緩和上述串聯共振的峰值之Q值下降,因而,可以改善控制性。亦即,能夠如圖16所示方式使控制穩健性提升。
圖15係模式地顯示藉由增減圖3所示的可變負載阻抗盒130內的可變電阻器的電阻值而得到的結果之圖。此外,由於上述電容器300會隨電漿經過造成的承受器的消耗而使電容逐漸改變,所以,為了補正此也可以在可變電阻器135與第二高頻電源127之間配置在可變負載阻抗盒130內可以改變該電容的可變電容器134。
再者,能夠於可變負載阻抗盒130內配置檢測出與給電路徑電性地接續的電壓計等的電壓的感測器的電壓監視器136,採用檢知的電壓,檢測出從第二高頻電源127通過電漿116的高頻電力在電路上負載的變動。或 者,能夠由檢知整合器128內部的整合常數(例如可變線圈的電容值)之結果或採用電壓監視器138檢知電壓值之結果,間接地檢測出從開始使用承受器113的初期起的負載變化。藉此,能夠推定從初期起承受器113的消耗量。
此外,也可以將圖3所示的可變負載阻抗盒130,配置在圖2所示的被分歧並接續在導體環132的給電用路徑上。該場合由於從對導電體膜111供給高頻電力的給電路徑的第一高頻電源124見得到的阻抗在並聯地接續往導體環132的給電路徑下由圖3之場合可見到相對地低,所以,有造成在導電體膜111上被形成的高頻電壓降低、晶圓109的處理速度(rate)低落之虞。
為防止此事,也可以做成在負載整合器129內部配置電壓監視器137,以讓檢出的電壓值成為能夠實現所期待的處理的速度等特性之目標值之方式來調節負載整合器129的阻抗或整合常數。此外,如圖4所示方式為了使第一高頻電源124、第二高頻電源127發生的電力訊號同步發生而將該等與時脈產生器(clock generator)220電性地接續,並藉由自時脈產生器220週期性地使脈衝或方形波等的訊號輸出到該等電源,使在各自被發振的電力的週期在該等之間同步輸出、抑制介著晶圓106干擾而發生的振動訊號。
上述的實施例方面,係顯示對介電質膜內的導電體111供給來自第一高頻電源124的高頻電力與來自直流電源126的直流電力之構成,但是,具備分別對在配 置在基材131上面的介電質製的膜的內部的不同地方所配置的不同的導電體製的膜,從該等各個電源供給電力之構成亦可。例如,也可以是在熔射介電質材料的粒子而被形成的介電質膜內的上方配置被供給靜電吸附用直流電力的導電體製的膜,在介電質膜內的下方則配置被供給高頻電力的別的導電體製的膜之構成。在這樣的構成,也是可以具備圖4所示的時脈產生器220,具備對第一高頻電源124、第二高頻電源127發出供使輸出同步用的訊號之構成。
其次,採用圖5至7來說明上述實施例的承受器113的構成。圖5係放大並模式地顯示關於圖1所示實施例的承受器上部的構成的縱剖面圖。圖6係模式地顯示圖5所示實施例的作用的縱剖面圖。圖7係模式地顯示圖5所示實施例變形例的承受器上部的構成的縱剖面圖。
在圖5,本實施例之承受器113係由配置在上下的複數構件所構成,配置在上方的上部承受器151係介電質製的環狀構件,並在與在基材131上部外周側部分環狀配置的凹部上方所載置配置的環狀的下部承受器150在其上面上所載置的導體環132的上方將此覆蓋配置。本實施例方面,係能夠利用這樣的構成,讓利用從第二高頻電源127被供給到導體環132的高頻電力而發生的高頻偏壓電位有效率地通過上部承受器151內部並在其上面上方形成、生成鞘160。
利用這樣的鞘160,可抑制在從前技術下發生 的在晶圓106外周緣發生電場集中所導致形成特異形狀的鞘,在鞘160內被形成的等電位面係在晶圓106的外周側部分可減低對晶圓106上面而言並不平行的部分。藉此,能夠讓離子對晶圓106入射的角度成為所期望的角度、例如垂直的角度之範圍更擴大直到外周端,將處理晶圓106的特性例如蝕刻速度、針對晶圓106的面內方向將差量減低。
此外,上部承受器151係必須充分具有不會讓下部承受器150側被施加高頻電力這樣的厚度。本實施例方面,上部承受器151的導體環上的厚度係被設定在1至3mm、導體環132下方的下部承受器150的厚度則在3至10mm的範圍內的數值。這樣的厚度大小關係,最好是使介著上部承受器151的導體環132與鞘160之間的距離以小於下部承受器150的導體環132與基材131之間的距離之方式被構成。
採用圖16來說明圖5所示的構成的動作原理。圖16係模式地顯示圖5所示實施例的構成所發揮的作用之縱剖面圖。
圖16(a)係模式地顯示放大本實施例的承受器113上部的構成之縱剖面圖。本圖的構成的等價電路方面,作為從第二高頻電源127被供給到導體環132之高頻電力流過的路徑,如圖16(a)箭頭所示方式考慮路徑1與路徑2。圖16(b)係模式地顯示圖5所示的實施例之可變線圈133的電壓的VLc與從電壓監視器136的輸出 被檢出的電壓值V1之關係圖。本圖係分別針對路徑1與路徑2顯示該相關關係。
被傳達到路徑2之電力小者,在提升對晶圓106供給用以引誘電漿中的離子的電力之效率方面是較佳的。為此,本實施例方面,路徑2的共振點VLc的數值與路徑1的共振點的數值,兩者的差VL12被設定為比路徑1的共振點VLc的數值VL11大。
因此,可以在路徑1的控制部將往路徑2被供給的電力的比例設成小得可以實質地忽視的程度。本實施例方面,為了實現此VL12>VL11的條件,而以在等價電路上將路徑1的上部承受器151所具有的靜電電容C1做成十分大,另一方面,將路徑2的下部承受器150所具有的靜電電容C2做成十分小之方式,來選擇構成該等之材質或其形狀的厚度或幅寬等之尺寸。在此狀態下,以在圖16(b)的路徑1的V1相對於VLc的變化為單調地增加之區域下所期待的鞘160厚度與區域成為可得到的指定容許範圍內的數值之方式,因應後述的控制裝置180被檢出的C1的數值來調節VLc。
再者,在下部承受器150與上部承受器151之間配置間隙155,具備在鞘160與金屬製的導體環132之間進行能量的傳達之構成。然而,這樣的間隙155的端部一面對處理室104而被連通,就會有處理室104內被形成的電漿116中的荷電粒子或活性種等反應性被提高的粒子進入該間隙155內並與構成間隙115之構件的壁面發生 相互作用之虞。
對於這樣的課題,也可以如圖6(a)所示的變形例之方式,替換圖5所示的金屬製的導體環132而在用石英或氧化鋁或氧化釔等陶瓷所構成的絕緣體環153的內部配置金屬製的導電體膜153’。根據這構成,可減低金屬製的導體環132接觸電漿116並抑制由於兩者的相互作用所產生的生成物導致晶圓106被污染。可以使往下部承受器150側的阻抗相對地提高、使往上部承受器151側的能量傳達有效率地進行,而且,由於用絕緣體或介電質所形成的構件來包圍間隙155而構成,所以,可抑制因上述導體製環132與電漿116的相互作用而發生晶圓106金屬污染。
再者,為了提高針對供給的電力之鞘160厚度或分佈或可得到的處理特性的控制性,也可以如圖6(b)所示方式,在上部承受器151的下面與導體膜配置在內部的絕緣體環153上面之間配置間隙210。該例,上部承受器151在被載置於下部承受器150上之狀態下具有覆蓋絕緣體環153的內周側側壁面、外周側側壁面及上面之構成,而可以抑制絕緣體環153面對電漿之情況、遮蔽金屬膜不接觸電漿。本例方面,空間210的間隙可從0.01~1mm的範圍內選擇、設定。
其次,針對本實施例電漿處理裝置之供給到導體環132的電力的控制的樣態與其作用‧效果,採用圖 7至圖12來說明。圖7係模式地顯示圖6所示的變形例之在包含承受器的晶圓外周緣附近所發揮的作用之縱剖面圖。
圖7(a)係放大並模式地顯示包含具有內側未被配置導體環132的上部承受器153與下部承受器150之從前技術的承受器113之晶圓106的外周緣附近的構成之縱剖面圖。如本圖所示判斷,該從前技術方面,晶圓106外周部的上部承受器151上面上方的鞘160是被限定在晶圓106上面與包含構成上部承受器151的環形狀內周側部分的傾斜面上方及晶圓106從基材131上中央部的凸部上面的介電質膜在外周側突出的伸出(overhang)部分下面之外周緣周圍,且成為電漿116中被形成的離子是在晶圓106外周緣部沿著從外周側向中央側傾斜之軌道161而衝突到晶圓106導致集中在該處之構成。
圖7(b)係模式地顯示在對圖6(b)所示的被內包在絕緣環153的導體膜從第二高頻電源127中介著被配置在可變負載阻抗盒130內的共振電路來供給指定頻率的高頻電力之變形例發生的鞘160的構成之縱剖面圖。如本圖所示,本例方面,係在上部承受器151上面的導體膜上方發生降至負電位的交流電壓,與正電位的電漿之電位差變大、形成具有指定以上厚度的鞘160。藉此,在圖7(a)之例,在晶圓106外周緣所生起的電場集中或者伴隨著朝向鞘160的等電位面外周側的下降會緩和,在晶圓 106的外周緣上方離子等荷電粒子就從上方沿著朝向晶圓106外側的上部承受器151上面的方向的軌道161而被引至外周側,蝕刻速度等的處理特性則從晶圓106的中央部到外周緣部之間的變動會被抑制。
圖7(c),與圖7(b)相比之下,係模式地顯示在不具有對絕緣體環153內部的導體膜供給高頻電力的第二高頻電源127而中介著包含可變線圈200的共振電路被接地者所產生的鞘160的構成之縱剖面圖。該構成方面,由於上部承受器151上面的電位被設為接地電位、與電漿116的電位之電位差變小,所以,比圖7(a)所示者,更難以形成上部承受器113上面的鞘160,使鞘160的成長限定在晶圓106的上面與包含伸出部分的外周緣部的周圍。因此,本例的構成方面,會造成晶圓106的外周緣部份讓電漿116中的離子等荷電粒子更容易集中,外周緣部份附近的晶圓106的處理特性會從中央部起讓差異進而愈來愈大。
圖8顯示分別在圖7所示的構成下,這樣的處理特性、特別是蝕刻速度之例。圖8係模式地顯示圖5所示實施例與從前技術在晶圓106上面的半徑方向的蝕刻速率的分佈例之圖。
圖7(a)或圖7(c)之例之蝕刻速度係如圖8(a)所示,相對於中央側的部分為大致一定,在外周側部分變成增加。另一方面,圖7(b)之例方面,可以根 據可變線圈133的電壓值VLc或來自第二高頻電源127的輸出大小或頻率數值,來調節在上部承受器151上面上方被形成的鞘160的厚度或等電位面的高度。進行這樣的調節的本實施例方面,晶圓106外周側部分的蝕刻速度,係在圖8(a)所示那樣在外周側的區域增大的分佈與如圖8(b)所示那樣減少的分佈之間,形成所期待的分佈。
此外,在上述實施例,也可以如圖9所示方式,具備藉由配置在可變負載阻抗盒130內部的開關201以在圖7(b)所示的導體膜中介著包含可變線圈133的可變RLC的電路而被接續在第二高頻電源127之給電路徑、與圖7(c)所示的導體膜中介著可變線圈200而被接地之路徑之間可被切換,在藉以分別發揮功能之可變晶圓邊緣釋放模式(release mode)與晶圓邊緣操作模式(access mode)之間可以切換的構成。
在圖10,說明採用具備圖5或6所示的構成之實施例來處理晶圓106之結果。圖10係顯示關於圖5或6所示實施例的電漿處理裝置檢測出被處理的晶圓半徑方向的蝕刻速率分佈的結果之圖。
本例方面,顯示針對使VLc=53μH之偏壓形成用之高頻電力大小不同之複數場合所檢出的結果。圖10(a)~(c)所示的各個圖的左側部分為顯示直徑300mm晶圓106從中心起到外周緣的蝕刻速度大小的分佈 圖,右側部分為放大顯示圖上右端的外周緣附近的蝕刻速度大小的分佈圖。
圖10(a)係顯示對基材131的凸部上面配置的介電質膜內的導電體膜111從第一高頻電源124供給200W的高頻電力,進而對導電體膜111外周側配置的承受器113內的導體環132或絕緣體環153內的導體膜供給0W的高頻電力之場合。圖10(b)係顯示在對導電體膜111供給200W的高頻電力及對承受器113內的導體環132或導體膜供給20W的高頻電力之場合下,而圖10(c)為分別供給200W、50W之場合下,檢測出處理中的特性之結果。
如該等的圖所示方式,藉由本實施例之調節中介著包含可變線圈133的可變負載阻抗盒130而被供給到導體環132或絕緣體環153內部的導體膜的高頻電力的大小,在晶圓106的外周緣起到10mm左右的區域之蝕刻速度會一邊被抑制帶給中央側部分的速度的影響一邊被增減。
此外,發明人等,係針對將偏壓形成用的高頻電力大小設為一定而使可變線圈133的電壓值VLc不同之複數場合來檢測出蝕刻速度的分佈。該等場合,也與圖10所示之圖同樣地,顯示能夠將晶圓106外周側部分的蝕刻速度的分佈獨立於中央部側的部分來調節。此外,判斷在處理特性之蝕刻速度以外,蝕刻形狀、特別是在加 工後的膜構造的形狀之角度,也能藉由進行上述實施例之高頻電力或者負載阻抗的調節而實現成所期望者。
其次,針對上述實施例伴隨處理晶圓106的時間或枚數增加而對於承受器113所消耗的量來調節從第二高頻電源127被供給到承受器113的高頻電源之構成採用圖11及12來說明。
上部承受器151的上面及外周側的側面係面對電漿116,且是因為與此之間的相互作用造成或被削刮或變質而被消耗之構件。因此,由於這樣的消耗致使鞘160的形狀改變、改變處理特性的分佈。
例如,在介電質的上部承受器151的導體環132或絕緣體環153上方的部分消耗而厚度減少時,該部分的靜電電容170對高頻電力的數值Cv變大,上部承受器151上面的電位增加。為此,於消耗發生的狀態下在與以前相同數值的高頻電力被供給到導體環132或絕緣體環153內的導體膜之場合下,會產生相同處理特性不會被實現之問題。
為了解決這樣的問題,本實施例方面,在為了製造半導體裝置而被處理的製品用晶圓106的處理開始前事先將顯示成為基準之在晶圓106的指定處之處理特性、或者是在面內方向被平均之處理特性例如蝕刻速度的數值對Cv之值相對變化之相關性的資訊檢測出來,將該資料作為目標或是標準的資料而以表或資料庫的形式記憶 在被配置於未圖示的控制裝置內之硬碟、RAM或可更換硬碟(removable disk)等之記憶裝置內。圖11係模式地顯示關於這樣的圖1所示實施例的電漿處理裝置的承受器構成概略的縱剖面圖以及模式地顯示該電漿處理裝置所採用的資料表之例之圖。
供得到成為基準的資料用之晶圓106,係將具有近似於可視為與製品用者相同或同等程度的構成之膜構造配置在上面,在採用不同厚度的上部承受器151的複數裝置的做法下使可變線圈133的電壓VLc的數值改變並在製品用的晶圓106的處理開始前事先被實施。從在該等各個條件下的處理中或處理後所得到的蝕刻速度等處理特性的數值與其分佈或者加工形狀的分佈與VLc數值之相對關係,將能夠得到目標的處理特性的數值或其分佈或加工形狀的分佈之上部承受器153的靜電電容Cv與VLc數值之對應性顯示出之資料以表的形式抽取出。為了抽取出這樣的目標的資料而被處理的成為基準的晶圓106也可以採用複數枚。
本實施例方面,根據作成資料庫而被記憶的該資料表的資訊,控制裝置180算出VLc或者來自第二高頻電源的輸出數值Pf,作為應該設定的數值而送出指令訊號。控制裝置180,係具有可以與可變負載阻抗盒130或被配置在其內部的可變線圈133、可變電容器134、可變電阻135等元件或裝置進行通訊地連接,進而與第二高 頻電源127、整合器128、電壓監視器136、138以可通訊的方式連接,被通訊的訊號的介面,與RAM、硬碟等記憶裝置以及半導體製微處理器等演算器、以及與這些構件電性連接而使訊號連通之通訊路徑。又,記憶裝置並沒有不要被收納在控制裝置180的單元內部,而也可以在遠隔的地方被配置、可以通訊地被接續。
控制裝置180,具體而言,針對本實施例的電漿處理裝置被運轉的指定期間,將其開始前之從第二高頻電源127起到上部承受器151上面為止的偏壓電位形成用高頻電力被供給之給電路徑的初期負載、阻抗數值Zs予以檢測出,將此記憶在構成控制裝置180之記憶裝置內。再者,藉由將在任意枚數目的晶圓106的處理前或處理中被檢出之該給電路徑的負載Zp予以檢出、將此與被記憶在記憶裝置的Zs進行比較,而將給電路徑上的導體環132或導體膜與上部承受器151上面之間或與中介著上部承受器151的電漿116之間的靜電電容Cv檢測出來。
具體而言,控制裝置的演算器,係依照在記憶裝置內事先被記憶並收納之軟體的運算法則而由Zs-Zp將上部承受器151消耗後厚度減低的量檢出,採用此來算出現在的Cv。採用該Cv與被記憶在記憶裝置的資料表來算出設為目標的VLc數值。再者,以成為該算出的目標數值之方式將對VLc設定其電感之指令發訊到可變線圈133或可變負載阻抗盒130內的元件。
圖11之例方面,係採用顯示中介著上部承受器153的給電路徑的等價電路上的靜電電容數值Cv、與可變負載阻抗盒130的可變線圈133的電壓值VLc兩者的對應關係之資料表,但是,也可以如圖12所示方式,採用顯示來自在可變負載阻抗盒130與導體環132或絕緣體環153內的導體膜之間的給電路徑被接續、配置之電壓監視器136的輸出值V1、與靜電電容Cv之關係之資料。圖12係模式地顯示關於圖1所示實施例的電漿處理裝置所採用的資料表的別例之圖。
在本例,當Cv改變時,對蝕刻速度等處理特性間接地給予影響之電壓值V1會改變。於是,與圖11已說明之例同樣地,在為了製造半導體裝置而在被處理的製品用晶圓106之處理開始前,先檢測出顯示成為對Cv數值變化的基準之在晶圓106的指定處的處理特性、或者在面內方向被平均的處理特性,例如與對應於蝕刻速度的目標值之V1數值的相關性之資料,而將此資料作為目標或標準的資料並以表或資料庫的形式記憶在被配置於未圖示的控制裝置內之硬碟、RAM或可更換硬碟等記憶裝置內。
這樣的資料之檢出,在開始製品用晶圓106的處理之前是採用不同厚度的上部承受器151之複數裝置的做法下,係在製品用晶圓106的處理開始前事先被實施。從在該各自的條件下的處理中或處理後所得到的蝕刻 速度等處理特性的數值與其分佈或加工形狀的分佈與被檢出的V1數值之相對關係,以表的形式抽取出顯示能夠得到目標的處理特性的數值或其分佈或加工形狀的分佈之上部承受器153的靜電電容Cv與V1數值的對應性之資料,作為資料庫的資料而被記憶。
控制裝置180,接收來自電壓監視器136的輸出後,以成為能夠實現所期待的處理特性或加工形狀之指定的V1數值之方式、以使可變負載阻抗盒130內的可變線圈133的電壓值VLc改變之方式,將指令訊號發訊到可變負載阻抗盒130。控制裝置180,在製品用晶圓106的處理以使V1數值成為一定之方式調節者亦可,此外,與圖11之例同樣地,也可以是將處理的開始前或剛開始後的初期負載、阻抗數值Zs、與在任意枚數目晶圓106的處理前或處理中被檢出的該給電路徑的負載Zp進行比較,由得到的Zs-Zp的數值將給電路徑上的導體環132或導體膜與上部承受器151上面之間或與中介著上部承受器151之電漿116之間之靜電電容Cv檢出,採用上述資料表來算出對應該Cv數值的V1數值並以成為該數值之方式實施可變負載阻抗盒130回饋控制。
進而,成為當來自第二高頻電源的高頻電力大小的數值Pf改變時V1也會改變,即使是這樣的V1增減發生之場合,藉由以從在可變負載阻抗盒130與整合器128之間的給電路徑被接續、配置的電壓監視器136的輸 出被檢出的電壓值V2與V1之比V1/V2成為指定容許範圍內的數值之方式來調節VLc數值,所期待的處理特性或加工形狀也能實現。此外,以將從在導體膜111與第一高頻電源124之間的給電路徑被接續、配置的電壓監視器137的輸出被檢出的電壓值V3與V1之比V1/V3之數值,以晶圓106上面中央側部分的蝕刻速度與外周側部分的蝕刻速度之比成為指定容許範圍內的數值之方式來調節之方式被構成亦可。
再又,也可以將流過可變負載阻抗盒130與導體環132或絕緣體環153內的導體膜之間的給電路徑之高頻電力的電流數值A檢出,在整合器129檢出其內部的電壓後,以該等的乘積成為一定或指定容許範圍內的數值之方式來調節可變負載阻抗盒130的可變線圈133的電壓值VLc。但是,本實施例方面,來自第二高頻電源127的輸出值Pf係被調節到指定容許範圍內的數值,在整合器129以對應負載的變化來整合阻抗。係藉由以使電流值A與整合器129的電壓值兩者之乘積成為一定之方式進行調節,對中介著上部承受器151的導體環132或導體膜與電漿之間的具有靜電電容數值Cv的部分施加的、局部的且會大幅影響蝕刻速度等處理特性或加工形狀的部分之電力。
再者,由於根據V3與V1之相乘效果會造成晶圓106外周緣部的鞘160的厚度增減,所以,也能夠採 用此來調節晶圓106外周緣部的蝕刻速度。亦即,蝕刻速度應該補正的量(被檢出的處理中的任意時刻下的蝕刻速度與成為目標的蝕刻速度之差)與電壓值V1的變化量之關係,係成為如以下數學式(1)所示之關係,是根據發明人等之檢討而判明。
△ER=K×(V3×△V1)×Ks+B (1)
在此,△ER=處理中的任意時刻下的蝕刻速度與成為目標的蝕刻速度之差、K=比例常數、KS=顯示V3對鞘160厚度的影響大小之比例常數、B=常數、△V1=供實現所期待的蝕刻速度用之控制量。一般上習知,電壓的常數乘是有助於鞘160的厚度,將該常數乘設為Ks來表示。
根據發明人等檢討的結果可知,對晶圓106的外周緣側部分的蝕刻速度的補正乘上(V3×V1)之常數,會正比於蝕刻速度的補正量。由此,為了得到所期望的蝕刻補正量,控制裝置180採用數學式(1)求出必要的V1的變化量,藉由以成為能夠實現該V1變化量的VLc或第二高頻電源127的Pf之方式來控制該等,能夠使蝕刻速度的數值與其分佈靠近目標者、或減低從這而起的偏差。
其次,針對在使用者使用本實施例電漿處理裝置時顯示的使用者介面,採用圖13加以說明。圖13係圖示關於圖1所示實施例的電漿處理裝置具備的顯示器所 顯示的畫面之一例。
採用由這樣的顯示所形成的使用者介面,上述實施例的使用者,可以自動控制或手動控制切換外周側部分的速度模式,自動模式方面,可選擇要晶圓106外周側部分的速度比中心側部分的速度或降低、或提高、或相等。還有,藉由設定該速度比率、設定承受器113的消耗限度,若來到該消耗限度,則承受器交換警報會自動顯示之系統。
此外,手動模式方面,在選擇了要晶圓外周側區域的速度比中心側區域的速度或提高、或下降、或相等之後,直接地設定可變線圈133的電感值(電壓值)VLc,直接將VLc調節成一定。此外,在本實施例的監視器上,自動模式或手動模式下,皆可顯示表示消耗量的Cv數值、及承受器113內部的導體環132的電位Vpp。
其次,使用圖14說明上述實施例的別的變形例。圖14係模式地顯示關於圖1所示實施例之電漿處理裝置的承受器附近的別的變形例的構成概略之縱剖面圖。
本例方面,在第二高頻電源與導體環132或絕緣體環153內的導體膜之間的給電路徑上的導體環132或導體膜與可變負載阻抗盒130之間之處,中介著整合器332具有與來自第三高頻電源333的高頻電力被供給的給電路徑接續之部分。該接續部係配置在接續電壓監視器136之處與可變負載阻抗盒130之間。
本變形例方面,第三高頻電源333的高頻電力係具備採用第一或第二高頻電源的10倍以上大的頻率,利用該電力而可以在處理室104內生成電漿之構成。亦即,根據這樣的構成,因為由靜電電容170的數值Cv所形成的阻抗係相對地小,所以,在採用從處理室104上方被供給的電場或磁場而被形成的電漿116,另外在晶圓106外周側的部分及上部承受器151上方生成第二電漿331。
此時,可變線圈133的電壓值VLc133為具有能夠做成把從第三高頻電源333被供給的高頻電力遮斷而流不到整合器129及第二高頻電源127之側之電感值。
第二電漿331,係在從晶圓106上方之其中央部上方起到外周緣附近及其外周側的上部承受器151的上方之處理室104內被生成的電漿,其強度或密度的數值與其分佈係利用上述實施例的任何構成或者該等組合的構成而被調節。根據此構成,本例的電漿處理裝置,在從晶圓106上面的中央部起到外周側部分的廣大範圍,可以使蝕刻速度等的處理特性的數值與其分佈或在處理後可得到的加工形狀的尺寸與其差量做成在所期待的範圍內。
此外,在上述實施例,取代來自第一高頻電源124的電力被供給的導電體膜111,也可以具備:針對其面內方向而具備被分成複數區域之導電體膜,在中心側區域配置的導電體膜將給電路徑電性地接續並通過此供給 來自第一高頻電源124的高頻電力,於中心側區域的外周側在包圍此的環狀的外周側區域內被配置的外周側的環狀導電體膜與此電性地接續之給電路徑,通過該路徑而將來自第三高頻電源333的上述電漿形成用高頻電力予以供給之構成。根據這樣的構成,藉由將第二電漿331在晶圓106上面的外周側部分的上方形成、調節被供給到環狀導電體膜的高頻電力,也能夠將第二電漿的強度或密度的數值與其分佈調節到所期待者,能夠減低晶圓106加工形狀的尺寸或處理特性的數值或其分佈之差量,而提升處理的產出率。
本實施例方面,將被蝕刻材料形成矽氧化膜,作為蝕刻氣體及清潔氣體,例如,採用前述的四氟甲烷氣體、氧氣、三氟甲烷氣體,而作為被蝕刻材料,不止矽氧化膜,在多晶矽膜、光阻膜、防反射有機膜、防反射無機膜、有機系材料、無機系材料、矽氧化膜、氮化矽氧化膜、氮化矽膜、Low-k材料、High-k材料、非晶質碳膜、矽基板、金屬材料等也可以得到同等的效果。
此外,作為實施蝕刻之氣體,例如,可以使用:氯氣、溴化氫氣、四氟甲烷氣體、三氟甲烷、二氟甲烷、氬氣、氦氣、氧氣、氮氣、二氧化碳、一氧化碳、氫、氨、八氟丙烷、三氟化氮、六氟化硫氣體、甲烷氣體、四氟化矽氣體、四氯化矽氣體、氖氣、氪氣、氙氣、氡氣等。
上述之例方面,係說明了利用微波ECR放電來進行蝕刻處理之電漿處理裝置之例,利用其他放電(有磁場UHF放電、電容結合型放電、電感耦合型放電、磁控管放電、表面波激發放電、變壓器耦合放電)之電漿處理裝置,在例如電漿CVD裝置、灰化(ashing)裝置、表面改質裝置等,藉由是用上述構成也可發揮同樣的作用效果。
100:電漿處理裝置
101:真空容器
102:噴淋板
103:介電質窗
104:處理室
105:導波管
106:電場發生用電源
107:磁場發生線圈
108:試料台
109:晶圓
110:真空排氣口
111:導電體膜
112:接地
113:承受器
116:電漿
124:高頻電源
125:高頻濾波器
126:直流電源
127:高頻電源
128:整合器
129:整合器
130:可變負載阻抗盒
131:基材
132:導體環

Claims (6)

  1. 一種電漿處理裝置,將被配置在真空容器內部的處理室內的位於試料台上部的載置面上所載置之處理對象的晶圓使用被形成在該處理室內的電漿進行處理;具備:被配置在前述試料台上部、且被配置在前述晶圓被載置的前述載置面的外周側的環狀構件且係包圍該載置面而配置的介電質製環狀構件,及被配置於前述載置面下方的前述試料台內部的第1電極且係與第1高頻電源電性接續而於前述晶圓處理中供於該晶圓上形成偏壓電位之用的高頻電力被供給之第1電極,及在前述環狀構件的內部環狀地包圍前述載置面而配置的與前述第1電極絕緣而與第2高頻電源電性接續的第2電極,以及電性接續此第2電極與前述第2高頻電源之間而在前述晶圓處理中往前述第2電極供給來自該第2高頻電源的電力而被配置在供電路徑上在由前述第2高頻電源朝向前述第2電極的方向上電容器及線圈依序被串聯配置的電路;前述線圈被構成為可調整電感,伴隨著該電感的增大,由在前述供電路徑上的前述線圈,在前述第2電極側之處的電壓單調地增減的範圍內,前述電感被預先調整過。
  2. 如請求項1之電漿處理裝置,其中電力分別由前述第1及第2高頻電源同步輸出。
  3. 如請求項1或2之電漿處理裝置,其中 具備被配置在前述第1電極與前述第2電極之間而絕緣這些之間的絕緣構件,該絕緣構件的靜電電容相比前述介電質製環狀構件的靜電電容為十分小。
  4. 一種電漿處理方法,在配置在真空容器內部的處理室內之被載置於試料台面的處理對象之晶圓,使用被形成於該處理室內的電漿進行處理;具備:在前述晶圓的處理中,由高頻電源對被配置在前述試料台的內部的第1電極供給來自第1高頻電源的電力,對前述試料台之被載置前述晶圓之面的外周側配置的介電質製環狀構件的內側所配置而與前述第1電極絕緣的第2電極供給來自第2高頻電源的電力而處理前述晶圓之步驟;來自前述第2高頻電源的電力通過依序被串聯接續的電容器及線圈之電路供給至前述第2電極;前述線圈的電感,伴隨著該電感的增大,由在前述供電路徑上的前述線圈,在前述第2電極側之處的電壓單調地增減的範圍內被預先調整過。
  5. 如請求項4之電漿處理方法,其中電力分別由前述第1及第2高頻電源同步輸出。
  6. 如請求項4或5之電漿處理方法,其中具備被配置在前述試料台內部的前述第1電極與前述第2電極之間而絕緣這些之間的絕緣構件,預先使該絕緣構件的靜電電容相比前述介電質製環狀構件的靜電電容為十分小。
TW109123076A 2015-05-28 2016-03-10 電漿處理裝置及電漿處理方法 TWI768395B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2015-108070 2015-05-28
JP2015108070A JP6539113B2 (ja) 2015-05-28 2015-05-28 プラズマ処理装置およびプラズマ処理方法

Publications (2)

Publication Number Publication Date
TW202042279A TW202042279A (zh) 2020-11-16
TWI768395B true TWI768395B (zh) 2022-06-21

Family

ID=57397707

Family Applications (3)

Application Number Title Priority Date Filing Date
TW105107369A TWI622081B (zh) 2015-05-28 2016-03-10 電漿處理裝置及電漿處理方法
TW109123076A TWI768395B (zh) 2015-05-28 2016-03-10 電漿處理裝置及電漿處理方法
TW107104767A TWI701705B (zh) 2015-05-28 2016-03-10 電漿處理裝置及電漿處理方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW105107369A TWI622081B (zh) 2015-05-28 2016-03-10 電漿處理裝置及電漿處理方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW107104767A TWI701705B (zh) 2015-05-28 2016-03-10 電漿處理裝置及電漿處理方法

Country Status (4)

Country Link
US (3) US10217611B2 (zh)
JP (1) JP6539113B2 (zh)
KR (1) KR101800649B1 (zh)
TW (3) TWI622081B (zh)

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6655310B2 (ja) * 2015-07-09 2020-02-26 株式会社日立ハイテクノロジーズ プラズマ処理装置
US10665433B2 (en) * 2016-09-19 2020-05-26 Varian Semiconductor Equipment Associates, Inc. Extreme edge uniformity control
US10790118B2 (en) * 2017-03-16 2020-09-29 Mks Instruments, Inc. Microwave applicator with solid-state generator power source
JP6797079B2 (ja) * 2017-06-06 2020-12-09 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
CN114666965A (zh) 2017-06-27 2022-06-24 佳能安内华股份有限公司 等离子体处理装置
WO2019003312A1 (ja) * 2017-06-27 2019-01-03 キヤノンアネルバ株式会社 プラズマ処理装置
PL3648552T3 (pl) 2017-06-27 2022-06-13 Canon Anelva Corporation Urządzenie do obróbki plazmowej
SG11201912567RA (en) 2017-06-27 2020-01-30 Canon Anelva Corp Plasma processing apparatus
CN109216144B (zh) * 2017-07-03 2021-08-06 中微半导体设备(上海)股份有限公司 一种具有低频射频功率分布调节功能的等离子反应器
US10763081B2 (en) * 2017-07-10 2020-09-01 Applied Materials, Inc. Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device
JP6703508B2 (ja) * 2017-09-20 2020-06-03 株式会社日立ハイテク プラズマ処理装置及びプラズマ処理方法
JP7149068B2 (ja) * 2017-12-21 2022-10-06 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
JP6997642B2 (ja) 2018-01-30 2022-01-17 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
JP7037964B2 (ja) * 2018-03-09 2022-03-17 東京エレクトロン株式会社 測定器、及びフォーカスリングを検査するためのシステムの動作方法
CN110323117A (zh) * 2018-03-28 2019-10-11 三星电子株式会社 等离子体处理设备
WO2019229784A1 (ja) * 2018-05-28 2019-12-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
US11049697B2 (en) 2018-06-20 2021-06-29 Board Of Trustees Of Michigan State University Single beam plasma source
EP3817517A4 (en) 2018-06-26 2022-03-16 Canon Anelva Corporation PLASMA PROCESSING DEVICE, PLASMA PROCESSING METHOD, PROGRAM, AND MEMORY MEDIA
JP7140610B2 (ja) 2018-09-06 2022-09-21 株式会社日立ハイテク プラズマ処理装置
US20200090907A1 (en) * 2018-09-18 2020-03-19 Applied Materials, Inc. Systems and processes for plasma tuning
JP6762410B2 (ja) * 2018-10-10 2020-09-30 東京エレクトロン株式会社 プラズマ処理装置及び制御方法
KR102111504B1 (ko) * 2018-10-15 2020-05-18 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
CN111199860A (zh) * 2018-11-20 2020-05-26 江苏鲁汶仪器有限公司 一种刻蚀均匀性调节装置及方法
US11289310B2 (en) * 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US11562887B2 (en) * 2018-12-10 2023-01-24 Tokyo Electron Limited Plasma processing apparatus and etching method
US11955314B2 (en) * 2019-01-09 2024-04-09 Tokyo Electron Limited Plasma processing apparatus
US11721595B2 (en) * 2019-01-11 2023-08-08 Tokyo Electron Limited Processing method and plasma processing apparatus
CN116844934A (zh) 2019-02-05 2023-10-03 东京毅力科创株式会社 等离子体处理装置
US11545343B2 (en) 2019-04-22 2023-01-03 Board Of Trustees Of Michigan State University Rotary plasma reactor
JP7333712B2 (ja) * 2019-06-05 2023-08-25 東京エレクトロン株式会社 静電チャック、支持台及びプラズマ処理装置
CN112103163B (zh) * 2019-06-17 2022-06-17 北京北方华创微电子装备有限公司 下电极装置及相关等离子体系统
WO2020255319A1 (ja) 2019-06-20 2020-12-24 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
KR102214333B1 (ko) * 2019-06-27 2021-02-10 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US11894255B2 (en) 2019-07-30 2024-02-06 Applied Materials, Inc. Sheath and temperature control of process kit
US11456159B2 (en) * 2019-10-25 2022-09-27 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Plasma processing system
CN110687099B (zh) * 2019-11-24 2021-12-21 深圳市展能生物科技有限公司 一种增强基因芯片拉曼效应的真空装置
KR102365379B1 (ko) * 2019-11-28 2022-02-22 한국전자기술연구원 플라즈마를 이용한 대면적 페로브스카이트 태양전지 모듈용 고순도 결정질 분말 생성 장치, 그를 이용한 분사 장치 및 포집 장치
JP7361588B2 (ja) * 2019-12-16 2023-10-16 東京エレクトロン株式会社 エッジリング及び基板処理装置
KR102503478B1 (ko) 2019-12-18 2023-02-27 주식회사 히타치하이테크 플라스마 처리 장치
WO2021156906A1 (ja) * 2020-02-03 2021-08-12 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
WO2021157051A1 (ja) * 2020-02-07 2021-08-12 株式会社日立ハイテク プラズマ処理装置及びプラズマ処理方法
JP7454961B2 (ja) * 2020-03-05 2024-03-25 東京エレクトロン株式会社 プラズマ処理装置
JP2021180283A (ja) * 2020-05-15 2021-11-18 東京エレクトロン株式会社 載置台アセンブリ、基板処理装置および基板処理方法
KR20220000817A (ko) * 2020-06-26 2022-01-04 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
CN114293177A (zh) * 2021-12-31 2022-04-08 拓荆科技股份有限公司 可调节电浆曲线的处理装置
US20230360889A1 (en) * 2022-05-03 2023-11-09 Tokyo Electron Limited Apparatus for Edge Control During Plasma Processing

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020007915A1 (en) * 2000-07-19 2002-01-24 Eiji Hirose Plasma processing apparatus
TW201130039A (en) * 2009-09-02 2011-09-01 Lam Res Corp Arrangements for manipulating plasma confinement within a plasma processing system and methods thereof
CN103715049A (zh) * 2012-09-29 2014-04-09 中微半导体设备(上海)有限公司 等离子体处理装置及调节基片边缘区域制程速率的方法
US8894806B2 (en) * 2009-03-27 2014-11-25 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
TW201447961A (zh) * 2013-02-12 2014-12-16 Hitachi High Tech Corp 電漿處理裝置的控制方法

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61119686A (ja) * 1984-11-14 1986-06-06 Teru Ramu Kk 平行平板型プラズマエツチング装置
US5817534A (en) * 1995-12-04 1998-10-06 Applied Materials, Inc. RF plasma reactor with cleaning electrode for cleaning during processing of semiconductor wafers
JP2001185542A (ja) * 1999-12-27 2001-07-06 Hitachi Ltd プラズマ処理装置及びそれを用いたプラズマ処理方法
KR100842947B1 (ko) * 2000-12-26 2008-07-01 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법 및 플라즈마 처리 장치
JP4819244B2 (ja) * 2001-05-15 2011-11-24 東京エレクトロン株式会社 プラズマ処理装置
US6706138B2 (en) * 2001-08-16 2004-03-16 Applied Materials Inc. Adjustable dual frequency voltage dividing plasma reactor
JP4486372B2 (ja) * 2003-02-07 2010-06-23 東京エレクトロン株式会社 プラズマ処理装置
US7771562B2 (en) * 2003-11-19 2010-08-10 Tokyo Electron Limited Etch system with integrated inductive coupling
US7169256B2 (en) * 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
US7632375B2 (en) * 2004-12-30 2009-12-15 Lam Research Corporation Electrically enhancing the confinement of plasma
JP4884047B2 (ja) 2006-03-23 2012-02-22 東京エレクトロン株式会社 プラズマ処理方法
JP5254533B2 (ja) 2006-03-31 2013-08-07 東京エレクトロン株式会社 プラズマ処理装置と方法
JP4903610B2 (ja) * 2007-03-27 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置
US7758764B2 (en) * 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US8247315B2 (en) * 2008-03-17 2012-08-21 Semiconductor Energy Laboratory Co., Ltd. Plasma processing apparatus and method for manufacturing semiconductor device
JP5475261B2 (ja) * 2008-03-31 2014-04-16 東京エレクトロン株式会社 プラズマ処理装置
US20100018648A1 (en) * 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
JP5357639B2 (ja) 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP5893260B2 (ja) 2011-04-18 2016-03-23 株式会社日立ハイテクノロジーズ プラズマ処理装置および処理方法
JP5819154B2 (ja) * 2011-10-06 2015-11-18 株式会社日立ハイテクノロジーズ プラズマエッチング装置
US9673069B2 (en) * 2012-07-20 2017-06-06 Applied Materials, Inc. High frequency filter for improved RF bias signal stability
CN104217914B (zh) * 2013-05-31 2016-12-28 中微半导体设备(上海)有限公司 等离子体处理装置
US9589767B2 (en) * 2013-07-19 2017-03-07 Advanced Energy Industries, Inc. Systems, methods, and apparatus for minimizing cross coupled wafer surface potentials
JP6249659B2 (ja) * 2013-07-25 2017-12-20 東京エレクトロン株式会社 プラズマ処理装置
JP6595335B2 (ja) * 2015-12-28 2019-10-23 株式会社日立ハイテクノロジーズ プラズマ処理装置
US10763081B2 (en) * 2017-07-10 2020-09-01 Applied Materials, Inc. Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020007915A1 (en) * 2000-07-19 2002-01-24 Eiji Hirose Plasma processing apparatus
US8894806B2 (en) * 2009-03-27 2014-11-25 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
TW201130039A (en) * 2009-09-02 2011-09-01 Lam Res Corp Arrangements for manipulating plasma confinement within a plasma processing system and methods thereof
CN103715049A (zh) * 2012-09-29 2014-04-09 中微半导体设备(上海)有限公司 等离子体处理装置及调节基片边缘区域制程速率的方法
TW201447961A (zh) * 2013-02-12 2014-12-16 Hitachi High Tech Corp 電漿處理裝置的控制方法

Also Published As

Publication number Publication date
TW201820382A (zh) 2018-06-01
TW201642303A (zh) 2016-12-01
US11842885B2 (en) 2023-12-12
JP2016225376A (ja) 2016-12-28
US20190122864A1 (en) 2019-04-25
US20200411291A1 (en) 2020-12-31
US20160351404A1 (en) 2016-12-01
KR101800649B1 (ko) 2017-11-23
JP6539113B2 (ja) 2019-07-03
TWI622081B (zh) 2018-04-21
KR20160140352A (ko) 2016-12-07
TWI701705B (zh) 2020-08-11
US10811231B2 (en) 2020-10-20
TW202042279A (zh) 2020-11-16
US10217611B2 (en) 2019-02-26

Similar Documents

Publication Publication Date Title
TWI768395B (zh) 電漿處理裝置及電漿處理方法
KR102195550B1 (ko) 플라즈마 처리 장치
US10804080B2 (en) Plasma processing apparatus and plasma processing method
US10090160B2 (en) Dry etching apparatus and method
US8431035B2 (en) Plasma processing apparatus and method
TW201705266A (zh) 透過邊緣局部的離子軌跡控制與電漿操作之極限邊緣鞘及晶圓輪廓調整
JP2008147659A (ja) 弾道電子ビーム促進プラズマ処理システムにおける均一性制御方法及びシステム
TW201703101A (zh) 電漿處理裝置
JP6808782B2 (ja) プラズマ処理装置およびプラズマ処理方法
TW202101525A (zh) 電漿處理裝置及電漿處理方法
JP2016031955A (ja) プラズマ処理装置およびプラズマ処理方法
TW202249540A (zh) 脈衝dc電漿腔室中的電漿均勻性控制
JP7071008B2 (ja) プラズマ処理装置およびプラズマ処理方法
WO2022201351A1 (ja) プラズマ処理装置およびプラズマ処理方法
TWI784401B (zh) 電漿處理裝置及電漿處理方法